Search dblp for Publications

export results for "toc:db/conf/isqed/isqed2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isqed/AgrawalPSP14,
  author       = {Sachin Agrawal and
                  Sunil Kumar Pandey and
                  Jawar Singh and
                  Manoj Singh Parihar},
  title        = {Realization of efficient {RF} energy harvesting circuits employing
                  different matching technique},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {754--761},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783403},
  doi          = {10.1109/ISQED.2014.6783403},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AgrawalPSP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AguiarMSH14,
  author       = {Alexandra Aguiar and
                  Carlos Moratelli and
                  Marcos Sartori and
                  Fabiano Hessel},
  title        = {Adding virtualization support in {MIPS} 4Kc-based MPSoCs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {84--90},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783310},
  doi          = {10.1109/ISQED.2014.6783310},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AguiarMSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AhmedC14,
  author       = {Mohammad A. Ahmed and
                  Malgorzata Chrzanowska{-}Jeske},
  title        = {Delay and power optimization with TSV-aware 3D floorplanning},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {189--196},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783324},
  doi          = {10.1109/ISQED.2014.6783324},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AhmedC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ArasuNCCR14,
  author       = {Senthil Arasu and
                  Mehrdad Nourani and
                  Frank Cano and
                  John M. Carulli and
                  Vijay Reddy},
  title        = {Asymmetric aging of clock networks in power efficient designs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {484--486},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783365},
  doi          = {10.1109/ISQED.2014.6783365},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ArasuNCCR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BahiratP14,
  author       = {Shirish Bahirat and
                  Sudeep Pasricha},
  title        = {{HELIX:} Design and synthesis of hybrid nanophotonic application-specific
                  network-on-chip architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {91--98},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783311},
  doi          = {10.1109/ISQED.2014.6783311},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BahiratP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BalasaAGLN14,
  author       = {Florin Balasa and
                  Noha Abuaesh and
                  Cristian V. Gingu and
                  Ilie I. Luican and
                  Doru V. Nasui},
  title        = {Energy-aware scratch-pad memory partitioning for embedded systems},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {653--659},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783389},
  doi          = {10.1109/ISQED.2014.6783389},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BalasaAGLN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BanerjeeSPGC14,
  author       = {Arijit Banerjee and
                  Mahmut E. Sinangil and
                  John W. Poulton and
                  C. Thomas Gray and
                  Benton H. Calhoun},
  title        = {A reverse write assist circuit for {SRAM} dynamic write {VMIN} tracking
                  using canary SRAMs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783299},
  doi          = {10.1109/ISQED.2014.6783299},
  timestamp    = {Wed, 17 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BanerjeeSPGC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BaoBWSK14,
  author       = {Binghao Bao and
                  J{\"{o}}rg Bormann and
                  Markus Wedler and
                  Dominik Stoffel and
                  Wolfgang Kunz},
  title        = {Coverage of compositional property sets under reactive constraints},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {589--596},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783380},
  doi          = {10.1109/ISQED.2014.6783380},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BaoBWSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BaoFS14,
  author       = {Chongxi Bao and
                  Domenic Forte and
                  Ankur Srivastava},
  title        = {On application of one-class {SVM} to reverse engineering-based hardware
                  Trojan detection},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {47--54},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783305},
  doi          = {10.1109/ISQED.2014.6783305},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BaoFS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BhardwajMH14,
  author       = {Kartikeya Bhardwaj and
                  Pravin S. Mane and
                  J{\"{o}}rg Henkel},
  title        = {Power- and area-efficient Approximate Wallace Tree Multiplier for
                  error-resilient systems},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {263--269},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783335},
  doi          = {10.1109/ISQED.2014.6783335},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BhardwajMH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BishnoiOET14,
  author       = {Rajendra Bishnoi and
                  Fabian Oboril and
                  Mojtaba Ebrahimi and
                  Mehdi Baradaran Tahoori},
  title        = {Avoiding unnecessary write operations in {STT-MRAM} for low power
                  implementation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {548--553},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783375},
  doi          = {10.1109/ISQED.2014.6783375},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BishnoiOET14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BucklerB14,
  author       = {Mark Buckler and
                  Wayne P. Burleson},
  title        = {Predictive synchronization for DVFS-enabled multi-processor systems},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {270--275},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783336},
  doi          = {10.1109/ISQED.2014.6783336},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BucklerB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CastilhosWMEMM14,
  author       = {Guilherme M. Castilhos and
                  Eduardo W{\"{a}}chter and
                  Guilherme A. Madalozzo and
                  Augusto Erichsen and
                  Thiago Monteiro and
                  Fernando Moraes},
  title        = {A framework for MPSoC generation and distributed applications evaluation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {408--411},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783353},
  doi          = {10.1109/ISQED.2014.6783353},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CastilhosWMEMM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChanKL14,
  author       = {Tuck{-}Boon Chan and
                  Andrew B. Kahng and
                  Jiajia Li},
  title        = {{NOLO:} {A} no-loop, predictive useful skew methodology for improved
                  timing in {IC} implementation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {504--509},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783368},
  doi          = {10.1109/ISQED.2014.6783368},
  timestamp    = {Wed, 15 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChanKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChangLB14,
  author       = {Kai{-}Hui Chang and
                  Yen{-}Ting Liu and
                  Chris Browy},
  title        = {Automated methods for eliminating {X} bugs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {597--603},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783381},
  doi          = {10.1109/ISQED.2014.6783381},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChangLB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenPLW14,
  author       = {Ting Chen and
                  Xiaowei Pan and
                  Hengzhu Liu and
                  Tiebin Wu},
  title        = {Rapid prototype and implementation of a high-throughput and flexible
                  {FFT} {ASIP} based on {LISA} 2.0},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {681--687},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783393},
  doi          = {10.1109/ISQED.2014.6783393},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenPLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenRC14,
  author       = {Hu Chen and
                  Sanghamitra Roy and
                  Koushik Chakraborty},
  title        = {Exploiting static and dynamic locality of timing errors in robust
                  {L1} cache design},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {9--15},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783300},
  doi          = {10.1109/ISQED.2014.6783300},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenRC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChiXZX14,
  author       = {Ping Chi and
                  Cong Xu and
                  Xiaochun Zhu and
                  Yuan Xie},
  title        = {Building energy-efficient multi-level cell {STT-MRAM} based cache
                  through dynamic data-resistance encoding},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {639--644},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783387},
  doi          = {10.1109/ISQED.2014.6783387},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChiXZX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChouWCC14,
  author       = {Hsuan{-}Ming Chou and
                  Hong{-}Chang Wu and
                  Yi{-}Chiao Chen and
                  Shih{-}Chieh Chang},
  title        = {Concurrency-oriented SoC re-certification by reusing block-level test
                  vectors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {140--147},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783317},
  doi          = {10.1109/ISQED.2014.6783317},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChouWCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CuiCWNP14,
  author       = {Tiansong Cui and
                  Shuang Chen and
                  Yanzhi Wang and
                  Shahin Nazarian and
                  Massoud Pedram},
  title        = {An efficient semi-analytical current source model for FinFET devices
                  in near/sub-threshold regime considering multiple input switching
                  and stack effect},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {575--581},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783378},
  doi          = {10.1109/ISQED.2014.6783378},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/CuiCWNP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DaloukasETS14,
  author       = {Konstantis Daloukas and
                  Nestor E. Evmorfopoulos and
                  Panagiota E. Tsompanopoulou and
                  George I. Stamoulis},
  title        = {A 3-D Fast Transform-based preconditioner for large-scale power grid
                  analysis on massively parallel architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {723--730},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783398},
  doi          = {10.1109/ISQED.2014.6783398},
  timestamp    = {Thu, 07 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DaloukasETS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DasG14,
  author       = {Prasanjeet Das and
                  Sandeep K. Gupta},
  title        = {Efficient post-silicon validation via segmentation of process variation
                  envelope - Global vs local variations},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {115--122},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783314},
  doi          = {10.1109/ISQED.2014.6783314},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DasG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FanHQR14,
  author       = {Ming Fan and
                  Qiushi Han and
                  Gang Quan and
                  Shangping Ren},
  title        = {Multi-core partitioned scheduling for fixed-priority periodic real-time
                  tasks with enhanced RBound},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {284--291},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783338},
  doi          = {10.1109/ISQED.2014.6783338},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/FanHQR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FrancisKJKC14,
  author       = {Sabine Francis and
                  Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Ayman I. Kayssi and
                  Ali Chehab},
  title        = {Statistical methodology for modeling non-IID memory fails events},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {205--211},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783326},
  doi          = {10.1109/ISQED.2014.6783326},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FrancisKJKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FujitaKO14,
  author       = {Tomohiro Fujita and
                  SinNyoung Kim and
                  Hidetoshi Onodera},
  title        = {Computer simulation of radiation-induced clock-perturbation in phase-locked
                  loop with analog behavioral model},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {230--235},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783330},
  doi          = {10.1109/ISQED.2014.6783330},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/FujitaKO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FujiwaraYN14,
  author       = {Hidehiro Fujiwara and
                  Makoto Yabuuchi and
                  Koji Nii},
  title        = {Assessing uniqueness and reliability of SRAM-based Physical Unclonable
                  Functions from silicon measurements in 45-nm bulk {CMOS}},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {523--528},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783371},
  doi          = {10.1109/ISQED.2014.6783371},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/FujiwaraYN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GandhiGJ14,
  author       = {Darshan Gandhi and
                  Andreas Gerstlauer and
                  Lizy K. John},
  title        = {FastSpot: Host-compiled thermal estimation for early design space
                  exploration},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {625--632},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783385},
  doi          = {10.1109/ISQED.2014.6783385},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GandhiGJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GantaN14,
  author       = {Dinesh Ganta and
                  Leyla Nazhandali},
  title        = {Study of {IC} aging on ring oscillator physical unclonable functions},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {461--466},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783360},
  doi          = {10.1109/ISQED.2014.6783360},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GantaN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GantaN14a,
  author       = {Dinesh Ganta and
                  Leyla Nazhandali},
  title        = {Circuit-level approach to improve the temperature reliability of Bi-stable
                  PUFs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {467--472},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783361},
  doi          = {10.1109/ISQED.2014.6783361},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GantaN14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GhandaliAFN14,
  author       = {Samaneh Ghandali and
                  Bijan Alizadeh and
                  Masahiro Fujita and
                  Zainalabedin Navabi},
  title        = {{RTL} datapath optimization using system-level transformations},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {309--316},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783341},
  doi          = {10.1109/ISQED.2014.6783341},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GhandaliAFN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GharehbaghiF14,
  author       = {Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  title        = {Specification and formal verification of power gating in processors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {604--610},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783382},
  doi          = {10.1109/ISQED.2014.6783382},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GharehbaghiF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GoyalSC14,
  author       = {Abhilash Goyal and
                  Madhavan Swaminathan and
                  Abhijit Chatterjee},
  title        = {3D-ICs with self-healing capability for thermal effects in {RF} circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {179--183},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783322},
  doi          = {10.1109/ISQED.2014.6783322},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GoyalSC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuanMN14,
  author       = {Zhong Guan and
                  Malgorzata Marek{-}Sadowska and
                  Sani R. Nassif},
  title        = {Statistical analysis of process variation induced {SRAM} electromigration
                  degradation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {700--707},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783395},
  doi          = {10.1109/ISQED.2014.6783395},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GuanMN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HsuehHW14,
  author       = {Sung S.{-}Y. Hsueh and
                  Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {{TASSER:} {A} temperature-aware statistical soft-error-rate analysis
                  framework for combinational circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {529--534},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783372},
  doi          = {10.1109/ISQED.2014.6783372},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HsuehHW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HuangfuZ14,
  author       = {Yijie Huangfu and
                  Wei Zhang},
  title        = {Compiler-directed leakage energy reduction for instruction scratch-pad
                  memories},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {392--399},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783352},
  doi          = {10.1109/ISQED.2014.6783352},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HuangfuZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IgarashiAAST14,
  author       = {Mitsuhiko Igarashi and
                  Hideki Aono and
                  Hideaki Abe and
                  Koji Shibutani and
                  Kan Takeuchi},
  title        = {Assessment of reliability impact on GHz processors with moderate overdrive},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {456--460},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783359},
  doi          = {10.1109/ISQED.2014.6783359},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/IgarashiAAST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JagtapSG14,
  author       = {Sharayu Jagtap and
                  Sivaramakrishna Rudrapati and
                  Shalabh Gupta},
  title        = {Design of radiation hardened wide tuning range {CMOS} oscillators},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {224--229},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783329},
  doi          = {10.1109/ISQED.2014.6783329},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JagtapSG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JalalifarB14,
  author       = {Majid Jalalifar and
                  Gyung{-}Su Byun},
  title        = {An energy-efficient mobile {PAM} memory interface for future 3D stacked
                  mobile DRAMs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {675--680},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783392},
  doi          = {10.1109/ISQED.2014.6783392},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JalalifarB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KahngN14,
  author       = {Andrew B. Kahng and
                  Siddhartha Nath},
  title        = {Optimal reliability-constrained overdrive frequency selection in multicore
                  systems},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {300--308},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783340},
  doi          = {10.1109/ISQED.2014.6783340},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KahngN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KangMLK14,
  author       = {Kyungsu Kang and
                  Giovanni De Micheli and
                  Seunghan Lee and
                  Chong{-}Min Kyung},
  title        = {Temperature-aware runtime power management for chip-multiprocessors
                  with 3-D stacked cache},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {163--170},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783320},
  doi          = {10.1109/ISQED.2014.6783320},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KangMLK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanngL14,
  author       = {Andrew B. Kahng and
                  Hyein Lee},
  title        = {Timing margin recovery with flexible flip-flop timing model},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {496--503},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783367},
  doi          = {10.1109/ISQED.2014.6783367},
  timestamp    = {Fri, 10 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KanngL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KhanMK14,
  author       = {Md. Abir Khan and
                  Saraju P. Mohanty and
                  Elias Kougianos},
  title        = {Statistical process variation analysis of a graphene {FET} based {LC-VCO}
                  for {WLAN} applications},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {569--574},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783377},
  doi          = {10.1109/ISQED.2014.6783377},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KhanMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KhatirN14,
  author       = {Mehrdad Khatir and
                  Leyla Nazhandali},
  title        = {Sense Amplifier Pass Transistor Logic for energy efficient and DPA-resistant
                  {AES} circuit},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {517--522},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783370},
  doi          = {10.1109/ISQED.2014.6783370},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KhatirN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimKJ14,
  author       = {Keunwoo Kim and
                  Rouwaida Kanj and
                  Rajiv V. Joshi},
  title        = {Impact of FinFET technology for power gating in nano-scale design},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {543--547},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783374},
  doi          = {10.1109/ISQED.2014.6783374},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KimKJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimSK14,
  author       = {Heesun Kim and
                  Seungyun Sohn and
                  Yoonjin Kim},
  title        = {Ring-based sharing fabric for efficient pipelining of kernel-stream
                  on CGRA-based multi-core architecture},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {276--283},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783337},
  doi          = {10.1109/ISQED.2014.6783337},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KimSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Koranne14,
  author       = {Sandeep Koranne},
  title        = {Constructing small-signal equivalent impedances using ellipsoidal
                  norms},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {510--516},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783369},
  doi          = {10.1109/ISQED.2014.6783369},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Koranne14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KuknerKMWRKCPLG14,
  author       = {Halil Kukner and
                  Moustafa A. Khatib and
                  Sebastien Morrison and
                  Pieter Weckx and
                  Praveen Raghavan and
                  Ben Kaczer and
                  Francky Catthoor and
                  Liesbet Van der Perre and
                  Rudy Lauwereins and
                  Guido Groeseneken},
  title        = {Degradation analysis of datapath logic subblocks under {NBTI} aging
                  in FinFET technology},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {473--479},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783362},
  doi          = {10.1109/ISQED.2014.6783362},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KuknerKMWRKCPLG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KulkarniGB14,
  author       = {Parag Kulkarni and
                  Puneet Gupta and
                  Rudy Beraha},
  title        = {Minimizing clock domain crossing in Network on Chip interconnect},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {292--299},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783339},
  doi          = {10.1109/ISQED.2014.6783339},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KulkarniGB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeKJK14,
  author       = {Seunghan Lee and
                  Kyungsu Kang and
                  Jongpil Jung and
                  Chong{-}Min Kyung},
  title        = {Runtime 3-D stacked cache data management for energy minimization
                  of 3-D chip-multiprocessors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {197--204},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783325},
  doi          = {10.1109/ISQED.2014.6783325},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeKJK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeiCM14,
  author       = {Seong{-}I Lei and
                  Chris Chu and
                  Wai{-}Kei Mak},
  title        = {Double patterning-aware detailed routing with mask usage balancing},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {219--223},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783328},
  doi          = {10.1109/ISQED.2014.6783328},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LeiCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiIL14,
  author       = {Yao Li and
                  Ramy Iskander and
                  Marie{-}Minerve Lou{\"{e}}rat},
  title        = {Modeling, design and verification platform using SystemC {AMS}},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {39--46},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783304},
  doi          = {10.1109/ISQED.2014.6783304},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiIL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiM14,
  author       = {Di{-}An Li and
                  Malgorzata Marek{-}Sadowska},
  title        = {Estimating true worst currents for power grid electromigration analysis},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {708--714},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783396},
  doi          = {10.1109/ISQED.2014.6783396},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiTYHW14,
  author       = {Ying{-}Chi Li and
                  Sheldon X.{-}D. Tan and
                  Tan Yu and
                  Xin Huang and
                  Ngai Wong},
  title        = {Direct finite-element-based solver for 3D-IC thermal analysis via
                  H-matrix representation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {386--391},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783351},
  doi          = {10.1109/ISQED.2014.6783351},
  timestamp    = {Sat, 12 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiTYHW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinWNP14,
  author       = {Xue Lin and
                  Yanzhi Wang and
                  Shahin Nazarian and
                  Massoud Pedram},
  title        = {An improved logical effort model and framework applied to optimal
                  sizing of circuits operating in multiple supply voltage regimes},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {249--256},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783333},
  doi          = {10.1109/ISQED.2014.6783333},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinWNP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinWP14,
  author       = {Xue Lin and
                  Yanzhi Wang and
                  Massoud Pedram},
  title        = {Stack sizing analysis and optimization for FinFET logic cells and
                  circuits operating in the sub/near-threshold regime},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {341--348},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783346},
  doi          = {10.1109/ISQED.2014.6783346},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinWP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuAGG14,
  author       = {Bo Liu and
                  Maryam Ashouei and
                  Tobias Gemmeke and
                  Jos{\'{e}} Pineda de Gyvez},
  title        = {Sub-threshold custom standard cell library validation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {257--262},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783334},
  doi          = {10.1109/ISQED.2014.6783334},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuAGG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LuanD14,
  author       = {Jiyuan Luan and
                  Michael DiVita},
  title        = {An integrated precision clock generator for implanted electronics
                  with superior long-term stability},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {762--765},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783404},
  doi          = {10.1109/ISQED.2014.6783404},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LuanD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MadeiraBA14,
  author       = {Rafael M. Madeira and
                  Edna Barros and
                  Camila Ascendina},
  title        = {Towards more reliable embedded systems through a mechanism for monitoring
                  driver devices communication},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {420--427},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783355},
  doi          = {10.1109/ISQED.2014.6783355},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MadeiraBA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Mandouh14,
  author       = {Eman El Mandouh},
  title        = {Application of six-sigma {DMAIC} methodology in the evaluation of
                  test effectiveness: {A} case study for {EDA} tools},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {434--441},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783357},
  doi          = {10.1109/ISQED.2014.6783357},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Mandouh14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MiyamuraSTBOIH14,
  author       = {Makoto Miyamura and
                  Toshitsugu Sakamoto and
                  Munehiro Tada and
                  Naoki Banno and
                  Koichiro Okamoto and
                  Noriyuki Iguchi and
                  Hiromitsu Hada},
  title        = {Low-power programmable-logic cell arrays using nonvolatile complementary
                  atom switch},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {330--334},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783344},
  doi          = {10.1109/ISQED.2014.6783344},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MiyamuraSTBOIH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MomeniMK14,
  author       = {Amir Momeni and
                  Perhaad Mistry and
                  David R. Kaeli},
  title        = {A parallel clustering algorithm for placement},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {349--356},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783347},
  doi          = {10.1109/ISQED.2014.6783347},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MomeniMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MoreiraPC14,
  author       = {Matheus T. Moreira and
                  Julian J. H. Pontes and
                  Ney Laert Vilar Calazans},
  title        = {Tradeoffs between {RTO} and {RTZ} in {WCHB} {QDI} asynchronous design},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {692--699},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783394},
  doi          = {10.1109/ISQED.2014.6783394},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MoreiraPC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MurrayWKYPSH14,
  author       = {Jacob Murray and
                  Paul Wettin and
                  Ryan Gary Kim and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi and
                  Deuk Hyoun Heo},
  title        = {Thermal hotspot reduction in mm-Wave wireless NoC architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {645--652},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783388},
  doi          = {10.1109/ISQED.2014.6783388},
  timestamp    = {Fri, 29 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MurrayWKYPSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NakataKOJSTNNYFNKKY14,
  author       = {Yohei Nakata and
                  Yuta Kimi and
                  Shunsuke Okumura and
                  Jinwook Jung and
                  Takuya Sawada and
                  Taku Toshikawa and
                  Makoto Nagata and
                  Hirofumi Nakano and
                  Makoto Yabuuchi and
                  Hidehiro Fujiwara and
                  Koji Nii and
                  Hiroyuki Kawai and
                  Hiroshi Kawaguchi and
                  Masahiko Yoshimoto},
  title        = {A 40-nm resilient cache memory for dynamic variation tolerance with
                  bit-enhancing memory and on-chip diagnosis structures delivering {\texttimes}91
                  failure rate improvement},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {16--23},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783301},
  doi          = {10.1109/ISQED.2014.6783301},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NakataKOJSTNNYFNKKY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NaqviLS14,
  author       = {Syed Rameez Naqvi and
                  Jakob Lechner and
                  Andreas Steininger},
  title        = {Protection of Muller-Pipelines from transient faults},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {123--131},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783315},
  doi          = {10.1109/ISQED.2014.6783315},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NaqviLS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NasirLR14,
  author       = {Saad Bin Nasir and
                  Youngtak Lee and
                  Arijit Raychowdhury},
  title        = {Modeling and analysis of system stability in a distributed power delivery
                  network with embedded digital linear regulators},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {68--75},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783308},
  doi          = {10.1109/ISQED.2014.6783308},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NasirLR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NavidiB14,
  author       = {Mir Mohammad Navidi and
                  Gyung{-}Su Byun},
  title        = {Comparative analysis of clock distribution networks for TSV-based
                  3D {IC} designs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {184--188},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783323},
  doi          = {10.1109/ISQED.2014.6783323},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NavidiB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/OkobiahMK14,
  author       = {Oghenekarho Okobiah and
                  Saraju P. Mohanty and
                  Elias Kougianos},
  title        = {Kriging bootstrapped neural network training for fast and accurate
                  process variation analysis},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {365--372},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783349},
  doi          = {10.1109/ISQED.2014.6783349},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/OkobiahMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/OteroTKHM14,
  author       = {Carlos Tadeo Ortega Otero and
                  Jonathan Tse and
                  Robert Karmazin and
                  Benjamin Hill and
                  Rajit Manohar},
  title        = {{ULSNAP:} An ultra-low power event-driven microcontroller for sensor
                  network nodes},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {667--674},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783391},
  doi          = {10.1109/ISQED.2014.6783391},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/OteroTKHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PahlevanzadehY14,
  author       = {Hoda Pahlevanzadeh and
                  Qiaoyan Yu},
  title        = {Systematic analyses for latching probability of single-event transients},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {442--449},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783358},
  doi          = {10.1109/ISQED.2014.6783358},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PahlevanzadehY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PanMN14,
  author       = {Chenyun Pan and
                  Saibal Mukhopadhyay and
                  Azad Naeemi},
  title        = {An analytical approach to system-level variation analysis and optimization
                  for multi-core processor},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {99--106},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783312},
  doi          = {10.1109/ISQED.2014.6783312},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PanMN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PariharK14,
  author       = {Mukta Singh Parihar and
                  Abhinav Kranti},
  title        = {Volume accumulated double gate junctionless MOSFETs for low power
                  logic technology applications},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783345},
  doi          = {10.1109/ISQED.2014.6783345},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PariharK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PimpalkhuteP14,
  author       = {Tejasi Pimpalkhute and
                  Sudeep Pasricha},
  title        = {An application-aware heterogeneous prioritization framework for NoC
                  based chip multiprocessors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {76--83},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783309},
  doi          = {10.1109/ISQED.2014.6783309},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PimpalkhuteP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PoulosYVL14,
  author       = {Zissis Poulos and
                  Yu{-}Shen Yang and
                  Andreas G. Veneris and
                  Bao Le},
  title        = {Simulation and satisfiability guided counter-example triage for {RTL}
                  design debugging},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {618--624},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783384},
  doi          = {10.1109/ISQED.2014.6783384},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PoulosYVL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PouyanABR14,
  author       = {Peyman Pouyan and
                  Esteve Amat and
                  Enrique Barajas and
                  Antonio Rubio},
  title        = {Impact of adaptive proactive reconfiguration technique on Vmin and
                  lifetime of {SRAM} caches},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {32--38},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783303},
  doi          = {10.1109/ISQED.2014.6783303},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PouyanABR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ProchM14,
  author       = {Sudhi Proch and
                  Prabhat Mishra},
  title        = {Directed test generation for hybrid systems},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {156--162},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783319},
  doi          = {10.1109/ISQED.2014.6783319},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ProchM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RahmaniMR14,
  author       = {Kamran Rahmani and
                  Prabhat Mishra and
                  Sandip Ray},
  title        = {Efficient trace signal selection using augmentation and {ILP} techniques},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {148--155},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783318},
  doi          = {10.1109/ISQED.2014.6783318},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RahmaniMR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RethinagiriPUCAN14,
  author       = {Santhosh Kumar Rethinagiri and
                  Oscar Palomar and
                  Osman S. Unsal and
                  Adri{\'{a}}n Cristal and
                  Rabie Ben Atitallah and
                  Sma{\"{\i}}l Niar},
  title        = {{PETS:} Power and energy estimation tool at system-level},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {535--542},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783373},
  doi          = {10.1109/ISQED.2014.6783373},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RethinagiriPUCAN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SatoKTO14,
  author       = {Takashi Sato and
                  Junya Kawashima and
                  Hiroshi Tsutsui and
                  Hiroyuki Ochi},
  title        = {Experimental validation of minimum operating-voltage-estimation for
                  low supply voltage circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {428--433},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783356},
  doi          = {10.1109/ISQED.2014.6783356},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SatoKTO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SauterGKST14,
  author       = {Thilo Sauter and
                  Thomas Glatzl and
                  Franz Kohl and
                  Harald Steiner and
                  Almir Talic},
  title        = {Thermal flow sensors based on printed circuit board technology},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {748--753},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783402},
  doi          = {10.1109/ISQED.2014.6783402},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SauterGKST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SenguptaM14,
  author       = {Anirban Sengupta and
                  Vipul Kumar Mishra},
  title        = {Integrated particle swarm optimization (i-PSO): An adaptive design
                  space exploration framework for power-performance tradeoff in architectural
                  synthesis},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {60--67},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783307},
  doi          = {10.1109/ISQED.2014.6783307},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SenguptaM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SeoK14,
  author       = {Hyungjung Seo and
                  Taewhan Kim},
  title        = {Post-silicon tunable clock buffer allocation based on fast chip yield
                  computation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {490--495},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783366},
  doi          = {10.1109/ISQED.2014.6783366},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SeoK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShambhulingaiahCKC14,
  author       = {Sandeep Shambhulingaiah and
                  Srivatsan Chellappa and
                  Sushil Kumar and
                  Lawrence T. Clark},
  title        = {Methodology to optimize critical node separation in hardened flip-flops},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {486--490},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783364},
  doi          = {10.1109/ISQED.2014.6783364},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShambhulingaiahCKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShrivastavaS14,
  author       = {Anup Shrivastava and
                  Jawar Singh},
  title        = {Dual-sided doped memristor and it's {SPICE} modelling for improved
                  electrical properties},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {317--322},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783342},
  doi          = {10.1109/ISQED.2014.6783342},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShrivastavaS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SilveiraCBM14,
  author       = {Jarbas Silveira and
                  Paulo C{\'{e}}sar Cortez and
                  Giovanni Cordeiro Barroso and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Employing a Timed Colored Petri Net to accomplish an accurate model
                  for Network-on-Chip performance evaluation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {55--59},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783306},
  doi          = {10.1109/ISQED.2014.6783306},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SilveiraCBM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SinghSS14,
  author       = {Komal Singh and
                  Chitrakant Sahu and
                  Jawar Singh},
  title        = {Linearly separable pattern classification using memristive crossbar
                  circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {323--329},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783343},
  doi          = {10.1109/ISQED.2014.6783343},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SinghSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SinkarWK14,
  author       = {Abhishek A. Sinkar and
                  Hao Wang and
                  Nam Sung Kim},
  title        = {Maximizing throughput of power/thermal-constrained processors by balancing
                  power consumption of cores},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {633--638},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783386},
  doi          = {10.1109/ISQED.2014.6783386},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SinkarWK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SohofiN14,
  author       = {Hasan Sohofi and
                  Zainalabedin Navabi},
  title        = {Assertion-based verification for system-level designs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {582--588},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783379},
  doi          = {10.1109/ISQED.2014.6783379},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SohofiN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SteinerHKS14,
  author       = {Harald Steiner and
                  Wilfried Hortschitz and
                  Franz Keplinger and
                  Thilo Sauter},
  title        = {Topology optimization of a passive thermal actuator},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {743--747},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783401},
  doi          = {10.1109/ISQED.2014.6783401},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SteinerHKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SunSOJT14,
  author       = {Chao Sun and
                  Ayumi Soga and
                  Takahiro Onagi and
                  Koh Johguchi and
                  Ken Takeuchi},
  title        = {A workload-aware-design of 3D-NAND flash memory for enterprise SSDs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {554--561},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783376},
  doi          = {10.1109/ISQED.2014.6783376},
  timestamp    = {Thu, 28 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SunSOJT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SureshB14,
  author       = {Vikram B. Suresh and
                  Wayne P. Burleson},
  title        = {Fine grained wearout sensing using metastability resolution time},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {480--483},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783363},
  doi          = {10.1109/ISQED.2014.6783363},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SureshB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/UttraphanSH14,
  author       = {Chessda Uttraphan and
                  Nasir Shaikh{-}Husin and
                  Mohamed Khalil Hani},
  title        = {An optimization algorithm for simultaneous routing and buffer insertion
                  with delay-power constraints in {VLSI} layout design},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {357--364},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783348},
  doi          = {10.1109/ISQED.2014.6783348},
  timestamp    = {Mon, 24 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/UttraphanSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VeeravalliS14,
  author       = {Varadan Savulimedu Veeravalli and
                  Andreas Steininger},
  title        = {Architecture for monitoring {SET} propagation in 16-bit Sklansky adder},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {412--419},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783354},
  doi          = {10.1109/ISQED.2014.6783354},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VeeravalliS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VeeravalliSS14,
  author       = {Varadan Savulimedu Veeravalli and
                  Andreas Steininger and
                  Ulrich Schmid},
  title        = {Measuring {SET} pulsewidths in logic gates using digital infrastructure},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {236--242},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783331},
  doi          = {10.1109/ISQED.2014.6783331},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VeeravalliSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Velev014,
  author       = {Miroslav N. Velev and
                  Ping Gao},
  title        = {Formal verification of safety of polymorphic heterogeneous multi-core
                  architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {611--617},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783383},
  doi          = {10.1109/ISQED.2014.6783383},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Velev014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VenutoLMR14,
  author       = {Daniela De Venuto and
                  Peter Ledochowitsch and
                  Michel Maharabitz and
                  Jan M. Rabaey},
  title        = {Impedance modeling of the intracortical microelectrode for a reliable
                  design of a brain activity recording system},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {380--385},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783350},
  doi          = {10.1109/ISQED.2014.6783350},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VenutoLMR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VijayakumarPPK14,
  author       = {Arunkumar Vijayakumar and
                  Vinay C. Patil and
                  Girish Paladugu and
                  Sandip Kundu},
  title        = {On pattern generation for maximizing {IR} drop},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {731--737},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783399},
  doi          = {10.1109/ISQED.2014.6783399},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VijayakumarPPK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WachterEJAM14,
  author       = {Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Leonardo Juracy and
                  Alexandre M. Amory and
                  Fernando Moraes},
  title        = {Runtime fault recovery protocol for NoC-based MPSoCs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {132--139},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783316},
  doi          = {10.1109/ISQED.2014.6783316},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WachterEJAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Wang14,
  author       = {Wei Wang},
  title        = {Automated Shmoo data analysis: {A} machine learning approach},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {212--218},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783327},
  doi          = {10.1109/ISQED.2014.6783327},
  timestamp    = {Wed, 25 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Wang14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangC14,
  author       = {Yucai Wang and
                  Vamsy P. Chodavarapu},
  title        = {Design of a {CMOS} readout circuit for wide-temperature range capacitive
                  {MEMS} sensors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {738--742},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783400},
  doi          = {10.1109/ISQED.2014.6783400},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WangC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangFQR14,
  author       = {Tianyi Wang and
                  Ming Fan and
                  Gang Quan and
                  Shangping Ren},
  title        = {Heterogeneity exploration for peak temperature reduction on multi-core
                  platforms},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {107--114},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783313},
  doi          = {10.1109/ISQED.2014.6783313},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WangFQR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WoodsISMC14,
  author       = {Jacqueline Woods and
                  Sridhar Iyengar and
                  Amit Sinha and
                  Subhasish Mitra and
                  Stacy Cannady},
  title        = {A new era of computing: Are you "ready now" to build a smarter and
                  secured enterprise?},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783293},
  doi          = {10.1109/ISQED.2014.6783293},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WoodsISMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YaoC0MXY14,
  author       = {Song Yao and
                  Xiaoming Chen and
                  Yu Wang and
                  Yuchun Ma and
                  Yuan Xie and
                  Huazhong Yang},
  title        = {Efficient region-aware {P/G} {TSV} planning for 3D ICs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {171--178},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783321},
  doi          = {10.1109/ISQED.2014.6783321},
  timestamp    = {Mon, 25 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/YaoC0MXY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YokoyamaIKMTASTFNY14,
  author       = {Yoshisato Yokoyama and
                  Yuichiro Ishii and
                  Hidemitsu Kojima and
                  Atsushi Miyanishi and
                  Yoshiki Tsujihashi and
                  Shinobu Asayama and
                  Kazutoshi Shiba and
                  Koji Tanaka and
                  Tatsuya Fukuda and
                  Koji Nii and
                  Kazumasa Yanagisawa},
  title        = {40nm Ultra-low leakage {SRAM} at 170 deg.C operation for embedded
                  flash {MCU}},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {24--31},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783302},
  doi          = {10.1109/ISQED.2014.6783302},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/YokoyamaIKMTASTFNY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangC14,
  author       = {Yanqing Zhang and
                  Benton H. Calhoun},
  title        = {Fast, accurate variation-aware path timing computation for sub-threshold
                  circuits},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {243--248},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783332},
  doi          = {10.1109/ISQED.2014.6783332},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangDLPS14,
  author       = {Ying Zhang and
                  Lide Duan and
                  Bin Li and
                  Lu Peng and
                  Sadagopan Srinivasan},
  title        = {Energy efficient job scheduling in single-ISA heterogeneous chip-multiprocessors},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {660--666},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783390},
  doi          = {10.1109/ISQED.2014.6783390},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangDLPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangS14,
  author       = {Le Zhang and
                  Vivek Sarin},
  title        = {An enlarged-partition based preconditioned iterative solver for parallel
                  power grid simulation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {715--722},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783397},
  doi          = {10.1109/ISQED.2014.6783397},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isqed/2014,
  title        = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6779216/proceeding},
  isbn         = {978-1-4799-3945-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics