Search dblp for Publications

export results for "toc:db/conf/isqed/isqed2004.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isqed/AlamLTT04,
  author       = {Syed M. Alam and
                  Chee Lip Gan and
                  Carl V. Thompson and
                  Donald E. Troxel},
  title        = {Circuit Level Reliability Analysis of Cu Interconnects},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {238--243},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283680},
  doi          = {10.1109/ISQED.2004.1283680},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AlamLTT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Albanese04,
  author       = {Lane Albanese},
  title        = {Managing Derivative SoC Design Projects to Better Results},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {470--477},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283718},
  doi          = {10.1109/ISQED.2004.1283718},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Albanese04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AlmukhaizimDM04,
  author       = {Sobeeh Almukhaizim and
                  Petros Drineas and
                  Yiorgos Makris},
  title        = {Concurrent Error Detection for Combinational and Sequential Logic
                  via Output Compaction},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {459--464},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283716},
  doi          = {10.1109/ISQED.2004.1283716},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AlmukhaizimDM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AnanthanBR04,
  author       = {Hari Ananthan and
                  Aditya Bansal and
                  Kaushik Roy},
  title        = {FinFET {SRAM} - Device and Circuit Design Considerations},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {511--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283724},
  doi          = {10.1109/ISQED.2004.1283724},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AnanthanBR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ArdalanS04,
  author       = {Shahab Ardalan and
                  Manoj Sachdev},
  title        = {An Overview of Substrate Noise Reduction Techniques},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {291--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283688},
  doi          = {10.1109/ISQED.2004.1283688},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ArdalanS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AziziN04,
  author       = {Navid Azizi and
                  Farid N. Najm},
  title        = {An Asymmetric {SRAM} Cell to Lower Gate Leakage},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {534--539},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283728},
  doi          = {10.1109/ISQED.2004.1283728},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AziziN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BasuLWIB04,
  author       = {Anirban Basu and
                  Sheng{-}Chih Lin and
                  Christoph Wasshuber and
                  Adrian M. Ionescu and
                  Kaustav Banerjee},
  title        = {A Comprehensive Analytical Capacitance Model of a Two Dimensional
                  Nanodot Array},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {259--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283683},
  doi          = {10.1109/ISQED.2004.1283683},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BasuLWIB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BellosBNK04,
  author       = {Maciej Bellos and
                  Dimitris Bakalis and
                  Dimitris Nikolos and
                  Xrysovalantis Kavousianos},
  title        = {Low Power Testing by Test Vector Ordering with Vector Repetition},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {205--210},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283674},
  doi          = {10.1109/ISQED.2004.1283674},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BellosBNK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Bernstein04,
  author       = {Kerry Bernstein},
  title        = {Nanometer-Scale {CMOS} Devices},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {7},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283636},
  doi          = {10.1109/ISQED.2004.1283636},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Bernstein04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BhuniaRR04,
  author       = {Swarup Bhunia and
                  Arijit Raychowdhury and
                  Kaushik Roy},
  title        = {Frequency Specification Testing of Analog Filters Using Wavelet Transform
                  of Dynamic Supply Current},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {389--394},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283705},
  doi          = {10.1109/ISQED.2004.1283705},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BhuniaRR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Bock04,
  author       = {Larry Bock},
  title        = {Why Nano Technology? Why Now? And What Might Its Impact on Electronics},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {21},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283644},
  doi          = {10.1109/ISQED.2004.1283644},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Bock04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BrandRR04,
  author       = {Hans{-}J{\"{u}}rgen Brand and
                  Steffen R{\"{u}}lke and
                  Martin Radetzki},
  title        = {{IPQ:} {IP} Qualification for Efficient System Design},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {478--482},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283719},
  doi          = {10.1109/ISQED.2004.1283719},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BrandRR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChanZ04,
  author       = {Henry H. Y. Chan and
                  Zeljko Zilic},
  title        = {Estimating Phase-Locked Loop Jitter due to Substrate Coupling: {A}
                  Cyclostationary Approach},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {309--314},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283691},
  doi          = {10.1109/ISQED.2004.1283691},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChanZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChangWK04,
  author       = {Meng{-}Fan Chang and
                  Kuei{-}Ann Wen and
                  Ding{-}Ming Kwai},
  title        = {Supply and Substrate Noise Tolerance Using Dynamic Tracking Clusters
                  in Configurable Memory Designs},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283689},
  doi          = {10.1109/ISQED.2004.1283689},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChangWK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChatterjeeSK04,
  author       = {Bhaskar Chatterjee and
                  Manoj Sachdev and
                  Ram Krishnamurthy},
  title        = {Leakage Control Techniques for Designing Robust, Low Power Wide-OR
                  Domino Logic for sub-130 nm {CMOS} Technologies},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {415--420},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283709},
  doi          = {10.1109/ISQED.2004.1283709},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChatterjeeSK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChaturvediH04,
  author       = {Rishi Chaturvedi and
                  Jiang Hu},
  title        = {Buffered Clock Tree for High Quality {IC} Design},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {381--386},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283704},
  doi          = {10.1109/ISQED.2004.1283704},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChaturvediH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Chilton04,
  author       = {John Chilton},
  title        = {Simplify: Enable Quality, Enable Innovation},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {17},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283642},
  doi          = {10.1109/ISQED.2004.1283642},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Chilton04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChoPR04,
  author       = {Yonsang Cho and
                  Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Test Application Time Reduction for Scan Circuits Using Limited Scan
                  Operations},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {211--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283675},
  doi          = {10.1109/ISQED.2004.1283675},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChoPR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CoteH04,
  author       = {Michel C{\^{o}}t{\'{e}} and
                  Philippe Hurat},
  title        = {Layout Printability Optimization Using a Silicon Simulation Methodology},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {159--164},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283667},
  doi          = {10.1109/ISQED.2004.1283667},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CoteH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Cruz04,
  author       = {Roderick P. Cruz},
  title        = {Flip Chip Advanced Package Solder Joint Embrittlement Fault Isolation
                  Using {TDR}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {190--195},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283672},
  doi          = {10.1109/ISQED.2004.1283672},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Cruz04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Davis04,
  author       = {Jeff Davis},
  title        = {Interconnect Modeling},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {7},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283637},
  doi          = {10.1109/ISQED.2004.1283637},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Davis04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DegalahalRVXI04,
  author       = {Vijay Degalahal and
                  Rajaraman Ramanarayanan and
                  Narayanan Vijaykrishnan and
                  Yuan Xie and
                  Mary Jane Irwin},
  title        = {The Effect of Threshold Voltages on the Soft Error Rate},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {503--508},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283723},
  doi          = {10.1109/ISQED.2004.1283723},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DegalahalRVXI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FanZC04,
  author       = {Yongquan Fan and
                  Zeljko Zilic and
                  Man Wah Chiang},
  title        = {A Versatile High Speed Bit Error Rate Testing Scheme},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {395--400},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283706},
  doi          = {10.1109/ISQED.2004.1283706},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FanZC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FangZ04,
  author       = {Fang Fang and
                  Jianwen Zhu},
  title        = {Calligrapher: {A} New Layout Migration Engine Based on Geometric Closeness},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {25--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283645},
  doi          = {10.1109/ISQED.2004.1283645},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FangZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Ferguson04,
  author       = {John Ferguson},
  title        = {Shifting Methods: Adopting a Design for Manufacture Flow},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {171--175},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283669},
  doi          = {10.1109/ISQED.2004.1283669},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Ferguson04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GennariN04,
  author       = {Frank Gennari and
                  Andrew R. Neureuther},
  title        = {A Pattern Matching System for Linking {TCAD} and {EDA}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {165--170},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283668},
  doi          = {10.1109/ISQED.2004.1283668},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GennariN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GharpureyC04,
  author       = {Ranjit Gharpurey and
                  Edoardo Charbon},
  title        = {Substrate Coupling: Modeling, Simulation and Design Perspectives},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {283--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283687},
  doi          = {10.1109/ISQED.2004.1283687},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GharpureyC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GrecuPIS04,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {A Scalable Communication-Centric SoC Interconnect Architecture},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {343--348},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283698},
  doi          = {10.1109/ISQED.2004.1283698},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GrecuPIS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GreggC04,
  author       = {Justin Gregg and
                  Tom W. Chen},
  title        = {Post Silicon Power/Performance Optimization in the Presence of ProcessVariations
                  Using Individual Well Adaptive Body Biasing {(IWABB)}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {453--458},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283715},
  doi          = {10.1109/ISQED.2004.1283715},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GreggC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Hakim04,
  author       = {Nagib Hakim},
  title        = {Coping with Uncertainty},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {9},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283638},
  doi          = {10.1109/ISQED.2004.1283638},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Hakim04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HalderC04,
  author       = {Achintya Halder and
                  Abhijit Chatterjee},
  title        = {Automated Test Generation and Test Point Selection for Specification
                  Test of Analog Circuits},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {401--406},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283707},
  doi          = {10.1109/ISQED.2004.1283707},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HalderC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HashimotoFO04,
  author       = {Masanori Hashimoto and
                  Kazunori Fujimori and
                  Hidetoshi Onodera},
  title        = {Automatic Generation of Standard Cell Library in {VDSM} Technologies},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {36--41},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283647},
  doi          = {10.1109/ISQED.2004.1283647},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HashimotoFO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HayashiMY04,
  author       = {Sachio Hayashi and
                  Fumihiro Minami and
                  Masaaki Yamada},
  title        = {Full-Chip Analysis Method of {ESD} Protection Network},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {439--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283713},
  doi          = {10.1109/ISQED.2004.1283713},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HayashiMY04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HeXCLNH04,
  author       = {Jin He and
                  Xuemei Xi and
                  Mansun Chan and
                  Chung{-}Hsun Lin and
                  Ali M. Niknejad and
                  Chenming Hu},
  title        = {A Non-Charge-Sheet Based Analytical Model of Undoped Symmetric Double-Gate
                  MOSFETs Using {SPP} Approach},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {45--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283648},
  doi          = {10.1109/ISQED.2004.1283648},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HeXCLNH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IizukaIA04,
  author       = {Tetsuya Iizuka and
                  Makoto Ikeda and
                  Kunihiro Asada},
  title        = {Exact Wiring Fault Minimization via Comprehensive Layout Synthesis
                  for {CMOS} Logic Cells},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {377--380},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283703},
  doi          = {10.1109/ISQED.2004.1283703},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/IizukaIA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ImmaneniKN04,
  author       = {Lalitha Immaneni and
                  Anju Kapur and
                  Brett Neal},
  title        = {Design Tools for Packaging},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {179--183},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283670},
  doi          = {10.1109/ISQED.2004.1283670},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ImmaneniKN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JasrotiaZ04,
  author       = {Khushwinder Jasrotia and
                  Jianwen Zhu},
  title        = {Stacked {FSMD:} {A} Power Efficient Micro-Architecture for High Level
                  Synthesis},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {425--430},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283711},
  doi          = {10.1109/ISQED.2004.1283711},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/JasrotiaZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Kahng04,
  author       = {Andrew B. Kahng},
  title        = {Manufacturability},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {8},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283639},
  doi          = {10.1109/ISQED.2004.1283639},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Kahng04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KangJR04,
  author       = {Dongku Kang and
                  Mark C. Johnson and
                  Kaushik Roy},
  title        = {Simultaneous Multiple-Vdd Scheduling and Allocation for Partitioned
                  Floorplan},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {98--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283657},
  doi          = {10.1109/ISQED.2004.1283657},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KangJR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Keating04,
  author       = {Michael Keating},
  title        = {The {IP} Quality Revolution},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {151--155},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283666},
  doi          = {10.1109/ISQED.2004.1283666},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Keating04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KerC04,
  author       = {Ming{-}Dou Ker and
                  Wen{-}Yi Chen},
  title        = {Design to Avoid the Over-Gate-Driven Effect on {ESD} Protection Circuits
                  in Deep-Submicron {CMOS} Processes},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {445--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283714},
  doi          = {10.1109/ISQED.2004.1283714},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KerC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KerCL04,
  author       = {Ming{-}Dou Ker and
                  Wei{-}Jen Chang and
                  Wen{-}Yu Lo},
  title        = {Low-Voltage-Triggered {PNP} Devices for {ESD} Protection Design in
                  Mixed-Voltage {I/O} Interface with Over-VDD and Under-VSS Signal Levels},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {433--438},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283712},
  doi          = {10.1109/ISQED.2004.1283712},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KerCL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KhanT04,
  author       = {M. Moiz Khan and
                  Spyros Tragoudas},
  title        = {Rewiring for Watermarking Digital Circuits},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {143--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283665},
  doi          = {10.1109/ISQED.2004.1283665},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KhanT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimKH04,
  author       = {Bo{-}Sung Kim and
                  Young{-}Gi Kim and
                  Soon{-}Yang Hong},
  title        = {Low Power 260 k Color {TFT} {LCD} One-Chip Driver {IC}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {126--130},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283662},
  doi          = {10.1109/ISQED.2004.1283662},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KimKH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimKM04,
  author       = {Youngsik Kim and
                  Shekhar Kopuri and
                  Nazanin Mansouri},
  title        = {Automated Formal Verification of Scheduling Process Using Finite State
                  Machines with Datapath {(FSMD)}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {110--115},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283659},
  doi          = {10.1109/ISQED.2004.1283659},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KimKM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KonofaosA04,
  author       = {Nikos Konofaos and
                  G. Ph. Alexiou},
  title        = {New Challenges Emerging on the Design of {VLSI} Circuits Made of MOSFETs
                  Using New Gate Dielectric Materials},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {92--97},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283656},
  doi          = {10.1109/ISQED.2004.1283656},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KonofaosA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KooLCCYK04,
  author       = {Jong{-}Eun Koo and
                  Kyung{-}Ho Lee and
                  Young{-}Hoe Cheon and
                  Joon{-}Ho Choi and
                  Moon{-}Hyun Yoo and
                  Jeong{-}Taek Kong},
  title        = {A Variable Reduction Technique for the Analysis of Ultra Large-Scale
                  Power Distribution Networks},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {137--142},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283664},
  doi          = {10.1109/ISQED.2004.1283664},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KooLCCYK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Koranne04,
  author       = {Sandeep Koranne},
  title        = {A High Performance {SIMD} Framework for Design Rule Checking on Sony??s
                  PlayStation 2 Emotion Engine Platform},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {371--376},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283702},
  doi          = {10.1109/ISQED.2004.1283702},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Koranne04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KulkarniC04,
  author       = {Medha Kulkarni and
                  Tom Chen},
  title        = {A Sensitivity Based Approach to Analyzing Signal Delay Uncertainty
                  of Coupled Interconnects},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {331--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283696},
  doi          = {10.1109/ISQED.2004.1283696},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KulkarniC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KursunF04,
  author       = {Volkan Kursun and
                  Eby G. Friedman},
  title        = {Node Voltage Dependent Subthreshold Leakage Current Characteristics
                  of Dynamic Circuits},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {104--109},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283658},
  doi          = {10.1109/ISQED.2004.1283658},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KursunF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KursunGS04,
  author       = {Eren Kursun and
                  Soheil Ghiasi and
                  Majid Sarrafzadeh},
  title        = {Transistor Level Budgeting for Power Optimization},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {116--121},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283660},
  doi          = {10.1109/ISQED.2004.1283660},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KursunGS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KursunNDF04,
  author       = {Volkan Kursun and
                  Siva G. Narendra and
                  Vivek De and
                  Eby G. Friedman},
  title        = {High Input Voltage Step-Down {DC-DC} Converters for Integration in
                  a Low Voltage {CMOS} Process},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {517--521},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283725},
  doi          = {10.1109/ISQED.2004.1283725},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KursunNDF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeCF04,
  author       = {Herng{-}Jer Lee and
                  Chia{-}Chi Chu and
                  Wu{-}Shiung Feng},
  title        = {Moment Computations of Nonuniform Distributed Coupled {RLC} Trees
                  with Applications to Estimating Crosstalk Noise},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {75--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283653},
  doi          = {10.1109/ISQED.2004.1283653},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeCF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeePB04,
  author       = {Woo Hyung Lee and
                  Sanjay Pant and
                  David T. Blaauw},
  title        = {Analysis and Reduction of On-Chip Inductance Effects in Power Supply
                  Grids},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {131--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283663},
  doi          = {10.1109/ISQED.2004.1283663},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeePB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeePR04,
  author       = {Hangkyu Lee and
                  Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Scan {BIST} Targeting Transition Faults Using a Markov Source},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {497--502},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283722},
  doi          = {10.1109/ISQED.2004.1283722},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeePR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Levitt04,
  author       = {Marc E. Levitt},
  title        = {Design for Manufacturing? Design for Yield!!!},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {19},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283643},
  doi          = {10.1109/ISQED.2004.1283643},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Levitt04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinKN04,
  author       = {Kuang{-}Kuo Lin and
                  Sudhakar Kale and
                  Aditi Nigam},
  title        = {Methodology for Automated Layout Migration for 90 nm Itanium{\textregistered}2
                  Processor Design},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {31--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283646},
  doi          = {10.1109/ISQED.2004.1283646},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LinKN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuXLX04,
  author       = {Ye Liu and
                  Mei Xue and
                  Zheng{-}Fan Li and
                  Rui{-}Feng Xue},
  title        = {Efficient Capacitance Extraction for Periodic Structures by Shanks
                  Transformation},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {271--275},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283685},
  doi          = {10.1109/ISQED.2004.1283685},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuXLX04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LuLQWS04,
  author       = {Xiang Lu and
                  Zhuo Li and
                  Wangqi Qiu and
                  D. M. H. Walker and
                  Weiping Shi},
  title        = {{PARADE:} PARAmetric Delay Evaluation under Process Variation},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {276--280},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283686},
  doi          = {10.1109/ISQED.2004.1283686},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LuLQWS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LuoBTHCW04,
  author       = {Ji Luo and
                  Joseph B. Bernstein and
                  J. Ari Tuchman and
                  Hu Huang and
                  Kuan{-}Jung Chung and
                  Anthony L. Wilson},
  title        = {A High Performance Radiation-Hard Field Programmable Analog Array},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {522--527},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283726},
  doi          = {10.1109/ISQED.2004.1283726},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LuoBTHCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ManiwaC04,
  author       = {Tets Maniwa and
                  Pallab K. Chatterjee},
  title        = {Evening Panel Discussion: {DFM} PDK's: Where Do They Belong To? Are
                  Process Design Kits (PDKs) the Answer for Modern Design for Manufacturing
                  {(DFM)} Issues?},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {11--13},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISQED.2004.10009},
  doi          = {10.1109/ISQED.2004.10009},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ManiwaC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/McCrackenZ04,
  author       = {Stuart McCracken and
                  Zeljko Zilic},
  title        = {Design for Testability of {FPGA} Blocks},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {86--91},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283655},
  doi          = {10.1109/ISQED.2004.1283655},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/McCrackenZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MuiBM04,
  author       = {Man Lung Mui and
                  Kaustav Banerjee and
                  Amit Mehrotra},
  title        = {Power Supply Optimization in sub-130 nm Leakage Dominant Technologies},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {409--414},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283708},
  doi          = {10.1109/ISQED.2004.1283708},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MuiBM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NikitinJWCRSYWOSR04,
  author       = {Pavel V. Nikitin and
                  Vikram Jandhyala and
                  Daniel A. White and
                  Nathan Champagne and
                  John D. Rockway and
                  C.{-}J. Richard Shi and
                  Chuanyi Yang and
                  Yong Wang and
                  Gong Ouyang and
                  Rob Sharpe and
                  John W. Rockway},
  title        = {Modeling and Simulation of Circuit-Electromagnetic Effects in Electronic
                  Design Flow},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {244--249},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283681},
  doi          = {10.1109/ISQED.2004.1283681},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NikitinJWCRSYWOSR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/OhHGGZKP04,
  author       = {Chanhee Oh and
                  Haldun Haznedar and
                  Martin Gall and
                  Amir Grinshpon and
                  Vladimir Zolotov and
                  Pon Sung Ku and
                  Rajendran Panda},
  title        = {A Methodology for Chip-Level Electromigration Risk Assessment and
                  Product Qualification},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {232--237},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283679},
  doi          = {10.1109/ISQED.2004.1283679},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/OhHGGZKP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PadmanabanT04,
  author       = {Saravanan Padmanaban and
                  Spyros Tragoudas},
  title        = {An Adaptive Path Delay Fault Diagnosis Methodology},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {491--496},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283721},
  doi          = {10.1109/ISQED.2004.1283721},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PadmanabanT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PanCTLH04,
  author       = {Zhu Pan and
                  Yici Cai and
                  Sheldon X.{-}D. Tan and
                  Zuying Luo and
                  Xianlong Hong},
  title        = {Transient Analysis of On-Chip Power Distribution Networks Using Equivalent
                  Circuit Modeling},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283651},
  doi          = {10.1109/ISQED.2004.1283651},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PanCTLH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Paulin04,
  author       = {Pierre G. Paulin},
  title        = {Designing High Quality, Scaleable SoC??s with Heterogeneous Components},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {325},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283694},
  doi          = {10.1109/ISQED.2004.1283694},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Paulin04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/QinCMVR04,
  author       = {Huifang Qin and
                  Yu Cao and
                  Dejan Markovic and
                  Andrei Vladimirescu and
                  Jan M. Rabaey},
  title        = {{SRAM} Leakage Suppression by Minimizing Standby Supply Voltage},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {55--60},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283650},
  doi          = {10.1109/ISQED.2004.1283650},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/QinCMVR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/QuereLMH04,
  author       = {Yves Qu{\'{e}}r{\'{e}} and
                  Thierry LeGouguec and
                  Pierre{-}Marie Martin and
                  Fabrice Huret},
  title        = {Interconnect Mode Conversion in High-Speed {VLSI} Circuits},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {265--270},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283684},
  doi          = {10.1109/ISQED.2004.1283684},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/QuereLMH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Roy04,
  author       = {Kaushik Roy},
  title        = {Low-Power Design},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {8},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283640},
  doi          = {10.1109/ISQED.2004.1283640},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Roy04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Saraswat04,
  author       = {Krishna Saraswat},
  title        = {Performance Limitations of Devices and Interconnects and Possible
                  Alternatives for Nanoelectronics},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {327},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283695},
  doi          = {10.1109/ISQED.2004.1283695},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Saraswat04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SenguptaSDKMC04,
  author       = {Manidip Sengupta and
                  Sharad Saxena and
                  Lidia Daldoss and
                  Glen Kramer and
                  Sean Minehane and
                  Jianjun Cheng},
  title        = {Application Specific Worst Case Corners Using Response Surfaces and
                  Statistical Models},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {351--356},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283699},
  doi          = {10.1109/ISQED.2004.1283699},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SenguptaSDKMC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShenZT04,
  author       = {Meigen Shen and
                  Li{-}Rong Zheng and
                  Hannu Tenhunen},
  title        = {Robustness Enhancement through Chip-Package Co-Design for High-Speed
                  Electronics},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {184--189},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283671},
  doi          = {10.1109/ISQED.2004.1283671},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ShenZT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShinEES04,
  author       = {Seongkyun Shin and
                  Yungseon Eo and
                  William R. Eisenstadt and
                  Jongin Shim},
  title        = {Analytical Dynamic Time Delay Model of Strongly Coupled {RLC} Interconnect
                  Lines Dependent on Switching},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {337--342},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283697},
  doi          = {10.1109/ISQED.2004.1283697},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ShinEES04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SimonsonTAMH04,
  author       = {Lucanus J. Simonson and
                  King Ho Tam and
                  Nataraj Akkiraju and
                  Mosur Mohan and
                  Lei He},
  title        = {Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power
                  Reduction},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {69--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283652},
  doi          = {10.1109/ISQED.2004.1283652},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SimonsonTAMH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SosaMNG04,
  author       = {Javier Sosa and
                  Juan A. Montiel{-}Nelson and
                  H{\'{e}}ctor Navarro and
                  Jos{\'{e}} C. Garc{\'{\i}}a},
  title        = {Functional Vector Generation for Combinational Circuits Based on Data
                  Path Coverage Metric and Mixed Integer Linear Programming},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {217--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283676},
  doi          = {10.1109/ISQED.2004.1283676},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SosaMNG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Sukharev04,
  author       = {Valeriy Sukharev},
  title        = {Physically-Based Simulation of Electromigration Induced Failures in
                  Copper Dual-Damascene Interconnect},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {225--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283677},
  doi          = {10.1109/ISQED.2004.1283677},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Sukharev04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SundararamanUM04,
  author       = {Karthik Sundararaman and
                  Shambhu J. Upadhyaya and
                  Martin Margala},
  title        = {Cost Model Analysis of {DFT} Based Fault Tolerant {SOC} Designs},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {465--469},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283717},
  doi          = {10.1109/ISQED.2004.1283717},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SundararamanUM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Venuto04,
  author       = {Daniela De Venuto},
  title        = {New Test Access for High Resolution {SD} ADC's by Using the Noise
                  Transfer Function Evaluation},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {81--85},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283654},
  doi          = {10.1109/ISQED.2004.1283654},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Venuto04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VeronisLD04,
  author       = {Georgios Veronis and
                  Yi{-}Chang Lu and
                  Robert W. Dutton},
  title        = {Modeling of Wave Behavior of Substrate Noise Coupling for Mixed-Signal
                  {IC} Design},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {303--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283690},
  doi          = {10.1109/ISQED.2004.1283690},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VeronisLD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangC04,
  author       = {Ting{-}Yuan Wang and
                  Charlie Chung{-}Ping Chen},
  title        = {SPICE-Compatible Thermal Simulation with Lumped Circuit Modeling for
                  Thermal Reliability Analysis Based on Modeling Order Reduction},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {357--362},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283700},
  doi          = {10.1109/ISQED.2004.1283700},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangH04,
  author       = {Janet Meiling Wang and
                  Omar Hafiz},
  title        = {Predicting Interconnect Uncertainty with a New Robust Model Order
                  Reduction Method},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {363--368},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283701},
  doi          = {10.1109/ISQED.2004.1283701},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangMK04,
  author       = {Janet Meiling Wang and
                  Kishore Kumar Muchherla and
                  Jai Ganesh Kumar},
  title        = {A Clustering Based Area {I/O} Planning for Flip-Chip Technology},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {196--201},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283673},
  doi          = {10.1109/ISQED.2004.1283673},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangMK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangMTR04,
  author       = {Zhiyuan Wang and
                  Malgorzata Marek{-}Sadowska and
                  Kun{-}Han Tsai and
                  Janusz Rajski},
  title        = {Delay Fault Diagnosis Using Timing Information},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {485--490},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283720},
  doi          = {10.1109/ISQED.2004.1283720},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangMTR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WilsonD04,
  author       = {Ron Wilson and
                  Phil Dworsky},
  title        = {Evening Panel Discussion: {IP} Industry: Nordstrom or K-Mart? The
                  Trend Toward Tighter Relationships between Suppliers and Users},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {317--319},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283692},
  doi          = {10.1109/ISQED.2004.1283692},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WilsonD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XuPCP04,
  author       = {Y. Z. Xu and
                  O. Pohland and
                  C. Cai and
                  Helmut Puchner},
  title        = {Leakage Increase of Narrow and Short {BCPMOS}},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {51--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283649},
  doi          = {10.1109/ISQED.2004.1283649},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/XuPCP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YangWK04,
  author       = {Ge Yang and
                  Zhongda Wang and
                  Sung{-}Mo Kang},
  title        = {Low Power and High Performance Circuit Techniques for High Fan-In
                  Dynamic Gates},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {421--424},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283710},
  doi          = {10.1109/ISQED.2004.1283710},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YangWK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Yasuura04,
  author       = {Hiroto Yasuura},
  title        = {Digitally Named World: Challenges for New Social Infrastructures},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {323},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283693},
  doi          = {10.1109/ISQED.2004.1283693},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Yasuura04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YazdiH04,
  author       = {Ahmad Yazdi and
                  Payam Heydari},
  title        = {The Design and Analysis of Non-Uniform Down-Sized Differential Distributed
                  Amplifiers},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {528--533},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283727},
  doi          = {10.1109/ISQED.2004.1283727},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YazdiH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YuPKLY04,
  author       = {Sunil Yu and
                  Dusan Petranovic and
                  Shoba Krishnan and
                  Kwyro Lee and
                  Cary Y. Yang},
  title        = {Resistance Matrix in Crosstalk Modeling for Muliconductor Systems},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {122--125},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283661},
  doi          = {10.1109/ISQED.2004.1283661},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YuPKLY04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YuS04,
  author       = {Fangqing Yu and
                  Weiping Shi},
  title        = {A Divide-and-Conquer Algorithm for 3D Capacitance Extraction},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {253--258},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283682},
  doi          = {10.1109/ISQED.2004.1283682},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YuS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isqed/2004,
  title        = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9029/proceeding},
  isbn         = {0-7695-2093-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics