Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2011.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/AbbasASC11,
  author       = {Ghulam Abbas and
                  Nacer Abouchi and
                  Awais Sani and
                  Cyril Condemine},
  title        = {Design and analysis of fuzzy logic based robust {PID} controller for
                  PWM-based switching converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {777--780},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937681},
  doi          = {10.1109/ISCAS.2011.5937681},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbbasASC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdallaP11,
  author       = {Hisham Abdalla and
                  Matthew D. Pickett},
  title        = {{SPICE} modeling of memristors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1832--1835},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937942},
  doi          = {10.1109/ISCAS.2011.5937942},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdallaP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abdel-HamidFKS11,
  author       = {Eid M. Abdel{-}Hamid and
                  Hossam A. H. Fahmy and
                  Mohamed M. Khairy and
                  Ahmed F. Shalash},
  title        = {Memory conflict analysis for a multi-standard, reconfigurable turbo
                  decoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2701--2704},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938162},
  doi          = {10.1109/ISCAS.2011.5938162},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abdel-HamidFKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelallSF11,
  author       = {Mahmoud Abdelall and
                  Ahmed F. Shalash and
                  Hossam A. H. Fahmy},
  title        = {A reconfigurable baseband processor for wireless {OFDM} synchronization
                  sub-system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2385--2388},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938083},
  doi          = {10.1109/ISCAS.2011.5938083},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelallSF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelgawadB11,
  author       = {Ahmed Abdelgawad and
                  Magdy A. Bayoumi},
  title        = {Distributed Kalman Filter using fast polynomial filter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {385--389},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937583},
  doi          = {10.1109/ISCAS.2011.5937583},
  timestamp    = {Thu, 27 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelgawadB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelhakGTGB11,
  author       = {Sherine Abdelhak and
                  Chandra Sekhar Gurram and
                  Jared Tessier and
                  Soumik Ghosh and
                  Magdy A. Bayoumi},
  title        = {{ETSSI:} Energy-based Task Scheduling Simulator for wireless sensor
                  networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2821--2824},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938192},
  doi          = {10.1109/ISCAS.2011.5938192},
  timestamp    = {Mon, 20 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelhakGTGB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdelhalimG11,
  author       = {Karim Abdelhalim and
                  Roman Genov},
  title        = {{CMOS} DAC-sharing stimulator for neural recording and stimulation
                  arrays},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1712--1715},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937912},
  doi          = {10.1109/ISCAS.2011.5937912},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdelhalimG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abi-HusseinBV11,
  author       = {Mazen Abi{-}Hussein and
                  Corinne Berland and
                  Olivier Venard},
  title        = {Novel simulation approach for 3G {W-CDMA} receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1471--1474},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937852},
  doi          = {10.1109/ISCAS.2011.5937852},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abi-HusseinBV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbshireBBCCCCCDDDDEEILLTTZ11,
  author       = {Pamela Abshire and
                  Amine Bermak and
                  Raphael Berner and
                  Gert Cauwenberghs and
                  Shoushun Chen and
                  Jennifer Blain Christen and
                  Timothy G. Constandinou and
                  Eugenio Culurciello and
                  Marc Dandin and
                  Timir Datta and
                  Tobi Delbr{\"{u}}ck and
                  Piotr Dudek and
                  Amir Eftekhar and
                  Ralph Etienne{-}Cummings and
                  Giacomo Indiveri and
                  Matthew K. Law and
                  Bernab{\'{e}} Linares{-}Barranco and
                  Jonathan Tapson and
                  Wei Tang and
                  Yiming Zhai},
  title        = {Confession session: Learning from others mistakes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1149--1162},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937774},
  doi          = {10.1109/ISCAS.2011.5937774},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AbshireBBCCCCCDDDDEEILLTTZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AcharyyaMAT11,
  author       = {Amit Acharyya and
                  Koushik Maharatna and
                  Bashir M. Al{-}Hashimi and
                  Hasitha Tudugalle},
  title        = {Simplified logic design methodology for fuzzy membership function
                  based robust detection of maternal modulus maxima location: {A} low
                  complexity Fetal {ECG} extraction architecture for mobile health monitoring
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937505},
  doi          = {10.1109/ISCAS.2011.5937505},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AcharyyaMAT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AddabboFKRV11,
  author       = {Tommaso Addabbo and
                  Ada Fort and
                  Ljupco Kocarev and
                  Santina Rocchi and
                  Valerio Vignoli},
  title        = {Pseudo-chaotic lossy compression of TRBGs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1980--1983},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937979},
  doi          = {10.1109/ISCAS.2011.5937979},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AddabboFKRV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AdikariDC11,
  author       = {Jithra Adikari and
                  Vassil S. Dimitrov and
                  Renato J. Cintra},
  title        = {A new algorithm for double scalar multiplication over Koblitz curves},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {709--712},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937664},
  doi          = {10.1109/ISCAS.2011.5937664},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AdikariDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AghlmandAS11,
  author       = {Fatemeh Aghlmand and
                  Seyed Mojtaba Atarodi and
                  Saeed Saeedi},
  title        = {Low phase noise on-chip oscillator for implantable biomedical applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {213--216},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937539},
  doi          = {10.1109/ISCAS.2011.5937539},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AghlmandAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AgostinelliPMH11,
  author       = {Matteo Agostinelli and
                  Robert Priewasser and
                  Stefano Marsili and
                  Mario Huemer},
  title        = {Fixed-frequency Pseudo Sliding Mode control for a Buck-Boost {DC-DC}
                  converter in mobile applications: {A} comparison with a linear {PID}
                  controller},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1604--1607},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937885},
  doi          = {10.1109/ISCAS.2011.5937885},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AgostinelliPMH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhmadT11,
  author       = {Bashar I. Ahmad and
                  Andrzej Tarczynski},
  title        = {A spectrum sensing method based on stratified sampling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {402--405},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937587},
  doi          = {10.1109/ISCAS.2011.5937587},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhmadT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhsanS11,
  author       = {Mohammad Ahsan and
                  Tapio Saram{\"{a}}ki},
  title        = {"A {MATLAB} based optimum multiband {FIR} filters design program following
                  the original idea of the Remez multiple exchange algorithm"},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937520},
  doi          = {10.1109/ISCAS.2011.5937520},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhsanS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AksoyLCFM11,
  author       = {Levent Aksoy and
                  Cristiano Lazzari and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Optimization of area in digit-serial Multiple Constant Multiplications
                  at gate-level},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2737--2740},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938171},
  doi          = {10.1109/ISCAS.2011.5938171},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AksoyLCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-NaffouriAQH11,
  author       = {Tareq Y. Al{-}Naffouri and
                  Furaih F. Al{-}Shalan and
                  Ahmed Abdul Quadeer and
                  Hadi A. Hmida},
  title        = {Impulsive noise estimation and cancellation in {DSL} using compressive
                  sampling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2133--2136},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938020},
  doi          = {10.1109/ISCAS.2011.5938020},
  timestamp    = {Wed, 06 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-NaffouriAQH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-RawhaniCCC11,
  author       = {Mohammed Al{-}Rawhani and
                  David R. S. Cumming and
                  Danial Chitnis and
                  Steve Collins},
  title        = {Photocurrent dependent response of a {SPAD} biased by a charge pump},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {789--792},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937684},
  doi          = {10.1109/ISCAS.2011.5937684},
  timestamp    = {Mon, 29 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-RawhaniCCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlamG11,
  author       = {Syed Asad Alam and
                  Oscar Gustafsson},
  title        = {Implementation of time-multiplexed sparse periodic {FIR} filters for
                  {FRM} on FPGAs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {661--664},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937652},
  doi          = {10.1109/ISCAS.2011.5937652},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlamG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlarconBS11,
  author       = {Eduard Alarc{\'{o}}n and
                  Ramon Brag{\'{o}}s and
                  Elisa Sayrol},
  title        = {Learning to Conceive, Design, Implement and Operate Circuits and Systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1183--1186},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937780},
  doi          = {10.1109/ISCAS.2011.5937780},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AlarconBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlarconFGMP11,
  author       = {Eduard Alarc{\'{o}}n and
                  Daniel Fern{\'{a}}ndez and
                  Albert Garcia{-}Tormo and
                  Jordi Madrenas and
                  Alberto Poveda},
  title        = {Continuous-time {CMOS} adaptive asynchronous {\(\Sigma\)}{\(\Delta\)}
                  modulator approximating low-{\(f\)}s low-inband-error on-chip wideband
                  power amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937561},
  doi          = {10.1109/ISCAS.2011.5937561},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlarconFGMP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlarconLR11,
  author       = {Louis P. Alarc{\'{o}}n and
                  Tsung{-}Te Liu and
                  Jan M. Rabaey},
  title        = {A low-leakage parallel {CRC} generator for ultra-low power applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2063--2066},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938003},
  doi          = {10.1109/ISCAS.2011.5938003},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlarconLR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Albo-CanalsP11,
  author       = {Jordi Albo{-}Canals and
                  Giovanni Egidio Pazienza},
  title        = {How to teach memristors in {EE} undergraduate courses},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {345--348},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937573},
  doi          = {10.1109/ISCAS.2011.5937573},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Albo-CanalsP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliotoCP11,
  author       = {Massimo Alioto and
                  Elio Consoli and
                  Gaetano Palumbo},
  title        = {{DET} {FF} topologies: {A} detailed investigation in the energy-delay-area
                  domain},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {563--566},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937627},
  doi          = {10.1109/ISCAS.2011.5937627},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliotoCP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlizadehF11,
  author       = {Bijan Alizadeh and
                  Masahiro Fujita},
  title        = {Early case splitting and false path detection to improve high level
                  {ATPG} techniques},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1463--1466},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937850},
  doi          = {10.1109/ISCAS.2011.5937850},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlizadehF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AllasasmehG11,
  author       = {Younis Allasasmeh and
                  Stefano Gregori},
  title        = {Switch bootstrapping technique for voltage doublers and double charge
                  pumps},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {494--497},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937610},
  doi          = {10.1109/ISCAS.2011.5937610},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AllasasmehG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlmeidaBCHVSBTM11,
  author       = {Gabriel Marchesan Almeida and
                  R{\'{e}}mi Busseuil and
                  Everton Alceu Carara and
                  Nicolas Hebert and
                  Sameer Varyani and
                  Gilles Sassatelli and
                  Pascal Benoit and
                  Lionel Torres and
                  Fernando Gehm Moraes},
  title        = {Predictive Dynamic Frequency Scaling for Multi-Processor Systems-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1500--1503},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937859},
  doi          = {10.1109/ISCAS.2011.5937859},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlmeidaBCHVSBTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AltinokAK11,
  author       = {Gunes D. Altinok and
                  Mohammed Al{-}Janabi and
                  Izzet Kale},
  title        = {Improved ultrasound digital beamforming using single-bit sigma-delta
                  modulators with band-pass decimation filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2091--2094},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938010},
  doi          = {10.1109/ISCAS.2011.5938010},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AltinokAK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmakiHO11,
  author       = {Takehiko Amaki and
                  Masanori Hashimoto and
                  Takao Onoye},
  title        = {An oscillator-based true random number generator with jitter amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {725--728},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937668},
  doi          = {10.1109/ISCAS.2011.5937668},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AmakiHO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AminzadehS11,
  author       = {Hamed Aminzadeh and
                  Wouter A. Serdijn},
  title        = {Low-dropout regulators: Hybrid-cascode compensation to improve stability
                  in nano-scale {CMOS} technologies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2293--2296},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938060},
  doi          = {10.1109/ISCAS.2011.5938060},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AminzadehS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Andrade-RomeroAR11,
  author       = {Javier Alexis Andrade{-}Romero and
                  Jesus Franklin Andrade and
                  Marat Rafikov},
  title        = {Nonlinear control evaluation of two-stage matrix converter using ideal
                  and non-ideal {IGBT} Models},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1041--1044},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937747},
  doi          = {10.1109/ISCAS.2011.5937747},
  timestamp    = {Sat, 11 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Andrade-RomeroAR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AndradeRCC11,
  author       = {Dennis Andrade and
                  Antonio Rubio and
                  Antonio Calomarde and
                  Sorin Dan Cotofana},
  title        = {Analysis of delay mismatching of digital circuits caused by common
                  environmental fluctuations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2585--2588},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938133},
  doi          = {10.1109/ISCAS.2011.5938133},
  timestamp    = {Thu, 06 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AndradeRCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AndreouZOCKMCT11,
  author       = {Andreas G. Andreou and
                  Zhaonian Zhang and
                  Recep Ozgun and
                  Edward Choi and
                  Zaven K. Kalayjian and
                  Miriam Adlerstein Marwick and
                  Jennifer Blain Christen and
                  Leslie Tung},
  title        = {Contactless fluorescence imaging with a {CMOS} image sensor},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2341--2344},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938072},
  doi          = {10.1109/ISCAS.2011.5938072},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AndreouZOCKMCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AsanoAK11,
  author       = {Masato Asano and
                  Daiki Abe and
                  Hirotaka Koizumi},
  title        = {A common grounded Z-source buck-boost converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {490--493},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937609},
  doi          = {10.1109/ISCAS.2011.5937609},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AsanoAK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AshryA11,
  author       = {Ahmed Ashry and
                  Hassan Aboushady},
  title        = {Sine-shaping mixer for continuous-time {\(\Sigma\)}{\(\Delta\)} ADCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1113--1116},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937765},
  doi          = {10.1109/ISCAS.2011.5937765},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AshryA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ay11,
  author       = {Suat U. Ay},
  title        = {Boosted readout for {CMOS} {APS} pixels},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2205--2208},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938038},
  doi          = {10.1109/ISCAS.2011.5938038},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ay11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ayazifar11,
  author       = {Babak Ayazifar},
  title        = {Rethinking Fourier's legacy in signals and systems education},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {599--602},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937636},
  doi          = {10.1109/ISCAS.2011.5937636},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ayazifar11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AzizM11,
  author       = {Pervez M. Aziz and
                  Amaresh V. Malipatil},
  title        = {Adaptation algorithms for a class of continuous time analog equalizers
                  with application to serial links},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1383--1386},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937830},
  doi          = {10.1109/ISCAS.2011.5937830},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AzizM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaccarinEA11,
  author       = {Davide Baccarin and
                  David Esseni and
                  Massimo Alioto},
  title        = {A novel back-biasing low-leakage technique for FinFET forced stacks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2079--2082},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938007},
  doi          = {10.1109/ISCAS.2011.5938007},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaccarinEA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BadreddineBB11,
  author       = {Mohamed Badreddine and
                  Yves Blaqui{\`{e}}re and
                  Mounir Boukadoum},
  title        = {Machine-learning framework for automatic netlist creation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2865--2868},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938203},
  doi          = {10.1109/ISCAS.2011.5938203},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BadreddineBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaekLCKS11,
  author       = {Kyung{-}Il Baek and
                  Hanho Lee and
                  Chang{-}Seok Choi and
                  Sangmin Kim and
                  Gerald E. Sobelman},
  title        = {A high-throughput {LDPC} decoder architecture for high-rate {WPAN}
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1311--1314},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937812},
  doi          = {10.1109/ISCAS.2011.5937812},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaekLCKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaltarMN11,
  author       = {Leonardo Gomes Baltar and
                  Amine Mezghani and
                  Josef A. Nossek},
  title        = {A method to convert near-perfect into perfect reconstruction {FIR}
                  prototype filters for modulated filter banks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1768--1771},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937926},
  doi          = {10.1109/ISCAS.2011.5937926},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BaltarMN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BanerjeeGIMZP11,
  author       = {Soumitro Banerjee and
                  Damian Giaouris and
                  Otman Imrayed and
                  Petros Missailidis and
                  Bashar Zahawi and
                  Volker Pickert},
  title        = {Nonsmooth dynamics of electrical systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2709--2712},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938164},
  doi          = {10.1109/ISCAS.2011.5938164},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BanerjeeGIMZP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaranAO11,
  author       = {Dursun Baran and
                  Mustafa Aktan and
                  Vojin G. Oklobdzija},
  title        = {Multiplier structures for low power applications in deep-CMOS},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1061--1064},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937752},
  doi          = {10.1109/ISCAS.2011.5937752},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BaranAO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaratiY11,
  author       = {Mahdi Barati and
                  Mohammad Yavari},
  title        = {A highly linear mixer with inherent balun using a new technique to
                  remove common mode currents},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1884--1887},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937955},
  doi          = {10.1109/ISCAS.2011.5937955},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BaratiY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BardynBDS11,
  author       = {Dieter Bardyn and
                  Johann A. Briffa and
                  Ann Dooms and
                  Peter Schelkens},
  title        = {Forensic data hiding optimized for {JPEG} 2000},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2657--2660},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938151},
  doi          = {10.1109/ISCAS.2011.5938151},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BardynBDS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BarthLI11,
  author       = {Carsten Barth and
                  Ivan R. Linscott and
                  Umran S. Inan},
  title        = {A Double notch {RF} filter architecture for SAW-less {GPS} receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1804--1807},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937935},
  doi          = {10.1109/ISCAS.2011.5937935},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BarthLI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BartolozziMI11,
  author       = {Chiara Bartolozzi and
                  Neeraj K. Mandloi and
                  Giacomo Indiveri},
  title        = {Attentive motion sensor for mobile robotic applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2813--2816},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938190},
  doi          = {10.1109/ISCAS.2011.5938190},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BartolozziMI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BashirS11,
  author       = {Imran Bashir and
                  Robert Bogdan Staszewski},
  title        = {Autonomous predistortion calibration of an {RF} power amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937537},
  doi          = {10.1109/ISCAS.2011.5937537},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BashirS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BassiBGN11,
  author       = {Matteo Bassi and
                  Andrea Bevilacqua and
                  Andrea Gerosa and
                  Andrea Neviani},
  title        = {Integrated transceivers for {UWB} breast cancer imaging: Architecture
                  and circuit constraints},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2087--2090},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938009},
  doi          = {10.1109/ISCAS.2011.5938009},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BassiBGN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BatalheiroPH11,
  author       = {Paulo Bulkool Batalheiro and
                  Mariane Rembold Petraglia and
                  Diego B. Haddad},
  title        = {Subband blind source separation considering acoustic reverberation
                  characteristics},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {633--636},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937645},
  doi          = {10.1109/ISCAS.2011.5937645},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BatalheiroPH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BatudeVPRPTHSBCTAMLDF11,
  author       = {Perrine Batude and
                  Maud Vinet and
                  Arnaud Pouydebasque and
                  Cyrille Le Royer and
                  Bernard Previtali and
                  Claude Tabone and
                  Jean{-}Michel Hartmann and
                  Loic Sanchez and
                  Laurence Baud and
                  Veronique Carron and
                  Alain Toffoli and
                  Fabienne Allain and
                  Vincent Mazzocchi and
                  Dominique Lafond and
                  Simon Deleonibus and
                  Olivier Faynot},
  title        = {3D monolithic integration},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2233--2236},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938045},
  doi          = {10.1109/ISCAS.2011.5938045},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BatudeVPRPTHSBCTAMLDF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BautistaMDM11,
  author       = {Farid Bautista and
                  Dominique Morche and
                  Francois Dehmas and
                  Gilles Masson},
  title        = {{UWB} beamforming architecture for {RTLS} applications using digital
                  phase-shifters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1540--1543},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937869},
  doi          = {10.1109/ISCAS.2011.5937869},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BautistaMDM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BeanS11,
  author       = {Andrew J. Bean and
                  Andrew C. Singer},
  title        = {A deflection criterion for time-interleaved analog-to-digital converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {265--268},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937552},
  doi          = {10.1109/ISCAS.2011.5937552},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BeanS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Becerra-AlvarezRS11,
  author       = {Edwin C. Becerra{-}Alvarez and
                  Jos{\'{e}} M. de la Rosa and
                  Federico Sandoval{-}Ibarra},
  title        = {Design considerations and experimental results of continuously-tuned
                  reconfigurable {CMOS} LNAs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937554},
  doi          = {10.1109/ISCAS.2011.5937554},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Becerra-AlvarezRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BechthumRR11,
  author       = {Elbert Bechthum and
                  Georgi I. Radulov and
                  Arthur H. M. van Roermund},
  title        = {A novel temperature and disturbance insensitive {DAC} calibration
                  method},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2003--2006},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937988},
  doi          = {10.1109/ISCAS.2011.5937988},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BechthumRR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BechthumTHR11,
  author       = {Elbert Bechthum and
                  Yongjian Tang and
                  Hans Hegt and
                  Arthur H. M. van Roermund},
  title        = {Timing error measurement for highly linear wideband Digital to Analog
                  Converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2019--2022},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937992},
  doi          = {10.1109/ISCAS.2011.5937992},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BechthumTHR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BeerGPDK11,
  author       = {Salomon Beer and
                  Ran Ginosar and
                  Michael Priel and
                  Rostislav (Reuven) Dobkin and
                  Avinoam Kolodny},
  title        = {An on-chip metastability measurement circuit to characterize synchronization
                  behavior in 65nm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2593--2596},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938135},
  doi          = {10.1109/ISCAS.2011.5938135},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BeerGPDK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Bellan11,
  author       = {Diego Bellan},
  title        = {An improved model of jitter effects in analog-to-digital conversion},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {381--384},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937582},
  doi          = {10.1109/ISCAS.2011.5937582},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Bellan11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BenabesT11,
  author       = {Philippe B{\'{e}}nab{\`{e}}s and
                  Catalin{-}Adrian Tugui},
  title        = {Effective modeling of {CT} functions for fast simulations using MATLAB-Simulink
                  and {VHDLAMS} applied to Sigma-Delta architectures},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2269--2272},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938054},
  doi          = {10.1109/ISCAS.2011.5938054},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BenabesT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BenevidesBF11,
  author       = {Alessander Botti Benevides and
                  Teodiano Freire Bastos Filho and
                  M{\'{a}}rio Sarcinelli Filho},
  title        = {A pseudo-online Brain-Computer Interface with automatic choice for
                  {EEG} channel and frequency},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937506},
  doi          = {10.1109/ISCAS.2011.5937506},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BenevidesBF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BergeA11,
  author       = {Hans Kristian Otnes Berge and
                  Snorre Aunet},
  title        = {Multi-objective optimization of minority-3 functions for ultra-low
                  voltage supplies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2313--2316},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938065},
  doi          = {10.1109/ISCAS.2011.5938065},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BergeA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BernardoCF11,
  author       = {Mario di Bernardo and
                  Alessandro Colombo and
                  Enric Fossas},
  title        = {Two-fold singularity in nonsmooth electrical systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2713--2716},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938165},
  doi          = {10.1109/ISCAS.2011.5938165},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BernardoCF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BernardoGL11,
  author       = {Mario di Bernardo and
                  Franco Garofalo and
                  Davide Liuzza},
  title        = {Synchronization of bounded piecewise smooth systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {733--736},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937670},
  doi          = {10.1109/ISCAS.2011.5937670},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BernardoGL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BevilacquaA11,
  author       = {Andrea Bevilacqua and
                  Pietro Andreani},
  title        = {On the bias noise to phase noise conversion in harmonic oscillators
                  using Groszkowski theory},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937540},
  doi          = {10.1109/ISCAS.2011.5937540},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BevilacquaA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhanjaP11,
  author       = {Sanjukta Bhanja and
                  Javier F. Pulecio},
  title        = {A review of magnetic cellular automata systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2373--2376},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938080},
  doi          = {10.1109/ISCAS.2011.5938080},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhanjaP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BodettoCMA11,
  author       = {Mirko Bodetto and
                  Angel Cid{-}Pastor and
                  Luis Mart{\'{\i}}nez{-}Salamero and
                  Abdelali El Aroudi},
  title        = {Design of an {LFR} based on a {SEPIC} converter under sliding mode
                  control for HBLEDs applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2901--2904},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938238},
  doi          = {10.1109/ISCAS.2011.5938238},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BodettoCMA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Bonet-DalmauPLGM11,
  author       = {Jordi Bonet{-}Dalmau and
                  Pere Pal{\`{a}}{-}Sch{\"{o}}nw{\"{a}}lder and
                  Francisco del {\'{A}}gu{\`{\i}}la L{\'{o}}pez and
                  M. Rosa Giralt{-}Mas and
                  F. Xavier Moncunill{-}Geniz},
  title        = {Analysis of the envelope and the instantaneous phase and frequency
                  of superregenerative oscillators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2761--2764},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938177},
  doi          = {10.1109/ISCAS.2011.5938177},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Bonet-DalmauPLGM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BontziosDH11,
  author       = {Yiorgos I. Bontzios and
                  Michael G. Dimopoulos and
                  Alkis A. Hatzopoulos},
  title        = {Efficient inductance calculation for long and medium length rectangular
                  interconnects in {VLSI} circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2337--2340},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938071},
  doi          = {10.1109/ISCAS.2011.5938071},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BontziosDH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BorgesB11,
  author       = {Altamir Ronsani Borges and
                  Ivo Barbi},
  title        = {A single stage buck-boost three-phase rectifier with high power factor
                  operating in continuous conduction mode {(CCM)}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2777--2780},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938181},
  doi          = {10.1109/ISCAS.2011.5938181},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BorgesB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BouguezelAS11,
  author       = {Saad Bouguezel and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {An efficient algorithm for the conjugate symmetric sequency-ordered
                  complex Hadamard transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1516--1519},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937863},
  doi          = {10.1109/ISCAS.2011.5937863},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BouguezelAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BouguezelAS11a,
  author       = {Saad Bouguezel and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {A low-complexity parametric transform for image compression},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2145--2148},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938023},
  doi          = {10.1109/ISCAS.2011.5938023},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BouguezelAS11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BrandonisioK11,
  author       = {Francesco Brandonisio and
                  Michael Peter Kennedy},
  title        = {First order noise shaping in all digital PLLs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {161--164},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937526},
  doi          = {10.1109/ISCAS.2011.5937526},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BrandonisioK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BruckmannFHKKT11,
  author       = {Dieter Br{\"{u}}ckmann and
                  Tobias Feldengut and
                  Bedrich J. Hosticka and
                  Rainer Kokozinski and
                  Karsten Konrad and
                  Nima Tavangaran},
  title        = {Optimization and implementation of continuous time DSP-systems by
                  using granularity reduction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {410--413},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937589},
  doi          = {10.1109/ISCAS.2011.5937589},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BruckmannFHKKT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CallegariPSS11,
  author       = {Sergio Callegari and
                  Fabio Pareschi and
                  Gianluca Setti and
                  Mani Soma},
  title        = {Resonate and fire dynamics in Complex Oscillation Based Test of analog
                  filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1331--1334},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937817},
  doi          = {10.1109/ISCAS.2011.5937817},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CallegariPSS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CareyLD11,
  author       = {Stephen J. Carey and
                  Alexey Lopich and
                  Piotr Dudek},
  title        = {A processor element for a mixed signal cellular processor array vision
                  chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1564--1567},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937875},
  doi          = {10.1109/ISCAS.2011.5937875},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CareyLD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaronMR11,
  author       = {Louis{-}Charles Caron and
                  Fr{\'{e}}d{\'{e}}ric Mailhot and
                  Jean Rouat},
  title        = {{FPGA} implementation of a spiking neural network for pattern matching},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {649--652},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937649},
  doi          = {10.1109/ISCAS.2011.5937649},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CaronMR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CarvalhoLLP11,
  author       = {Carlos Carvalho and
                  Guilherme Lavareda and
                  Jose Lameiro and
                  Nuno Paulino},
  title        = {A step-up {\(\mu\)}-power converter for solar energy harvesting applications,
                  using Hill Climbing maximum power point tracking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1924--1927},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937965},
  doi          = {10.1109/ISCAS.2011.5937965},
  timestamp    = {Mon, 04 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CarvalhoLLP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CasaleiroLOFS11,
  author       = {Jo{\~{a}}o Casaleiro and
                  Hugo Lopes and
                  Lu{\'{\i}}s Bica Oliveira and
                  Jorge R. Fernandes and
                  Manuel Medeiros Silva},
  title        = {A 1 mW low phase-noise relaxation oscillator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1133--1136},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937770},
  doi          = {10.1109/ISCAS.2011.5937770},
  timestamp    = {Mon, 06 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CasaleiroLOFS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CassidyAG11,
  author       = {Andrew Cassidy and
                  Andreas G. Andreou and
                  Julius Georgiou},
  title        = {A combinational digital logic approach to {STDP}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {673--676},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937655},
  doi          = {10.1109/ISCAS.2011.5937655},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CassidyAG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CassidyMAG11,
  author       = {Andrew Cassidy and
                  Thomas S. Murray and
                  Andreas G. Andreou and
                  Julius Georgiou},
  title        = {Evaluating on-chip interconnects for low operating frequency silicon
                  neuron arrays},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2437--2440},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938096},
  doi          = {10.1109/ISCAS.2011.5938096},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CassidyMAG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CastellanosF11,
  author       = {Juan Castellanos and
                  Fabiano Fruett},
  title        = {Embedded system for monitoring the comfort in public transportation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2809--2812},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938189},
  doi          = {10.1109/ISCAS.2011.5938189},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CastellanosF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CastroS11,
  author       = {Pablo Castro{-}Lisboa and
                  Fernando Silveira},
  title        = {High {CMRR} power efficient neural recording amplifier architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1700--1703},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937909},
  doi          = {10.1109/ISCAS.2011.5937909},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CastroS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CeciD11,
  author       = {Martin F. Ceci and
                  Mar{\'{\i}}a Bel{\'{e}}n D'Amico},
  title        = {An alternative strategy for reducing mode transitions in a four-switch
                  buck-boost converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1920--1923},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937964},
  doi          = {10.1109/ISCAS.2011.5937964},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CeciD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CesurYT11,
  author       = {Evren Cesur and
                  Nerhun Yildiz and
                  Vedat Tavsanoglu},
  title        = {An improved {FPGA} implementation of {CNN} Gabor-type filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {881--884},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937707},
  doi          = {10.1109/ISCAS.2011.5937707},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CesurYT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChaAZWL11,
  author       = {Run Cha and
                  Oscar C. Au and
                  Xingyu Zhang and
                  Xing Wen and
                  Jiali Li},
  title        = {Multiple sub-pixel interpolation filters with adaptive symmetry for
                  high-resolution video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937514},
  doi          = {10.1109/ISCAS.2011.5937514},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChaAZWL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChaiCH11,
  author       = {Li{-}Wei Chai and
                  Po{-}Lin Chiu and
                  Yuan{-}Hao Huang},
  title        = {Reduced-complexity interpolation-based {QR} decomposition using partial
                  layer mapping},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2381--2384},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938082},
  doi          = {10.1109/ISCAS.2011.5938082},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChaiCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanCTZ11,
  author       = {Shing{-}Chow Chan and
                  Yijing Chu and
                  Kai Man Tsui and
                  Zhiguo Zhang},
  title        = {A new switch-mode noise-constrained transform domain {NLMS} adaptive
                  filtering algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937515},
  doi          = {10.1109/ISCAS.2011.5937515},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanCTZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanTLT11,
  author       = {Cheng{-}Hao Chan and
                  Kun{-}Lin Tsai and
                  Feipei Lai and
                  Shun{-}Hung Tsai},
  title        = {A priority based output arbiter for NoC router},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1928--1931},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937966},
  doi          = {10.1109/ISCAS.2011.5937966},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanTLT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChandMSC11,
  author       = {Jagdish Chand and
                  Ravi Mehta and
                  Sumantra Seth and
                  Sujoy Chakravarty},
  title        = {High voltage protection for {USB} transceivers in 45nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2027--2030},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937994},
  doi          = {10.1109/ISCAS.2011.5937994},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChandMSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangID11,
  author       = {Ben{-}Yue Chang and
                  Milos Ivkovic and
                  Lara Dolecek},
  title        = {Computationally-efficient iterative decoding for storage system design:
                  Min-Sum refined},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1904--1907},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937960},
  doi          = {10.1109/ISCAS.2011.5937960},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangID11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChebliS11,
  author       = {Robert Chebli and
                  Mohamad Sawan},
  title        = {Adjustable input Self-Strobed Delay Line {ADC} intended to implantable
                  devices},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2297--2300},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938061},
  doi          = {10.1109/ISCAS.2011.5938061},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChebliS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenBT11,
  author       = {Denis Guangyin Chen and
                  Amine Bermak and
                  Chi{-}Ying Tsui},
  title        = {A low-complexity image compression algorithm for Address-Event Representation
                  {(AER)} {PWM} image sensors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2825--2828},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938193},
  doi          = {10.1109/ISCAS.2011.5938193},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenBT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCGC11,
  author       = {Junchao Chen and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang},
  title        = {A low-power dual-rail inputs write method for bit-interleaved memory
                  cells},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937568},
  doi          = {10.1109/ISCAS.2011.5937568},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCGC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenD11,
  author       = {Zhenqi Chen and
                  Fa Foster Dai},
  title        = {A 3mW 8-Bit radiation-hardened-by-design {DAC} for ultra-wide temperature
                  range from -180{\textdegree}C to 120{\textdegree}C},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {997--1000},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937736},
  doi          = {10.1109/ISCAS.2011.5937736},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenH11,
  author       = {Jienan Chen and
                  Jianhao Hu},
  title        = {Sliding Window Method for stochastic {LDPC} decoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1307--1310},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937811},
  doi          = {10.1109/ISCAS.2011.5937811},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenKHLWCH11,
  author       = {Fanta Chen and
                  Min{-}Sheng Kao and
                  Yu{-}Hao Hsu and
                  Chih{-}Hsing Lin and
                  Jen{-}Ming Wu and
                  Ching{-}Te Chiu and
                  Shuo{-}Hung Hsu},
  title        = {A 10 to 11.5GHz rotational phase and frequency detector for clock
                  recovery circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {185--188},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937532},
  doi          = {10.1109/ISCAS.2011.5937532},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenKHLWCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLCJ11,
  author       = {Yu{-}Sheng Chen and
                  Tsorng{-}Juu Liang and
                  Kai{-}Hui Chen and
                  Jer{-}Nan Juang},
  title        = {Study and implementation of high frequency pulse {LED} driver with
                  self-oscillating circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {498--501},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937611},
  doi          = {10.1109/ISCAS.2011.5937611},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLLCL11,
  author       = {Yao{-}Lin Chen and
                  Jen{-}Wei Lee and
                  Po{-}Chun Liu and
                  Hsie{-}Chia Chang and
                  Chen{-}Yi Lee},
  title        = {A dual-field elliptic curve cryptographic processor with a radix-4
                  unified division unit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {713--716},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937665},
  doi          = {10.1109/ISCAS.2011.5937665},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLLCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenSWS11,
  author       = {Tianmi Chen and
                  Xiaoyan Sun and
                  Feng Wu and
                  Guangming Shi},
  title        = {Adaptive patch matching for motion compensated prediction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2621--2624},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938142},
  doi          = {10.1109/ISCAS.2011.5938142},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenSWS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenT11,
  author       = {Zhenzhong Chen and
                  Yap{-}Peng Tan},
  title        = {Frame-level quantization control for perceptual quality constrained
                  {H.264/AVC} video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1231--1234},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937792},
  doi          = {10.1109/ISCAS.2011.5937792},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWCHYCJTC11,
  author       = {Tsan{-}Jieh Chen and
                  Chih{-}Hui Weng and
                  Herming Chiueh and
                  Chih{-}Cheng Hsieh and
                  Shang{-}Fu Yeh and
                  Wen{-}Hsu Chang and
                  Ying{-}Zong Juang and
                  Hann{-}Huei Tsai and
                  Chin{-}Fong Chiu},
  title        = {Live demonstration: The prototype of real-time image pre-processing
                  system for satellites' remote sensing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1992--1996},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937985},
  doi          = {10.1109/ISCAS.2011.5937985},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWCHYCJTC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWHCFJ11,
  author       = {Chiu{-}Kuo Chen and
                  Yi{-}Yuan Wang and
                  Zong{-}Han Hsieh and
                  Ericson Chua and
                  Wai{-}Chi Fang and
                  Tzyy{-}Ping Jung},
  title        = {A low power independent component analysis processor in 90nm {CMOS}
                  technology for portable {EEG} signal processing systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {801--804},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937687},
  doi          = {10.1109/ISCAS.2011.5937687},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWHCFJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWKBS11,
  author       = {Gregory K. Chen and
                  Michael Wieckowski and
                  Daeyeon Kim and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A dense 45nm half-differential {SRAM} with lower minimum operating
                  voltage},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937500},
  doi          = {10.1109/ISCAS.2011.5937500},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWKBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenYH11,
  author       = {Lina Chen and
                  Gaobo Yang and
                  Anthony Tung Shuen Ho},
  title        = {A Cauchy distribution based video watermark detection for {H.264/AVC}
                  in {DCT} domain},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2665--2668},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938153},
  doi          = {10.1109/ISCAS.2011.5938153},
  timestamp    = {Mon, 23 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenYJZG11,
  author       = {Shuai Chen and
                  Liqiong Yang and
                  Hua Jing and
                  Feng Zhang and
                  Zhuo Gao},
  title        = {A novel {SST} transmitter with mutually decoupled impedance self-calibration
                  and equalization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937529},
  doi          = {10.1109/ISCAS.2011.5937529},
  timestamp    = {Tue, 22 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenYJZG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZ11,
  author       = {Yun Chen and
                  Wei Xing Zheng},
  title        = {An {LMI} based state estimator for delayed Hopfield neural networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2681--2684},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938157},
  doi          = {10.1109/ISCAS.2011.5938157},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengL11,
  author       = {Chi{-}Tsun Cheng and
                  Henry Leung},
  title        = {A chaotic motion controller for camera networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1976--1979},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937978},
  doi          = {10.1109/ISCAS.2011.5937978},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengYYLXR11,
  author       = {Long Cheng and
                  Fan Ye and
                  Hai{-}Feng Yang and
                  Ning Li and
                  Jun Xu and
                  Junyan Ren},
  title        = {Nyquist-rate time-interleaved current-steering {DAC} with dynamic
                  channel matching},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937409},
  doi          = {10.1109/ISCAS.2011.5937409},
  timestamp    = {Sat, 09 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengYYLXR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChewCY11,
  author       = {Boon{-}Seng Chew and
                  Lap{-}Pui Chau and
                  Kim{-}Hui Yap},
  title        = {Image based approach with k-mean clustering for the compression of
                  human motion sequences},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1964--1967},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937975},
  doi          = {10.1109/ISCAS.2011.5937975},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChewCY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiaLC11,
  author       = {Chu{-}Hsiang Chia and
                  Pui{-}Sun Lei and
                  Robert Chen{-}Hao Chang},
  title        = {A high-efficiency {PWM} {DC-DC} buck converter with a novel {DCM}
                  control under light-load},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {237--240},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937545},
  doi          = {10.1109/ISCAS.2011.5937545},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiaLC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChierchieP11,
  author       = {Fernando Chierchie and
                  Eduardo E. Paolini},
  title        = {Quasi-analytical spectrum of {PWM} signals with dead-time for multiple
                  sinusoidal input},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1033--1036},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937745},
  doi          = {10.1109/ISCAS.2011.5937745},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChierchieP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiuGA11,
  author       = {Leung Kin Chiu and
                  Brian Gestner and
                  David V. Anderson},
  title        = {Design of analog audio classifiers with AdaBoost-Based feature selection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2469--2472},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938104},
  doi          = {10.1109/ISCAS.2011.5938104},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiuGA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoCWK11,
  author       = {Seongho Cho and
                  Byung{-}Ho Cha and
                  Jingwei Wang and
                  C.{-}C. Jay Kuo},
  title        = {Performance study on block-based image steganalysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2649--2652},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938149},
  doi          = {10.1109/ISCAS.2011.5938149},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoCWK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoLPP11,
  author       = {Taesang Cho and
                  Hanho Lee and
                  Jounsup Park and
                  Chulgyun Park},
  title        = {A high-speed low-complexity modified radix-2\({}^{\mbox{5}}\) {FFT}
                  processor for gigabit {WPAN} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1259--1262},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937799},
  doi          = {10.1109/ISCAS.2011.5937799},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoLPP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiKCB11,
  author       = {Minsu Choi and
                  Jinsang Kim and
                  Won{-}Kyung Cho and
                  Jinwook Burm},
  title        = {Area-efficient fast scheduling schemes for {MVC} prediction architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {575--578},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937630},
  doi          = {10.1109/ISCAS.2011.5937630},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiKCB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChongC11,
  author       = {S. S. Chong and
                  P. K. Chan},
  title        = {A quiescent power-aware low-voltage output capacitorless low dropout
                  regulator for SoC applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937495},
  doi          = {10.1109/ISCAS.2011.5937495},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChongC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChuaFCFTKH11,
  author       = {Ericson Chua and
                  Wai{-}Chi Fang and
                  Chiu{-}Kuo Chen and
                  Chih{-}Chung Fu and
                  Shao{-}Yen Tseng and
                  Shih Kang and
                  Zong{-}Han Hsieh},
  title        = {A highly-integrated biomedical multiprocessor system for portable
                  brain-heart monitoring},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1532--1535},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937867},
  doi          = {10.1109/ISCAS.2011.5937867},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChuaFCFTKH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ciodaro11,
  author       = {Thiago Ciodaro},
  title        = {Optimal signal discrimination in a Low signal-to-noise ratio environment},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1085--1088},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937758},
  doi          = {10.1109/ISCAS.2011.5937758},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ciodaro11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ClarkCC11,
  author       = {Lawrence T. Clark and
                  Tai{-}Hua Chen and
                  Vikas Chaudhary},
  title        = {Efficient voltage conversion for {SRAM} low standby power modes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937504},
  doi          = {10.1109/ISCAS.2011.5937504},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ClarkCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ClarkPHH11,
  author       = {Lawrence T. Clark and
                  David E. Pettit and
                  Keith E. Holbert and
                  Nathan D. Hindman},
  title        = {Validation of and delay variation in total ionizing dose hardened
                  standard cell libraries},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2051--2054},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938000},
  doi          = {10.1109/ISCAS.2011.5938000},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ClarkPHH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CongLL11,
  author       = {Jason Cong and
                  John Lee and
                  Guojie Luo},
  title        = {A unified optimization framework for simultaneous gate sizing and
                  placement under density constraints},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1207--1210},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937786},
  doi          = {10.1109/ISCAS.2011.5937786},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CongLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ConsoGMB11,
  author       = {Fabrizio Conso and
                  Marco Grassi and
                  Piero Malcovati and
                  Andrea Baschirotto},
  title        = {A very high dynamic range interface circuit for resistive gas sensor
                  matrix read-out},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2209--2212},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938039},
  doi          = {10.1109/ISCAS.2011.5938039},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ConsoGMB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ConsoliPP11,
  author       = {Elio Consoli and
                  Gaetano Palumbo and
                  Melita Pennisi},
  title        = {{TG} Master-Slave FFs: High-speed optimization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {554--557},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937625},
  doi          = {10.1109/ISCAS.2011.5937625},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ConsoliPP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ConteSK11,
  author       = {Fabrizio Lo Conte and
                  Jean{-}Michel Sallese and
                  Maher Kayal},
  title        = {Meshing strategy of equivalent substrate schematic in {SMART} power
                  {IC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {821--824},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937692},
  doi          = {10.1109/ISCAS.2011.5937692},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ConteSK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorbettaF11,
  author       = {Simone Corbetta and
                  William Fornaciari},
  title        = {Estimation of thermal status in multi-core systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1660--1663},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937899},
  doi          = {10.1109/ISCAS.2011.5937899},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CorbettaF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CosteaM11,
  author       = {Ruxandra{-}Liana Costea and
                  Corneliu A. Marinov},
  title        = {Recurrent neural network as a {KWTA} selector: {A} synthesis procedure},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1093--1096},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937760},
  doi          = {10.1109/ISCAS.2011.5937760},
  timestamp    = {Thu, 27 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CosteaM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CrupiAFMKGMWH11,
  author       = {Felice Crupi and
                  Massimo Alioto and
                  Jacopo Franco and
                  Paolo Magnone and
                  Ben Kaczer and
                  Guido Groeseneken and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Liesbeth Witters and
                  Thomas Y. Hoffmann},
  title        = {Experimental analysis of buried SiGe pMOSFETs from the perspective
                  of aggressive voltage scaling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2249--2252},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938049},
  doi          = {10.1109/ISCAS.2011.5938049},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CrupiAFMKGMWH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cruz-RoldanBG11,
  author       = {Fernando Cruz{-}Rold{\'{a}}n and
                  Manuel Blanco{-}Velasco and
                  Juan Ignacio Godino{-}Llorente},
  title        = {{MDFT} filter bank multicarrier systems with multiple transmission
                  zeros},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {454--457},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937600},
  doi          = {10.1109/ISCAS.2011.5937600},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cruz-RoldanBG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cruz-RoldanBLHA11,
  author       = {Fernando Cruz{-}Rold{\'{a}}n and
                  Manuel Blanco{-}Velasco and
                  Jos{\'{e}} S{\'{a}}ez Landete and
                  Conor Heneghan and
                  Pedro Amo{-}L{\'{o}}pez},
  title        = {Assessment of {NPR} {MDFT} filter banks for subband coding and data
                  transmission},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1764--1767},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937925},
  doi          = {10.1109/ISCAS.2011.5937925},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cruz-RoldanBLHA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiCZ11,
  author       = {Aijiao Cui and
                  Chip{-}Hong Chang and
                  Li Zhang},
  title        = {A hybrid watermarking scheme for sequential functions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2333--2336},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938070},
  doi          = {10.1109/ISCAS.2011.5938070},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiCZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DSouzaCPAZSB11,
  author       = {Sandeep D'Souza and
                  Mau{-}Chung Frank Chang and
                  Sudhakar Pamarti and
                  Bipul Agarwal and
                  Hossein Zarei and
                  Tirdad Sowlati and
                  Roc Berenguer},
  title        = {A progammable baseband anti-alias filter for a passive-mixer-based,
                  SAW-less, multi-band, multi-mode {WEDGE} transmitter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {450--453},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937599},
  doi          = {10.1109/ISCAS.2011.5937599},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DSouzaCPAZSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasC11,
  author       = {Dipayan Das and
                  Steve Collins},
  title        = {A circuit that creates a logarithmic response from an integrating
                  {CMOS} pixel},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2201--2204},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938037},
  doi          = {10.1109/ISCAS.2011.5938037},
  timestamp    = {Tue, 31 Mar 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasCB11,
  author       = {Bijit Kumar Das and
                  Mrityunjoy Chakraborty and
                  Soumitro Banerjee},
  title        = {Adaptive identification of sparse systems with variable sparsity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1267--1270},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937801},
  doi          = {10.1109/ISCAS.2011.5937801},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasCB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasHHM11,
  author       = {Somlal Das and
                  Md. Ekramul Hamid and
                  Keikichi Hirose and
                  Md. Khademul Islam Molla},
  title        = {Weighted noise subtraction and adaptive soft-thresholding approach
                  to speech enhancement},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2413--2416},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938090},
  doi          = {10.1109/ISCAS.2011.5938090},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasHHM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasMC11,
  author       = {Dipayan Das and
                  Hereward J. Mills and
                  Steve Collins},
  title        = {A wide dynamic range {CMOS} image sensor with the optimum photoresponse
                  per pixel},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1560--1563},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937874},
  doi          = {10.1109/ISCAS.2011.5937874},
  timestamp    = {Tue, 31 Mar 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasMC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DattaAT11,
  author       = {Timir Datta and
                  Pamela Abshire and
                  John A. Turner},
  title        = {Towards a legged chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2501--2504},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938112},
  doi          = {10.1109/ISCAS.2011.5938112},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DattaAT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DehaeneGDDMNHW11,
  author       = {Wim Dehaene and
                  Georges G. E. Gielen and
                  Geert Deconinck and
                  Johan Driesen and
                  Marc Moonen and
                  Bart Nauwelaers and
                  Chris Van Hoof and
                  Patrick Wambacq},
  title        = {Circuits and systems engineering education through interdisciplinary
                  team-based design projects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1195--1198},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937783},
  doi          = {10.1109/ISCAS.2011.5937783},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DehaeneGDDMNHW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DilliAGHR11,
  author       = {Zeynep Dilli and
                  Akin Akturk and
                  Neil Goldsman and
                  Michael A. Holloway and
                  John C. Rodgers},
  title        = {Nonlinear behavior of electrostatic discharge protection structures
                  under high-power microwave excitation: Modeling and simulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1840--1843},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937944},
  doi          = {10.1109/ISCAS.2011.5937944},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DilliAGHR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingPW11,
  author       = {Jian{-}Jiun Ding and
                  Soo{-}Chang Pei and
                  Po{-}Hung Wu},
  title        = {Jacket Haar transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1520--1523},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937864},
  doi          = {10.1109/ISCAS.2011.5937864},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingPW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DinizZTSBSPA11,
  author       = {Cl{\'{a}}udio Machado Diniz and
                  Bruno Zatt and
                  Cristiano Thiele and
                  Altamiro Amadeu Susin and
                  Sergio Bampi and
                  Felipe Sampaio and
                  Daniel Palomino and
                  Luciano Volcan Agostini},
  title        = {A high throughput {H.264/AVC} intra-frame encoding loop architecture
                  for HD1080p},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {579--582},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937631},
  doi          = {10.1109/ISCAS.2011.5937631},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DinizZTSBSPA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DixonACGA11,
  author       = {Anna M. R. Dixon and
                  Emily G. Allstot and
                  Andrew Y. Chen and
                  Daibashish Gangopadhyay and
                  David J. Allstot},
  title        = {Compressed sensing reconstruction: Comparative study with applications
                  to {ECG} bio-signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {805--808},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937688},
  doi          = {10.1109/ISCAS.2011.5937688},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DixonACGA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DjukanovicGSTA11,
  author       = {Milena Djukanovic and
                  Luca Giancane and
                  Giuseppe Scotti and
                  Alessandro Trifiletti and
                  Massimo Alioto},
  title        = {Leakage Power Analysis attacks: Effectiveness on {DPA} resistant logic
                  styles under process variations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2043--2046},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937998},
  doi          = {10.1109/ISCAS.2011.5937998},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DjukanovicGSTA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DoCKY11,
  author       = {Anh{-}Tuan Do and
                  Shoushun Chen and
                  Zhi{-}Hui Kong and
                  Kiat Seng Yeo},
  title        = {A low-power {CAM} with efficient power and delay trade-off},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2573--2576},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938130},
  doi          = {10.1109/ISCAS.2011.5938130},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DoCKY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DobesM11,
  author       = {Josef Dobes and
                  Jan M{\'{\i}}chal},
  title        = {An implementation of the circuit multiobjective optimization with
                  the weighted sum strategy and goal attainment method},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1728--1731},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937916},
  doi          = {10.1109/ISCAS.2011.5937916},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DobesM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DochertyK11,
  author       = {James Docherty and
                  Albert Koelmans},
  title        = {A flexible hardware implementation of {SHA-1} and {SHA-2} Hash Functions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1932--1935},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937967},
  doi          = {10.1109/ISCAS.2011.5937967},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DochertyK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongN11,
  author       = {Jie Dong and
                  King Ngi Ngan},
  title        = {Adaptive pre-interpolation filter for motion-compensated prediction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2617--2620},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938141},
  doi          = {10.1109/ISCAS.2011.5938141},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Dorta-QuinonesDA11,
  author       = {Carlos I. Dorta{-}Quinones and
                  Rajeev K. Dokania and
                  Alyssa B. Apsel},
  title        = {Extending the dynamic range of implantable real-time neurochemical
                  monitoring systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2353--2356},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938075},
  doi          = {10.1109/ISCAS.2011.5938075},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Dorta-QuinonesDA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuY11,
  author       = {Juan Du and
                  Lu Yu},
  title        = {A parallel and area-efficient architecture for deblocking filter and
                  Adaptive Loop Filter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {945--948},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937723},
  doi          = {10.1109/ISCAS.2011.5937723},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanC11,
  author       = {Jingbo Duan and
                  Degang Chen},
  title        = {{SNR} measurement based on linearity test for {ADC} {BIST}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {269--272},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937553},
  doi          = {10.1109/ISCAS.2011.5937553},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DupontMPPOP11,
  author       = {Fabricio Hoff Dupont and
                  Vinicius Foletto Montagner and
                  Jos{\'{e}} Renes Pinheiro and
                  Humberto Pinheiro and
                  Sergio Vidal Garcia Oliveira and
                  Adriano Peres},
  title        = {Comparison of digital {LQR} techniques for {DC-DC} boost converters
                  with large load range},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {925--928},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937718},
  doi          = {10.1109/ISCAS.2011.5937718},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DupontMPPOP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DutraLSD11,
  author       = {Alessandro J. S. Dutra and
                  Lisandro Lovisolo and
                  Eduardo A. B. da Silva and
                  Paulo S. R. Diniz},
  title        = {Successive approximation {FIR} filter design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937523},
  doi          = {10.1109/ISCAS.2011.5937523},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DutraLSD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-GabalyS11,
  author       = {Ahmed M. El{-}Gabaly and
                  Carlos E. Saavedra},
  title        = {A 24 GHz quadrature pulsed oscillator for short-range {UWB} vehicular
                  radar applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1283--1286},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937805},
  doi          = {10.1109/ISCAS.2011.5937805},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/El-GabalyS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-GouharyN11,
  author       = {Amany El{-}Gouhary and
                  Nathan M. Neihart},
  title        = {Analysis of transformer-based resonator quality factor and bandwidth
                  and the implications to VCOs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1888--1891},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937956},
  doi          = {10.1109/ISCAS.2011.5937956},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-GouharyN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EstevesDMMF11,
  author       = {Andr{\'{e}} F. Esteves and
                  Jos{\'{e}} M. Dores and
                  Pedro Matos and
                  Miguel A. Martins and
                  Jorge R. Fernandes},
  title        = {An {ISM} 2.4 GHz low-IF receiver frontend},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {546--549},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937623},
  doi          = {10.1109/ISCAS.2011.5937623},
  timestamp    = {Thu, 08 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EstevesDMMF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EunHSKK11,
  author       = {Hee Kwan Eun and
                  Sung Jo Hwang and
                  Myung Hoon Sunwoo and
                  Young Hwan Kim and
                  Hi{-}Seok Kim},
  title        = {Integer-pel Motion Estimation specific instructions and their hardware
                  architecture for {ASIP}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {953--956},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937725},
  doi          = {10.1109/ISCAS.2011.5937725},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EunHSKK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FabreNMCK11,
  author       = {Laurent Fabre and
                  Ira Nagel and
                  Cedric Meinen and
                  Rachid Cherkaoui and
                  Maher Kayal},
  title        = {A mixed-signal platform dedicated to power system dynamic computation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1860--1863},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937949},
  doi          = {10.1109/ISCAS.2011.5937949},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FabreNMCK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FakhouryJKNL11,
  author       = {Hussein Fakhoury and
                  Chadi Jabbour and
                  Hasham Khushk and
                  Van{-}Tam Nguyen and
                  Patrick Loumeau},
  title        = {A low-power {\(\Sigma\)}{\(\Delta\)} {ADC} optimized for {GSM/EDGE}
                  standard in 65-nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1109--1112},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937764},
  doi          = {10.1109/ISCAS.2011.5937764},
  timestamp    = {Thu, 19 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FakhouryJKNL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fan11,
  author       = {Jin Fan},
  title        = {Synchronization of the Kuramoto model with multi-scale-free property},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {729--732},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937669},
  doi          = {10.1109/ISCAS.2011.5937669},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fan11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanXDHZLH11,
  author       = {Jingjing Fan and
                  Yanzhe Xin and
                  Fenglin Dai and
                  Bo Hu and
                  Jianqiu Zhang and
                  Qiyong Lu and
                  Jun He},
  title        = {Distributed multi-camera object tracking with Bayesian Inference},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {357--360},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937576},
  doi          = {10.1109/ISCAS.2011.5937576},
  timestamp    = {Tue, 18 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanXDHZLH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FaustC11,
  author       = {Mathias Faust and
                  Chip{-}Hong Chang},
  title        = {Bit-parallel Multiple Constant Multiplication using Look-Up Tables
                  on {FPGA}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {657--660},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937651},
  doi          = {10.1109/ISCAS.2011.5937651},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FaustC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FazelC11,
  author       = {Amin Fazel and
                  Shantanu Chakrabartty},
  title        = {Sparse kernel cepstral coefficients {(SKCC):} Inner-product based
                  features for noise-robust speech recognition},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2401--2404},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938087},
  doi          = {10.1109/ISCAS.2011.5938087},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FazelC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerreiraND11,
  author       = {Tadeu N. Ferreira and
                  Sergio L. Netto and
                  Paulo S. R. Diniz},
  title        = {A Unitary {ESPRIT} algorithm for carrier frequency offset estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {629--632},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937644},
  doi          = {10.1109/ISCAS.2011.5937644},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerreiraND11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerreiraPN11,
  author       = {Pietro Maris Ferreira and
                  Herv{\'{e}} Petit and
                  Jean{-}Fran{\c{c}}ois Naviner},
  title        = {A new synthesis methodology for reliable {RF} front-end Design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2926--2929},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938204},
  doi          = {10.1109/ISCAS.2011.5938204},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerreiraPN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FigueiredoA11,
  author       = {Monica Figueiredo and
                  Rui L. Aguiar},
  title        = {Dynamic jitter accumulation in clock repeaters considering power and
                  ground noise correlations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2565--2568},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938128},
  doi          = {10.1109/ISCAS.2011.5938128},
  timestamp    = {Thu, 19 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FigueiredoA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FingerL11,
  author       = {Holger Finger and
                  Shih{-}Chii Liu},
  title        = {Estimating the location of a sound source with a spike-timing localization
                  algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2461--2464},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938102},
  doi          = {10.1109/ISCAS.2011.5938102},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FingerL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FisherDBF11,
  author       = {Sagi Fisher and
                  Raz Dagan and
                  Sagi Blonder and
                  Alexander Fish},
  title        = {An improved model for delay/energy estimation in near-threshold flip-flops},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1065--1068},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937753},
  doi          = {10.1109/ISCAS.2011.5937753},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FisherDBF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FitzgibbonKM11,
  author       = {Brian Fitzgibbon and
                  Michael Peter Kennedy and
                  Franco Maloberti},
  title        = {A novel implementation of dithered digital delta-sigma modulators
                  via bus-splitting},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1363--1366},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937825},
  doi          = {10.1109/ISCAS.2011.5937825},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FitzgibbonKM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FlorencioH11,
  author       = {Dinei A. F. Flor{\^{e}}ncio and
                  Li{-}wei He},
  title        = {Enhanced adaptive playout scheduling and loss concealment techniques
                  for Voice over {IP} networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {129--132},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937518},
  doi          = {10.1109/ISCAS.2011.5937518},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FlorencioH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FlorentinoFSFG11,
  author       = {Helder R. Florentino and
                  Raimundo C. S. Freire and
                  Alan V. S. S{\`{a}} and
                  Caio Florentino and
                  Dimitri Galayko},
  title        = {Electrostatic vibration energy harvester with piezoelectric start-up
                  generator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1343--1346},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937820},
  doi          = {10.1109/ISCAS.2011.5937820},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FlorentinoFSFG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FonsecaQ11,
  author       = {Tiago A. da Fonseca and
                  Ricardo L. de Queiroz},
  title        = {Complexity-constrained rate-distortion optimization for h.264/avc
                  video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2909--2912},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938240},
  doi          = {10.1109/ISCAS.2011.5938240},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FonsecaQ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FruhashiSFMF11,
  author       = {Kota Furuhashi and
                  Mitsuru Shiozaki and
                  Akitaka Fukushima and
                  Takahiko Murayama and
                  Takeshi Fujino},
  title        = {The arbiter-PUF with high uniqueness utilizing novel arbiter circuit
                  with Delay-Time Measurement},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2325--2328},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938068},
  doi          = {10.1109/ISCAS.2011.5938068},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FruhashiSFMF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FrustaciCA11,
  author       = {Fabio Frustaci and
                  Pasquale Corsonello and
                  Massimo Alioto},
  title        = {Tapered-VTH {CMOS} buffer design for improved energy efficiency in
                  deep nanometer technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2075--2078},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938006},
  doi          = {10.1109/ISCAS.2011.5938006},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FrustaciCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FuCRL11,
  author       = {Haipeng Fu and
                  Deyun Cai and
                  Junyan Ren and
                  Wei Li},
  title        = {A harmonic-suppressed regenerative divide-by-5 frequency divider for
                  {UWB} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1544--1547},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937870},
  doi          = {10.1109/ISCAS.2011.5937870},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FuCRL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FujiyoshiIL11,
  author       = {Kunihiro Fujiyoshi and
                  Keisuke Ishihara and
                  Tan Wei Liang},
  title        = {A novel representation for repeated placement},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2869--2872},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938230},
  doi          = {10.1109/ISCAS.2011.5938230},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FujiyoshiIL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FummiQS11,
  author       = {Franco Fummi and
                  Davide Quaglia and
                  Francesco Stefanni},
  title        = {Communication-aware design flow for dependable networked embedded
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2861--2864},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938202},
  doi          = {10.1109/ISCAS.2011.5938202},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FummiQS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaillardonJCO11,
  author       = {Pierre{-}Emmanuel Gaillardon and
                  M. Haykel Ben Jamaa and
                  Fabien Clermidy and
                  Ian O'Connor},
  title        = {Evaluation of a crossbar multiplexer in a lithography-based nanowire
                  technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2930--2933},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938205},
  doi          = {10.1109/ISCAS.2011.5938205},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaillardonJCO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Galias11,
  author       = {Zbigniew Galias},
  title        = {On rigorous integration of piece-wise linear continuous systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1339--1342},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937819},
  doi          = {10.1109/ISCAS.2011.5937819},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Galias11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaligekereK11,
  author       = {Veda Prakash Galigekere and
                  Marian K. Kazimierczuk},
  title        = {Small-signal modeling of {PWM} Z-source converter by circuit-averaging
                  technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1600--1603},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937884},
  doi          = {10.1109/ISCAS.2011.5937884},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaligekereK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GangopadhyayCA11,
  author       = {Daibashish Gangopadhyay and
                  Andrew Y. Chen and
                  David J. Allstot},
  title        = {Analog Chirp Fourier Transform for high-resolution real-time wideband
                  {RF} spectrum Analysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2441--2444},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938097},
  doi          = {10.1109/ISCAS.2011.5938097},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GangopadhyayCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GanzerliLES11,
  author       = {Marcello Ganzerli and
                  Luca Larcher and
                  Simone Erba and
                  Davide Sanzogni},
  title        = {An inductor-less 13.5-Gbps 8-mW analog equalizer for multi-channel
                  multi-frequency operation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {189--192},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937533},
  doi          = {10.1109/ISCAS.2011.5937533},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GanzerliLES11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoLYLXXL11,
  author       = {Hongyu Gao and
                  Weiyao Lin and
                  Xiaokang Yang and
                  Hongxiang Li and
                  Ning Xu and
                  Jun Xie and
                  Yan Li},
  title        = {A new network-based algorithm for multi-camera abnormal activity detection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {361--364},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937577},
  doi          = {10.1109/ISCAS.2011.5937577},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoLYLXXL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoXLL11,
  author       = {Yu Gao and
                  Xiaoyu Xiu and
                  Jie Liang and
                  Weisi Lin},
  title        = {Perceptual multiview video coding using synthesized Just Noticeable
                  Distortion maps},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2153--2156},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938025},
  doi          = {10.1109/ISCAS.2011.5938025},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoXLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoY11,
  author       = {Qing Gao and
                  Orly Yadid{-}Pecht},
  title        = {Dual {VDD} block based {CMOS} image sensor - preliminary evaluation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1820--1823},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937939},
  doi          = {10.1109/ISCAS.2011.5937939},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoZDZH11,
  author       = {Yuan Gao and
                  Yuanjin Zheng and
                  Shengxi Diao and
                  Yao Zhu and
                  Chun{-}Huat Heng},
  title        = {An integrated beamformer for {IR-UWB} receiver in 0.18-{\(\mathrm{\mu}\)}m
                  {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1548--1551},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937871},
  doi          = {10.1109/ISCAS.2011.5937871},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoZDZH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Garcia-TormoPAG11,
  author       = {Albert Garcia{-}Tormo and
                  Alberto Poveda and
                  Eduard Alarc{\'{o}}n and
                  Francesc Guinjoan},
  title        = {A grounded-output 5-switch bipolar buck-boost converter topology for
                  switching power amplifiers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2769--2772},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938179},
  doi          = {10.1109/ISCAS.2011.5938179},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Garcia-TormoPAG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GarciaR11,
  author       = {Julian Garcia and
                  Ana Rusu},
  title        = {High-order continuous-time incremental {\(\Sigma\)}{\(\Delta\)} {ADC}
                  for multi-channel applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1121--1124},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937767},
  doi          = {10.1109/ISCAS.2011.5937767},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GarciaR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GargSH11,
  author       = {Vaibhav Garg and
                  Ravi Shekhar and
                  John G. Harris},
  title        = {The time machine: {A} novel spike-based computation architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {685--688},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937658},
  doi          = {10.1109/ISCAS.2011.5937658},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GargSH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeraldoM11,
  author       = {Rafael Jos{\'{e}} Geraldo and
                  Nelson D. A. Mascarenhas},
  title        = {Noise reduction filters based on pointwise {MAP} for {CT} images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937508},
  doi          = {10.1109/ISCAS.2011.5937508},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeraldoM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhantousB11,
  author       = {Milad Ghantous and
                  Magdy A. Bayoumi},
  title        = {P\({}^{\mbox{2}}\)E-DWT: {A} parallel and pipelined efficient {VLSI}
                  architecture of 2-D Discrete Wavelet Transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {941--944},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937722},
  doi          = {10.1109/ISCAS.2011.5937722},
  timestamp    = {Mon, 20 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhantousB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GholamipourGCTSKE11,
  author       = {Amir Hossein Gholamipour and
                  Ali Gorcin and
                  Hasari Celebi and
                  B. Ugur T{\"{o}}reyin and
                  Mazen A. R. Saghir and
                  Fadi J. Kurdahi and
                  Ahmed M. Eltawil},
  title        = {Reconfigurable filter implementation of a matched-filter based spectrum
                  sensor for Cognitive Radio systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2457--2460},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938101},
  doi          = {10.1109/ISCAS.2011.5938101},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GholamipourGCTSKE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhosalKA11,
  author       = {Kaushik Ghosal and
                  S. A. Kannan and
                  Bharadwaj Amrutur},
  title        = {A power scalable receiver front-end at 2.4 GHz},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2765--2768},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938178},
  doi          = {10.1109/ISCAS.2011.5938178},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhosalKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GibsonWM11,
  author       = {Sarah Gibson and
                  Victoria Wang and
                  Dejan Markovic},
  title        = {Effects of quantization on neural spike sorting},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2099--2102},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938012},
  doi          = {10.1109/ISCAS.2011.5938012},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GibsonWM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GilFLBS11,
  author       = {Diana Carolina Gil and
                  Rana Farah and
                  J. M. Pierre Langlois and
                  Guillaume{-}Alexandre Bilodeau and
                  Yvon Savaria},
  title        = {Comparative analysis of contrast enhancement algorithms in surveillance
                  imaging},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {849--852},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937699},
  doi          = {10.1109/ISCAS.2011.5937699},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GilFLBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GiustolisiMP11,
  author       = {Gianluca Giustolisi and
                  Rosario Mita and
                  Gaetano Palumbo},
  title        = {Verilog-A modeling of {SPAD} statistical phenomena},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {773--776},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937680},
  doi          = {10.1109/ISCAS.2011.5937680},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GiustolisiMP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GohGCPT11,
  author       = {Zheng Da Clinton Goh and
                  Pantelis Georgiou and
                  Timothy G. Constandinou and
                  Themistoklis Prodromakis and
                  Christofer Toumazou},
  title        = {Live demonstration: {A} CMOS-based lab-on-chip array for combined
                  magnetic manipulation and opto-chemical sensing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1997--2001},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937986},
  doi          = {10.1109/ISCAS.2011.5937986},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GohGCPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GoldsteinKRXVC11,
  author       = {Brian Goldstein and
                  Dongsoo Kim and
                  Angelo Rottigni and
                  Jian Xu and
                  T. Kyle Vanderlick and
                  Eugenio Culurciello},
  title        = {{CMOS} low current measurement system for biomedical applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1017--1020},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937741},
  doi          = {10.1109/ISCAS.2011.5937741},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GoldsteinKRXVC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GoncalvesMF11,
  author       = {Hugo B. Goncalves and
                  Miguel A. Martins and
                  Jorge R. Fernandes},
  title        = {Energy harvesting circuit using integrated transformer},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {897--900},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937711},
  doi          = {10.1109/ISCAS.2011.5937711},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GoncalvesMF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gonzalez-DiazPM11,
  author       = {Victor R. Gonzalez{-}Diaz and
                  Aldo Pena{-}Perez and
                  Franco Maloberti},
  title        = {Use of time variant digital sigma-delta for fractional frequency synthesizers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937528},
  doi          = {10.1109/ISCAS.2011.5937528},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gonzalez-DiazPM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GonzalezGC11,
  author       = {Gustavo J. Gonz{\'{a}}lez and
                  Fernando H. Gregorio and
                  Juan E. Cousseau},
  title        = {Low complexity block-based unbiased frequency estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1069--1072},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937754},
  doi          = {10.1109/ISCAS.2011.5937754},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GonzalezGC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GosselinG11,
  author       = {Benoit Gosselin and
                  Maysam Ghovanloo},
  title        = {A high-performance analog front-end for an intraoral tongue-operated
                  assistive technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2613--2616},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938140},
  doi          = {10.1109/ISCAS.2011.5938140},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GosselinG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GregorioCWRW11,
  author       = {Fernando H. Gregorio and
                  Juan E. Cousseau and
                  Stefan Werner and
                  Taneli Riihonen and
                  Risto Wichman},
  title        = {Compensation of {IQ} imbalance and transmitter nonlinearities in broadband
                  {MIMO-OFDM}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2393--2396},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938085},
  doi          = {10.1109/ISCAS.2011.5938085},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GregorioCWRW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GrellertSMA11,
  author       = {Mateus Grellert and
                  Felipe Sampaio and
                  J{\'{u}}lio C. B. de Mattos and
                  Luciano Volcan Agostini},
  title        = {A multilevel data reuse scheme for Motion Estimation and its {VLSI}
                  design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {583--586},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937632},
  doi          = {10.1109/ISCAS.2011.5937632},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GrellertSMA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuC11,
  author       = {Ming Gu and
                  Shantanu Chakrabartty},
  title        = {An adaptive analog low-density parity-check decoder based on margin
                  propagation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1315--1318},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937813},
  doi          = {10.1109/ISCAS.2011.5937813},
  timestamp    = {Fri, 15 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuZS11,
  author       = {Jian{-}Feng Gu and
                  Wei{-}Ping Zhu and
                  M. N. S. Swamy},
  title        = {Minimum redundancy linear sparse subarrays for direction of arrival
                  estimation without ambiguity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {390--393},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937584},
  doi          = {10.1109/ISCAS.2011.5937584},
  timestamp    = {Wed, 14 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuZS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuZS11a,
  author       = {Jian{-}Feng Gu and
                  Wei{-}Ping Zhu and
                  M. N. S. Swamy},
  title        = {Compressed sensing for {DOA} estimation with fewer receivers than
                  sensors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1752--1755},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937922},
  doi          = {10.1109/ISCAS.2011.5937922},
  timestamp    = {Wed, 14 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuZS11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoW11,
  author       = {Zhishan Guo and
                  Jun Wang},
  title        = {Information retrieval from large data sets via multiple-winners-take-all},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2669--2672},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938154},
  doi          = {10.1109/ISCAS.2011.5938154},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaAZ11,
  author       = {Akhil Gupta and
                  Shahrokh Ahmadi and
                  Mona E. Zaghloul},
  title        = {A 400 MHz delta-sigma modulator for bandpass {IF} digitization around
                  100 MHz with excess loop delay compensation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1375--1378},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937828},
  doi          = {10.1109/ISCAS.2011.5937828},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaAZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Guthaus11,
  author       = {Matthew R. Guthaus},
  title        = {Distributed {LC} resonant clock tree synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1215--1218},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937788},
  doi          = {10.1109/ISCAS.2011.5937788},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Guthaus11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HagaK11,
  author       = {Yasutaka Haga and
                  Izzet Kale},
  title        = {Bulk-driven {DC} level shifter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2039--2042},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937997},
  doi          = {10.1109/ISCAS.2011.5937997},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HagaK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HallGC11,
  author       = {Michael J. Hall and
                  Viktor Gruev and
                  Roger D. Chamberlain},
  title        = {Noise analysis of a current-mode read circuit for sensing magnetic
                  tunnel junction resistance},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1816--1819},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937938},
  doi          = {10.1109/ISCAS.2011.5937938},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HallGC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HambeckMH11,
  author       = {Christian Hambeck and
                  Stefan Mahlknecht and
                  Thomas Herndl},
  title        = {A 2.4{\(\mathrm{\mu}\)}W Wake-up Receiver for wireless sensor nodes
                  with -71dBm sensitivity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {534--537},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937620},
  doi          = {10.1109/ISCAS.2011.5937620},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HambeckMH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HameedW11,
  author       = {Zohaib Hameed and
                  Chunyan Wang},
  title        = {Edge detection using histogram equalization and multi-filtering process},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1077--1080},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937756},
  doi          = {10.1109/ISCAS.2011.5937756},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HameedW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HamiltonT11,
  author       = {Tara Julia Hamilton and
                  Jonathan Tapson},
  title        = {A neuromorphic cross-correlation chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {865--868},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937703},
  doi          = {10.1109/ISCAS.2011.5937703},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HamiltonT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanCWK11,
  author       = {Liu Han and
                  Dongdong Chen and
                  Khan A. Wahid and
                  Seok{-}Bum Ko},
  title        = {Nonspeculative decimal signed digit adder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1053--1056},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937750},
  doi          = {10.1109/ISCAS.2011.5937750},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HanCWK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hanna11,
  author       = {Magdy T. Hanna},
  title        = {Orthonormal eigenvectors of the {DFT-IV} matrix by the eigenanalysis
                  of a nearly tridiagonal matrix},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1504--1507},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937860},
  doi          = {10.1109/ISCAS.2011.5937860},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hanna11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanninenTL11,
  author       = {Ismo H{\"{a}}nninen and
                  Jarmo Takala and
                  Craig S. Lent},
  title        = {Irreversible bit erasures in binary multipliers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2369--2372},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938079},
  doi          = {10.1109/ISCAS.2011.5938079},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanninenTL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HarbEF11,
  author       = {Shadi M. Harb and
                  William R. Eisenstadt and
                  Robert M. Fox},
  title        = {A sub-1V {CMOS} voltage reference generator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {901--904},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937712},
  doi          = {10.1109/ISCAS.2011.5937712},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HarbEF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HariharanLK11,
  author       = {Kamala Hariharan and
                  Susan Luschas and
                  Shoba Krishnan},
  title        = {Delta-sigma buck converter - {A} design exploration for {GSM} Wireless
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {929--932},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937719},
  doi          = {10.1109/ISCAS.2011.5937719},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HariharanLK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HashemiN11,
  author       = {Seyyed Ali Hashemi and
                  Behrouz Nowrouzian},
  title        = {A novel finite-wordlength particle swarm optimization technique for
                  {FRM} {IIR} digital filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2745--2748},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938173},
  doi          = {10.1109/ISCAS.2011.5938173},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HashemiN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HassanRC11,
  author       = {Md. Khaled Hassan and
                  Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Addressing crosstalk issue in on-chip carbon nanotube interconnects
                  using negative capacitance},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1407--1410},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937836},
  doi          = {10.1109/ISCAS.2011.5937836},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HassanRC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HassanSM11,
  author       = {Hanan M. Hassan and
                  Ahmed F. Shalash and
                  Karim Mohamed},
  title        = {{FPGA} Implementation of an {ASIP} for high throughput {DFT/DCT} 1D/2D
                  engine},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1255--1258},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937798},
  doi          = {10.1109/ISCAS.2011.5937798},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HassanSM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/He11,
  author       = {Di He},
  title        = {Improving the computer network intrusion detection performance using
                  the relevance vector machine with Chebyshev chaotic map},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1584--1587},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937880},
  doi          = {10.1109/ISCAS.2011.5937880},
  timestamp    = {Mon, 13 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/He11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/He11a,
  author       = {Di He},
  title        = {Optimization of quartic double-well bistable stochastic resonance
                  system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1972--1975},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937977},
  doi          = {10.1109/ISCAS.2011.5937977},
  timestamp    = {Mon, 13 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/He11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HebertABST11,
  author       = {Nicolas Hebert and
                  Gabriel Marchesan Almeida and
                  Pascal Benoit and
                  Gilles Sassatelli and
                  Lionel Torres},
  title        = {Evaluation of a distributed fault handler method for MPSoC},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2329--2332},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938069},
  doi          = {10.1109/ISCAS.2011.5938069},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HebertABST11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HelmyE11,
  author       = {Ahmed A. Helmy and
                  Kamran Entesari},
  title        = {Reduced-size ultra-wideband true-time-delay beam-forming receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1287--1290},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937806},
  doi          = {10.1109/ISCAS.2011.5937806},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HelmyE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HershbergWTHM11,
  author       = {Benjamin P. Hershberg and
                  Skyler Weaver and
                  Seiji Takeuchi and
                  Koichi Hamashita and
                  Un{-}Ku Moon},
  title        = {Binary Access Memory: An optimized lookup table for successive approximation
                  applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1620--1623},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937889},
  doi          = {10.1109/ISCAS.2011.5937889},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HershbergWTHM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HilgendorfMESR11,
  author       = {Rolf B. Hilgendorf and
                  Moshe Mishali and
                  Yonina C. Eldar and
                  Eli Shoshan and
                  Ina Rivkin},
  title        = {Live demonstration: {MWC} for real-time application},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2002},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937987},
  doi          = {10.1109/ISCAS.2011.5937987},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HilgendorfMESR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hiskens11,
  author       = {Ian A. Hiskens},
  title        = {Trajectory deadlock in power system models},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2721--2724},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938167},
  doi          = {10.1109/ISCAS.2011.5938167},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hiskens11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoCGCSC11,
  author       = {Weng{-}Geng Ho and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang and
                  Yin Sun and
                  Kok{-}Leong Chang},
  title        = {Improved asynchronous-logic dual-rail Sense Amplifier-based Pass Transistor
                  Logic with high speed and low power operation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1936--1939},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937968},
  doi          = {10.1109/ISCAS.2011.5937968},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoCGCSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoG11,
  author       = {Wei{-}Gi Ho and
                  Ranjit Gharpurey},
  title        = {A cross-correlation based signal detector with two-step down-converter
                  robust to finite image rejection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2197--2200},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938036},
  doi          = {10.1109/ISCAS.2011.5938036},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoM11,
  author       = {Edward N. Y. Ho and
                  Philip K. T. Mok},
  title        = {Design optimization of an output capacitor-less low dropout regulator
                  with compensation capcitance reduction and slew-rate enhancement technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937499},
  doi          = {10.1109/ISCAS.2011.5937499},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongCRC11,
  author       = {Feng Hong and
                  Binjie Cheng and
                  Scott Roy and
                  David R. S. Cumming},
  title        = {An analytical mismatch model of nano-CMOS device under impact of intrinsic
                  device variability},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2257--2260},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938051},
  doi          = {10.1109/ISCAS.2011.5938051},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HongCRC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongRY11,
  author       = {Sunjoo Hong and
                  Taehwan Roh and
                  Hoi{-}Jun Yoo},
  title        = {A 145{\(\mathrm{\mu}\)}W 8{\texttimes}8 parallel multiplier based
                  on optimized bypassing architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1175--1178},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937778},
  doi          = {10.1109/ISCAS.2011.5937778},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HongRY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuLCT11,
  author       = {Yuanqi Hu and
                  Yan Liu and
                  Timothy G. Constandinou and
                  Christofer Toumazou},
  title        = {A 5s-time-constant temperature-stable integrator for a tuneable {PID}
                  controller in {LOC} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1387--1390},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937831},
  doi          = {10.1109/ISCAS.2011.5937831},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuLCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuXLGZW11,
  author       = {Jun Hu and
                  Xiang Xie and
                  Guolin Li and
                  Yingke Gu and
                  Yemao Zeng and
                  Zhihua Wang},
  title        = {Attitude sensing system design for wireless Micro-Ball endoscopy},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2357--2360},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938076},
  doi          = {10.1109/ISCAS.2011.5938076},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuXLGZW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangC11,
  author       = {Chenling Huang and
                  Shantanu Chakrabartty},
  title        = {A hybrid energy scavenging sensor for long-term mechanical strain
                  monitoring},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2473--2476},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938105},
  doi          = {10.1109/ISCAS.2011.5938105},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHLCL11,
  author       = {Tzu{-}Chi Huang and
                  Hong{-}Yi Huang and
                  Jen{-}Chieh Liu and
                  Kuo{-}Hsing Cheng and
                  Ching{-}Hsing Luo},
  title        = {All digital phase-locked loop using active inductor oscillator and
                  novel locking algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {486--489},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937608},
  doi          = {10.1109/ISCAS.2011.5937608},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHLCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangI11,
  author       = {Zhangcai Huang and
                  Yasuaki Inoue},
  title        = {A sub-100nA power management system for wireless structure health
                  monitoring applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2897--2900},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938237},
  doi          = {10.1109/ISCAS.2011.5938237},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLCL11,
  author       = {Tsung{-}Yuan Huang and
                  He{-}Yuan Lin and
                  Chun{-}Fu Chen and
                  Gwo Giun Lee},
  title        = {Reconfigurable inverse transform architecture for multiple purpose
                  video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1223--1226},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937790},
  doi          = {10.1109/ISCAS.2011.5937790},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangM11,
  author       = {Cheng Huang and
                  Philip K. T. Mok},
  title        = {Cross-Regulation-Suppression control scheme for {CCM} Single-Inductor-Dual-Output
                  buck converter with ordered-power-distributive control},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1612--1615},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937887},
  doi          = {10.1109/ISCAS.2011.5937887},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangSLCSCDC11,
  author       = {Yu{-}Ping Huang and
                  Yi{-}Ping Su and
                  Yu{-}Huei Lee and
                  Kuan{-}Yu Chu and
                  Chun{-}Jen Shih and
                  Ke{-}Horng Chen and
                  Ming{-}Jhe Du and
                  Shih{-}Hsien Cheng},
  title        = {Single controller current balance {(SCCB)} technique for voltage-mode
                  multi-phase buck converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {761--764},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937677},
  doi          = {10.1109/ISCAS.2011.5937677},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangSLCSCDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HultgrenMR11,
  author       = {Anders Hultgren and
                  Jan Melin and
                  Per Ranstad},
  title        = {Limit cycle control of an industrially applied resonant converter
                  modelled as a hybrid system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1916--1919},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937963},
  doi          = {10.1109/ISCAS.2011.5937963},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HultgrenMR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HungLHL11,
  author       = {Wei{-}Hsiang Hung and
                  Kuan{-}Ting Lin and
                  Jian{-}Yu Hsieh and
                  Shey{-}Shi Lu},
  title        = {A 2-6GHz broadband {CMOS} low-noise amplifier with current reuse topology
                  utilizing a noise-shaping technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1291--1294},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937807},
  doi          = {10.1109/ISCAS.2011.5937807},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HungLHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwangCC11,
  author       = {Yin{-}Tsung Hwang and
                  Feng{-}Ming Chang and
                  Shin{-}Wen Chen},
  title        = {Low complexity baseband transceiver design for narrow band power line
                  communication},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {442--445},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937597},
  doi          = {10.1109/ISCAS.2011.5937597},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwangCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/InoueK11,
  author       = {Keisuke Inoue and
                  Mineo Kaneko},
  title        = {Variable-duty-cycle scheduling in double-edge-triggered flip-flop-based
                  high-level synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {550--553},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937624},
  doi          = {10.1109/ISCAS.2011.5937624},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/InoueK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IuYFS11,
  author       = {Herbert Ho{-}Ching Iu and
                  Dongsheng Yu and
                  Andrew Lewis Fitch and
                  Victor Sreeram},
  title        = {Chaos control in a memristor based circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2946--2949},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938209},
  doi          = {10.1109/ISCAS.2011.5938209},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IuYFS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JabbourKFNL11,
  author       = {Chadi Jabbour and
                  Hasham Khushk and
                  Hussein Fakhoury and
                  Van Tam Nguyen and
                  Patrick Loumeau},
  title        = {A {LP/HP} {UMTS/GSM} {\(\Sigma\)}{\(\Delta\)} {ADC} suited for a Zero-IF/Low-IF
                  receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1125--1128},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937768},
  doi          = {10.1109/ISCAS.2011.5937768},
  timestamp    = {Thu, 19 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JabbourKFNL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JacklinD11,
  author       = {Neil Jacklin and
                  Zhi Ding},
  title        = {A convex optimization approach to reducing peak-to-average-power ratio
                  in {OFDM}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {973--976},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937730},
  doi          = {10.1109/ISCAS.2011.5937730},
  timestamp    = {Thu, 13 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JacklinD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JackumMPR11,
  author       = {Thomas Jackum and
                  Gerhard Maderbacher and
                  Wolfgang Pribyl and
                  Roman Riederer},
  title        = {Fast transient response capacitor-free linear voltage regulator in
                  65nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {905--908},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937713},
  doi          = {10.1109/ISCAS.2011.5937713},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JackumMPR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JaiswalJT11,
  author       = {Sunil Prasad Jaiswal and
                  Vinit Jakhetiya and
                  Anil Kumar Tiwari},
  title        = {An efficient image interpolation algorithm based upon the switching
                  and self learned characteristics for natural images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {861--864},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937702},
  doi          = {10.1109/ISCAS.2011.5937702},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JaiswalJT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JalaliBM11,
  author       = {Mohammad Sadegh Jalali and
                  Alireza Sharif Bakhtiar and
                  Shahriar Mirabbasi},
  title        = {A hybrid phase-locked loop for {CDR} Applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2533--2536},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938120},
  doi          = {10.1109/ISCAS.2011.5938120},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JalaliBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Jang11,
  author       = {Ji{-}Eun Jang},
  title        = {Comparator-based switched-capacitor pipelined {ADC} with background
                  offset calibration},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937549},
  doi          = {10.1109/ISCAS.2011.5937549},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Jang11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JangPDCL11,
  author       = {In{-}Gul Jang and
                  Zhe{-}Yan Piao and
                  Ze{-}Hua Dong and
                  Jin{-}Gyun Chung and
                  Kang{-}Yoon Lee},
  title        = {Low-power {FFT} design for {NC-OFDM} in cognitive radio systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2449--2452},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938099},
  doi          = {10.1109/ISCAS.2011.5938099},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JangPDCL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JanssenMBH11,
  author       = {Erwin J. G. Janssen and
                  Dusan M. Milosevic and
                  Peter G. M. Baltus and
                  Hooman Habibi},
  title        = {Modeling and analysis of nonlinearities and bandwidth limitations
                  in {RF} receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1836--1839},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937943},
  doi          = {10.1109/ISCAS.2011.5937943},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JanssenMBH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JavidanZAGCJ11,
  author       = {Mohammad Javidan and
                  Eldar Zianbetov and
                  Fran{\c{c}}ois Anceau and
                  Dimitri Galayko and
                  {\'{E}}ric Colinet and
                  J{\'{e}}r{\^{o}}me Juillard},
  title        = {A novel technique to reduce the metastability of Bang-Bang Phase Frequency
                  Detectors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2577--2580},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938131},
  doi          = {10.1109/ISCAS.2011.5938131},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JavidanZAGCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JavidanZAGKCSAJ11,
  author       = {Mohammad Javidan and
                  Eldar Zianbetov and
                  Fran{\c{c}}ois Anceau and
                  Dimitri Galayko and
                  Anton Korniienko and
                  {\'{E}}ric Colinet and
                  G{\'{e}}rard Scorletti and
                  Jean{-}Michel Akre and
                  J{\'{e}}r{\^{o}}me Juillard},
  title        = {All-digital {PLL} array provides reliable distributed clock for SOCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2589--2592},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938134},
  doi          = {10.1109/ISCAS.2011.5938134},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JavidanZAGKCSAJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JensenLS11,
  author       = {Jeff C. Jensen and
                  Edward A. Lee and
                  Sanjit A. Seshia},
  title        = {An introductory capstone design course on embedded systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1199--1202},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937784},
  doi          = {10.1109/ISCAS.2011.5937784},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JensenLS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JimenezN11,
  author       = {Juan C. Jim{\'{e}}nez and
                  Chika O. Nwankpa},
  title        = {Circuit model of a phase-shifting transformer for analog power flow
                  emulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1864--1867},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937950},
  doi          = {10.1109/ISCAS.2011.5937950},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JimenezN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JingM11,
  author       = {Xiaocheng Jing and
                  Philip K. T. Mok},
  title        = {Ultra-fast hysteretic single-inductor-dual-output boost regulator
                  with predictable noise spectrum and minimized cross-regulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937560},
  doi          = {10.1109/ISCAS.2011.5937560},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JingM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JingML11,
  author       = {Xiaocheng Jing and
                  Philip K. T. Mok and
                  Ming Chak Lee},
  title        = {Current-slope-controlled adaptive-on-time {DC-DC} converter with fixed
                  frequency and fast transient response},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1908--1911},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937961},
  doi          = {10.1109/ISCAS.2011.5937961},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JingML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JohanssonGDW11,
  author       = {Kenny Johansson and
                  Oscar Gustafsson and
                  Linda DeBrunner and
                  Lars Wanhammar},
  title        = {Minimum adder depth multiple constant multiplication algorithm for
                  low power {FIR} filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1439--1442},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937844},
  doi          = {10.1109/ISCAS.2011.5937844},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JohanssonGDW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JolyTLPAJF11,
  author       = {Y. Joly and
                  L. Truphemus and
                  Laurent Lopez and
                  Jean{-}Michel Portal and
                  Hassen Aziza and
                  Franck Julien and
                  Pascal Fornara},
  title        = {Temperature and hump effect impact on output voltage spread of low
                  power bandgap designed in the sub-threshold area},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2549--2552},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938124},
  doi          = {10.1109/ISCAS.2011.5938124},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JolyTLPAJF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JoshiPT11,
  author       = {Jonathan Joshi and
                  Alice Cline Parker and
                  Ko{-}Chung Tseng},
  title        = {An in-silico glial microdomain to invoke excitability in cortical
                  neural networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {681--684},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937657},
  doi          = {10.1109/ISCAS.2011.5937657},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JoshiPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JungLSL11,
  author       = {Louis H. Jung and
                  Torsten Lehmann and
                  Gregg J. Suaning and
                  Nigel H. Lovell},
  title        = {A semi-static threshold-triggered delay element for low power applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {833--836},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937695},
  doi          = {10.1109/ISCAS.2011.5937695},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JungLSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaddoumGG11,
  author       = {Georges Kaddoum and
                  Ghyslain Gagnon and
                  Fran{\c{c}}ois Gagnon},
  title        = {Robust synchronization technique for chaotic symbolic dynamics modulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1968--1971},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937976},
  doi          = {10.1109/ISCAS.2011.5937976},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KaddoumGG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaddoumVG11,
  author       = {Georges Kaddoum and
                  Mai Vu and
                  Fran{\c{c}}ois Gagnon},
  title        = {Performance analysis of differential chaotic shift keying communications
                  in {MIMO} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1580--1583},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937879},
  doi          = {10.1109/ISCAS.2011.5937879},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaddoumVG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KakaniD11,
  author       = {Vasanth Kakani and
                  Fa Foster Dai},
  title        = {A 4.2-4.7GHz, 3.7mW digitally controlled oscillator {RFIC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2841--2844},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938197},
  doi          = {10.1109/ISCAS.2011.5938197},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KakaniD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KamalinejadML11,
  author       = {Pouya Kamalinejad and
                  Shahriar Mirabbasi and
                  Victor C. M. Leung},
  title        = {An ultra-low-power {SAR} {ADC} with an area-efficient {DAC} architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937489},
  doi          = {10.1109/ISCAS.2011.5937489},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KamalinejadML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KamathSC11,
  author       = {Anant S. Kamath and
                  Vikas Sinha and
                  Sujoy Chakravarty},
  title        = {Slew-rate controlled 800Mbps transmitter in 65nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {193--196},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937534},
  doi          = {10.1109/ISCAS.2011.5937534},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KamathSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KambohM11,
  author       = {Awais M. Kamboh and
                  Andrew J. Mason},
  title        = {Channel characterization for implant to body surface communication},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {913--916},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937715},
  doi          = {10.1109/ISCAS.2011.5937715},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KambohM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KanagarajF11,
  author       = {Sudharsan Kanagaraj and
                  Bahar Jalali Farahani},
  title        = {An online fully-digital calibration of leakage noise in {MASH} continuous
                  time {\(\Delta\)}{\(\Sigma\)} modulators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1632--1635},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937892},
  doi          = {10.1109/ISCAS.2011.5937892},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KanagarajF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KangKCV11,
  author       = {Je{-}Won Kang and
                  C.{-}C. Jay Kuo and
                  Robert A. Cohen and
                  Anthony Vetro},
  title        = {Efficient dictionary based video coding with reduced side information},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937513},
  doi          = {10.1109/ISCAS.2011.5937513},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KangKCV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KannanR11,
  author       = {Sachhidh Kannan and
                  Garrett S. Rose},
  title        = {A hierarchical 3-D floorplanning algorithm for many-core {CMP} networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1211--1214},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937787},
  doi          = {10.1109/ISCAS.2011.5937787},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KannanR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaragozlerTGR11,
  author       = {Mustafa Emre Karagozler and
                  Anil Thaker and
                  Seth Copen Goldstein and
                  David S. Ricketts},
  title        = {Electrostatic actuation and control of micro robots using a post-processed
                  high-voltage {SOI} {CMOS} chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2509--2512},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938114},
  doi          = {10.1109/ISCAS.2011.5938114},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaragozlerTGR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KareemMK11,
  author       = {Saumya Kareem and
                  Richard C. S. Morling and
                  Izzet Kale},
  title        = {A novel method to count the red blood cells in thin blood films},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1021--1024},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937742},
  doi          = {10.1109/ISCAS.2011.5937742},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KareemMK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KawanoOMH11,
  author       = {Takao Kawano and
                  Naoya Onizawa and
                  Atsushi Matsumoto and
                  Takahiro Hanyu},
  title        = {Adjacent-State monitoring based fine-grained power-gating scheme for
                  a low-power asynchronous pipelined system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2067--2070},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938004},
  doi          = {10.1109/ISCAS.2011.5938004},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KawanoOMH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KayranCETS11,
  author       = {Ahmet H. Kayran and
                  Erdogan Camcioglu and
                  Ender Mete Eksioglu and
                  Ahmet Korhan Tanc and
                  Serhat Seker},
  title        = {Generalized 2D lattice structure for causal and noncausal modeling
                  of random fields},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1756--1759},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937923},
  doi          = {10.1109/ISCAS.2011.5937923},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KayranCETS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KazimierczukW11,
  author       = {Marian K. Kazimierczuk and
                  Rafal P. Wojda},
  title        = {Maximum drain efficiency class {F3} {RF} power amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2785--2788},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938183},
  doi          = {10.1109/ISCAS.2011.5938183},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KazimierczukW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhodabandehlooMA11,
  author       = {Golnar Khodabandehloo and
                  Mitra Mirhassani and
                  Majid Ahmadi},
  title        = {A study on resistive-type truncated {CVNS} Distributed Neural Networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2685--2688},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938158},
  doi          = {10.1109/ISCAS.2011.5938158},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhodabandehlooMA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KilicY11,
  author       = {Volkan Kilic and
                  M{\"{u}}stak E. Yal{\c{c}}in},
  title        = {An active wave computing based path finding approach for 3-D environment},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2165--2168},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938028},
  doi          = {10.1109/ISCAS.2011.5938028},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KilicY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimC11,
  author       = {Soojin Kim and
                  Kyeongsoon Cho},
  title        = {Design of high-speed clock recovery circuit for burst-mode applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937530},
  doi          = {10.1109/ISCAS.2011.5937530},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimCFSBS11,
  author       = {Daeyeon Kim and
                  Gregory K. Chen and
                  Matthew Fojtik and
                  Mingoo Seok and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 1.85fW/bit ultra low leakage 10T {SRAM} with speed compensation
                  scheme},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937503},
  doi          = {10.1109/ISCAS.2011.5937503},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimCFSBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimCK11,
  author       = {Jisu Kim and
                  Joongho Choi and
                  Jae{-}Hyun Kim},
  title        = {{MPEG-4} codec based uplink resource allocation scheme for the video
                  telephony service in WiBro/WiMAX systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2753--2756},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938175},
  doi          = {10.1109/ISCAS.2011.5938175},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimCK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimHS11,
  author       = {Un Seob Kim and
                  Shin Wang Ho and
                  Myung Hoon Sunwoo},
  title        = {Novel fractional motion estimation algorithm and architecture using
                  Sub-block Combination},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {957--960},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937726},
  doi          = {10.1109/ISCAS.2011.5937726},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimHS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimKK11,
  author       = {Hyungkyu Kim and
                  Jungsoo Kim and
                  Chong{-}Min Kyung},
  title        = {Image quality and lifetime co-optimization in wireless multi-camera
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2641--2644},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938147},
  doi          = {10.1109/ISCAS.2011.5938147},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimKK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimLPY11,
  author       = {Gyeonghoon Kim and
                  Seungjin Lee and
                  Junyoung Park and
                  Hoi{-}Jun Yoo},
  title        = {A low-energy hybrid radix-4/-8 multiplier for portable multimedia
                  applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1171--1174},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937777},
  doi          = {10.1109/ISCAS.2011.5937777},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KimLPY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimP11,
  author       = {Bongjin Kim and
                  In{-}Cheol Park},
  title        = {{QC-LDPC} Decoding Architecture based on Stride Scheduling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1319--1322},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937814},
  doi          = {10.1109/ISCAS.2011.5937814},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KimP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimRKKL11,
  author       = {Hyun Kim and
                  Chae{-}Eun Rhee and
                  Jin{-}Sung Kim and
                  Sunwoong Kim and
                  Hyuk{-}Jae Lee},
  title        = {Power-aware design with various low-power algorithms for an {H.264/AVC}
                  encoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {571--574},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937629},
  doi          = {10.1109/ISCAS.2011.5937629},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KimRKKL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimS11,
  author       = {Eun Ji Kim and
                  Myung Hoon Sunwoo},
  title        = {High speed eight-parallel mixed-radix {FFT} Processor for {OFDM} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1684--1687},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937905},
  doi          = {10.1109/ISCAS.2011.5937905},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimSK11,
  author       = {Kyosun Kim and
                  Sangho Shin and
                  Sung{-}Mo Kang},
  title        = {Stateful logic pipeline architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2497--2500},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938111},
  doi          = {10.1109/ISCAS.2011.5938111},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimSK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KlumperinkDRNG11,
  author       = {Eric A. M. Klumperink and
                  Ramen Dutta and
                  Zhiyu Ru and
                  Bram Nauta and
                  Xiang Gao},
  title        = {Jitter-Power minimization of digital frequency synthesis architectures},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937527},
  doi          = {10.1109/ISCAS.2011.5937527},
  timestamp    = {Fri, 25 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KlumperinkDRNG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoickalLGMWHCNS11,
  author       = {Thomas Jacob Koickal and
                  Rhonira Latif and
                  Luiz C. P. Gouveia and
                  Enrico Mastropaolo and
                  Shiwei Wang and
                  Alister Hamilton and
                  Rebecca Cheung and
                  Michael J. Newton and
                  Leslie S. Smith},
  title        = {Design of a spike event coded {RGT} microphone for neuromorphic auditory
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2465--2468},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938103},
  doi          = {10.1109/ISCAS.2011.5938103},
  timestamp    = {Tue, 05 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KoickalLGMWHCNS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KongLWYYY11,
  author       = {Ji Kong and
                  Peilin Liu and
                  Zhenqi Wei and
                  Kun Yang and
                  Ying Ye and
                  Rendong Ying},
  title        = {StreamPoP: Stream programming oriented power-efficient audio {DSP}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2309--2312},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938064},
  doi          = {10.1109/ISCAS.2011.5938064},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KongLWYYY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KonishiLIYK11,
  author       = {Toshihiro Konishi and
                  Hyeokjong Lee and
                  Shintaro Izumi and
                  Masahiko Yoshimoto and
                  Hiroshi Kawaguchi},
  title        = {A 40-nm 640-{\(\mathrm{\mu}\)}m\({}^{\mbox{2}}\) 45-dB opampless all-digital
                  second-order {MASH} {\(\Delta\)}{\(\Sigma\)} {ADC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {518--521},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937616},
  doi          = {10.1109/ISCAS.2011.5937616},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KonishiLIYK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KooLCLLY11,
  author       = {Yong{-}Seo Koo and
                  Kwang{-}Yeob Lee and
                  Joong{-}Ho Choi and
                  Chan{-}Ho Lee and
                  Yoon{-}Sik Lee and
                  Yil{-}Suk Yang},
  title        = {Electrical characteristics of novel {ESD} protection devices for {I/O}
                  and power clamp},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {937--940},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937721},
  doi          = {10.1109/ISCAS.2011.5937721},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KooLCLLY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoushanfarM11,
  author       = {Farinaz Koushanfar and
                  Azalia Mirhoseini},
  title        = {Hybrid heterogeneous energy supply networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2489--2492},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938109},
  doi          = {10.1109/ISCAS.2011.5938109},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoushanfarM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Krishnapura11,
  author       = {Nagendra Krishnapura},
  title        = {Electronic time stretching for fast digitization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1391--1394},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937832},
  doi          = {10.1109/ISCAS.2011.5937832},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Krishnapura11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumenoNF11,
  author       = {Hironori Kumeno and
                  Yoshifumi Nishio and
                  Daniele Fournier{-}Prunaret},
  title        = {Bifurcation and basin in two coupled parametrically forced logistic
                  maps},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1323--1326},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937815},
  doi          = {10.1109/ISCAS.2011.5937815},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumenoNF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuntzRN11,
  author       = {Taimur Gibran R. Kuntz and
                  Cesar Ramos Rodrigues and
                  Saeid Nooshabadi},
  title        = {An energy-efficient 1MSps 7{\(\mathrm{\mu}\)}W 11.9fJ/conversion step
                  7pJ/sample 10-bit {SAR} {ADC} in 90nm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937551},
  doi          = {10.1109/ISCAS.2011.5937551},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuntzRN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuoLL11,
  author       = {Ming{-}Yu Kuo and
                  Yao Li and
                  Chen{-}Yi Lee},
  title        = {An area-efficient high-accuracy prediction-based {CABAC} decoder architecture
                  for {H.264/AVC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1960--1963},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937974},
  doi          = {10.1109/ISCAS.2011.5937974},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuoLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KynclN11,
  author       = {Jan Kyncl and
                  Martin Novotn{\'{y}}},
  title        = {Education of Digital and Analog Circuits supported by computer algebra
                  system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {341--344},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937572},
  doi          = {10.1109/ISCAS.2011.5937572},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KynclN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LadvanszkyK11,
  author       = {J{\'{a}}nos Ladv{\'{a}}nszky and
                  G{\'{a}}bor Kov{\'{a}}cs},
  title        = {Software based separation of amplitude and phase noises in time domain},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {769--772},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937679},
  doi          = {10.1109/ISCAS.2011.5937679},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LadvanszkyK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LahmiriB11,
  author       = {Salim Lahmiri and
                  Mounir Boukadoum},
  title        = {Classification of brain {MRI} using the {LH} and {HL} wavelet transform
                  sub-bands},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1025--1028},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937743},
  doi          = {10.1109/ISCAS.2011.5937743},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LahmiriB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaiHLT11,
  author       = {Ying{-}Xun Lai and
                  Yueh{-}Min Huang and
                  Chin{-}Feng Lai and
                  Ljiljana Trajkovic},
  title        = {Parallel Dynamic Voltage and Frequency Scaling for stream decoding
                  using a multicore embedded system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1956--1959},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937973},
  doi          = {10.1109/ISCAS.2011.5937973},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LaiHLT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaiSWHH11,
  author       = {Chang{-}Ming Lai and
                  Meng{-}Hung Shen and
                  Yi{-}Da Wu and
                  Kai{-}Hsiang Huang and
                  Po{-}Chiun Huang},
  title        = {A 0.24 to 2.4 GHz phase-locked loop with low supply sensitivity in
                  0.18-{\(\mathrm{\mu}\)}m {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {981--984},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937732},
  doi          = {10.1109/ISCAS.2011.5937732},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaiSWHH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LangHG11,
  author       = {Mario Lang and
                  Jan Haase and
                  Christoph Grimm},
  title        = {Parallel multi-level simulation of wireless sensor networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2741--2744},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938172},
  doi          = {10.1109/ISCAS.2011.5938172},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LangHG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaoudiasBP11,
  author       = {Costas Laoudias and
                  Costas Beis and
                  Costas Psychalinos},
  title        = {0.5V wavelet filters using current mirrors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1443--1446},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937845},
  doi          = {10.1109/ISCAS.2011.5937845},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaoudiasBP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LatifAH11,
  author       = {Mohd Azman Abdul Latif and
                  Noohul Basheer Zain Ali and
                  Fawnizu Azmadi Hussin},
  title        = {{IDVP} (Intra-Die Variation Probe) for System-On-Chip (SoC) Infant
                  Mortality screen},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2055--2058},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938001},
  doi          = {10.1109/ISCAS.2011.5938001},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LatifAH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LatschN11,
  author       = {Vagner Latsch and
                  Sergio L. Netto},
  title        = {Pitch-synchronous time alignment of speech signals for prosody transplantation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2405--2408},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938088},
  doi          = {10.1109/ISCAS.2011.5938088},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LatschN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeCD11,
  author       = {Victor W. Lee and
                  Yen{-}Kuang Chen and
                  Pradeep Debuy},
  title        = {Emerging applications for multi/many-core processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1524--1527},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937865},
  doi          = {10.1109/ISCAS.2011.5937865},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeDHNL11,
  author       = {Kin Keung Lee and
                  Malihe Zarre Dooghabadi and
                  H{\aa}kon A. Hjortland and
                  {\O}ivind N{\ae}ss and
                  Tor Sverre Lande},
  title        = {A 5.2 pJ/pulse impulse radio pulse generator in 90 nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1299--1302},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937809},
  doi          = {10.1109/ISCAS.2011.5937809},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeDHNL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeH11,
  author       = {Chin{-}Lin Lee and
                  Chih{-}Cheng Hsieh},
  title        = {A 0.6V {CMOS} Image Sensor with in-pixel biphasic current driver for
                  biomedical application},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1455--1458},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937848},
  doi          = {10.1109/ISCAS.2011.5937848},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJ11,
  author       = {Jangjoon Lee and
                  Byunghoo Jung},
  title        = {Wide dynamic range, 0.8 to 6 GHz {LNA} in 45 nm digital {SOI} {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1792--1795},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937932},
  doi          = {10.1109/ISCAS.2011.5937932},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJJLLHL11,
  author       = {Jae{-}Seung Lee and
                  Chang{-}Jin Jeong and
                  Yeong{-}Shin Jang and
                  In{-}Young Lee and
                  Sang{-}Sung Lee and
                  Seok{-}Kyun Han and
                  Sang{-}Gug Lee},
  title        = {A high linear low flicker noise 25{\%} duty cycle {LO} {I/Q} mixer
                  for a {FM} radio receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1399--1402},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937834},
  doi          = {10.1109/ISCAS.2011.5937834},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJJLLHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJM11,
  author       = {Ming Chak Lee and
                  Xiaocheng Jing and
                  Philip K. T. Mok},
  title        = {A 14V-output adaptive-off-time boost converter with quasi-fixed-frequency
                  in full loading range},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937544},
  doi          = {10.1109/ISCAS.2011.5937544},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeK11,
  author       = {Won{-}Young Lee and
                  Lee{-}Sup Kim},
  title        = {A 5.4 Gb/s clock and data recovery circuit using the seamless loop
                  transition scheme without phase noise degradation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {430--433},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937594},
  doi          = {10.1109/ISCAS.2011.5937594},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeLPCDC11,
  author       = {Yu{-}Huei Lee and
                  Wang{-}Wei Lai and
                  Wan{-}Yu Pai and
                  Ke{-}Horng Chen and
                  Ming{-}Jhe Du and
                  Shih{-}Hsien Cheng},
  title        = {Reduction of equivalent series inductor effect in constant on- time
                  control {DC-DC} converter without {ESR} compensation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {753--756},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937675},
  doi          = {10.1109/ISCAS.2011.5937675},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeLPCDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeM11,
  author       = {Choong Geun Lee and
                  Vasily G. Moshnyaga},
  title        = {{TV} energy management by camera-based viewer monitoring},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {949--952},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937724},
  doi          = {10.1109/ISCAS.2011.5937724},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeM11a,
  author       = {Changhyuk Lee and
                  Alyosha C. Molnar},
  title        = {Self-quenching, Forward-bias-reset for Single Photon Avalanche Detectors
                  in 1.8V, 0.18{\(\mathrm{\mu}\)}m process},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2217--2220},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938041},
  doi          = {10.1109/ISCAS.2011.5938041},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeM11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeS11,
  author       = {Jea Hack Lee and
                  Myung Hoon Sunwoo},
  title        = {High-speed and low complexity carrier recovery for {DP-QPSK} transmission},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {438--441},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937596},
  doi          = {10.1109/ISCAS.2011.5937596},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeSYY11,
  author       = {Hyungwoo Lee and
                  Kiseok Song and
                  Long Yan and
                  Hoi{-}Jun Yoo},
  title        = {A 2.4{\(\mathrm{\mu}\)}W 400nC/s constant charge injector for wirelessly-powered
                  electro-acupuncture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1716--1719},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937913},
  doi          = {10.1109/ISCAS.2011.5937913},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeSYY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lehto11,
  author       = {Raija Lehto},
  title        = {Interactive lecturing techniques},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {605--608},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937638},
  doi          = {10.1109/ISCAS.2011.5937638},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lehto11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LehtonenPLL11,
  author       = {Eero Lehtonen and
                  Jussi H. Poikonen and
                  Mika Laiho and
                  Wei Lu},
  title        = {Time-dependency of the threshold voltage in memristive devices},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2245--2248},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938048},
  doi          = {10.1109/ISCAS.2011.5938048},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LehtonenPLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeungHGO11,
  author       = {Ka Nang Leung and
                  Marco Ho and
                  Jianping Guo and
                  Pui Ying Or},
  title        = {Development of energy-efficient fast-transient {CMOS} low-dropout
                  regulators for SoC applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937562},
  doi          = {10.1109/ISCAS.2011.5937562},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeungHGO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LevadaC11,
  author       = {Alexandre L. M. Levada and
                  D{\'{e}}bora C. Corr{\^{e}}a},
  title        = {An adaptive approach for contextual audio denoising using local Fisher
                  information},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937517},
  doi          = {10.1109/ISCAS.2011.5937517},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LevadaC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiCT11,
  author       = {Maodong Li and
                  Zhenzhong Chen and
                  Yap{-}Peng Tan},
  title        = {A {MAXMIN} resource allocation approach for scalable video delivery
                  over multiuser {MIMO-OFDM} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2645--2648},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938148},
  doi          = {10.1109/ISCAS.2011.5938148},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHHZM11,
  author       = {Jiangpeng Li and
                  Guanghui He and
                  Hexi Hou and
                  Zhejun Zhang and
                  Jun Ma},
  title        = {Memory efficient layered decoder design with early termination for
                  {LDPC} codes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2697--2700},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938161},
  doi          = {10.1109/ISCAS.2011.5938161},
  timestamp    = {Fri, 05 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHHZM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiL11,
  author       = {Xun Li and
                  Xiang Li},
  title        = {Roles of betweenness in controlling catastrophic cascading failures
                  on scale-free networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1592--1595},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937882},
  doi          = {10.1109/ISCAS.2011.5937882},
  timestamp    = {Tue, 13 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLLZZ11,
  author       = {Guichun Li and
                  Lingzhi Liu and
                  Nam Ling and
                  Jianhua Zheng and
                  Philipp Zhang},
  title        = {Integration of Plane Mode with Multiple Predictor Sets in Intra Prediction
                  for video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {617--620},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937641},
  doi          = {10.1109/ISCAS.2011.5937641},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLLZZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiPL11,
  author       = {Bing Li and
                  Weifeng Pan and
                  Jinhu Lu},
  title        = {Multi-granularity dynamic analysis of complex software networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2119--2124},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938017},
  doi          = {10.1109/ISCAS.2011.5938017},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiSLXGW11,
  author       = {Xiaomeng Li and
                  Tianjia Sun and
                  Guolin Li and
                  Xiang Xie and
                  Yingke Gu and
                  Zhihua Wang},
  title        = {A new omnidirectional wireless power transmission solution for the
                  wireless Endoscopic Micro-Ball},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2609--2612},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938139},
  doi          = {10.1109/ISCAS.2011.5938139},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiSLXGW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiWT11,
  author       = {Zhen Li and
                  Siu Chung Wong and
                  Chi Kong Tse},
  title        = {Bifurcation study of wind energy generation systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2717--2720},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938166},
  doi          = {10.1109/ISCAS.2011.5938166},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiWT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiXST11,
  author       = {Xiaopeng Li and
                  Hui Xu and
                  Guoyong Shi and
                  Andy Tai},
  title        = {Hierarchical symbolic sensitivity computation with applications to
                  large amplifier circuit design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2733--2736},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938170},
  doi          = {10.1109/ISCAS.2011.5938170},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiXST11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiY11,
  author       = {Shangwen Li and
                  Lu Yu},
  title        = {Motion estimation with Second Order Prediction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2625--2628},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938143},
  doi          = {10.1109/ISCAS.2011.5938143},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiYWW11,
  author       = {Fanyang Li and
                  Haigang Yang and
                  Yu Wang and
                  Qisong Wu},
  title        = {Current Mode Feed-Forward Gain Control for 0.8V {CMOS} hearing aid},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {793--796},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937685},
  doi          = {10.1109/ISCAS.2011.5937685},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiYWW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lian11,
  author       = {Yong Lian},
  title        = {An online adaptive tutoring system for design-centric courses},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1191--1194},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937782},
  doi          = {10.1109/ISCAS.2011.5937782},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lian11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiaoC11,
  author       = {Bin Liao and
                  Shing{-}Chow Chan},
  title        = {{DOA} estimation of coherent signals for uniform linear arrays with
                  mutual coupling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {377--380},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937581},
  doi          = {10.1109/ISCAS.2011.5937581},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiaoC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LimaP11,
  author       = {Jader A. De Lima and
                  Wallace A. Pimenta},
  title        = {An accurate sense-fet current limiter with embedded softstart for
                  linear dc/dc converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2537--2540},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938121},
  doi          = {10.1109/ISCAS.2011.5938121},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LimaP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinA11,
  author       = {Joseph H. Lin and
                  Andreas G. Andreou},
  title        = {A 32{\texttimes}32 single photon avalanche diode imager with delay-insensitive
                  address-event readout},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1824--1827},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937940},
  doi          = {10.1109/ISCAS.2011.5937940},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinDHR11,
  author       = {Yu Lin and
                  Kostas Doris and
                  Hans Hegt and
                  Arthur H. M. van Roermund},
  title        = {An 11b pipeline {ADC} with dual sampling technique for converting
                  multi-carrier signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {257--260},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937550},
  doi          = {10.1109/ISCAS.2011.5937550},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinDHR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinMM11,
  author       = {James Lin and
                  Masaya Miyahara and
                  Akira Matsuzawa},
  title        = {A 15.5 dB, wide signal swing, dynamic amplifier using a common-mode
                  voltage detection technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937491},
  doi          = {10.1109/ISCAS.2011.5937491},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinOPAAG11,
  author       = {Joseph H. Lin and
                  Recep Ozgun and
                  Philippe O. Pouliquen and
                  Andreas G. Andreou and
                  Charalambos M. Andreou and
                  Julius Georgiou},
  title        = {A 3-pin 1V 115{\(\mathrm{\mu}\)}W 176{\texttimes}144 autonomous active
                  pixel image sensor in 0.18{\(\mathrm{\mu}\)}m {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1568--1571},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937876},
  doi          = {10.1109/ISCAS.2011.5937876},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinOPAAG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lindholm11,
  author       = {Christian Lindholm},
  title        = {High frequency and low power semi-synchronous {PFM} state machine},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1868--1871},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937951},
  doi          = {10.1109/ISCAS.2011.5937951},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lindholm11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LingSTT11,
  author       = {Bingo Wing{-}Kuen Ling and
                  Paul Stewart and
                  Kok Lay Teo and
                  Chi Kong Tse},
  title        = {Study of near consensus complex social networks using eigen theory},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2107--2110},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938014},
  doi          = {10.1109/ISCAS.2011.5938014},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LingSTT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuF11,
  author       = {Frank Liu and
                  Peter Feldmann},
  title        = {Pure nodal analysis for efficient on-chip interconnect model order
                  reduction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2493--2496},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938110},
  doi          = {10.1109/ISCAS.2011.5938110},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuJLZ11,
  author       = {Xiaoming Liu and
                  Jing Jin and
                  Xi Li and
                  Jianjun Zhou},
  title        = {Glitch-Free Multi-Modulus Frequency Divider for Quantization Noise
                  suppression in fractional-N PLLs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {478--481},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937606},
  doi          = {10.1109/ISCAS.2011.5937606},
  timestamp    = {Mon, 19 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuJLZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuJMZ11,
  author       = {Xiaoming Liu and
                  Jing Jin and
                  Cui Mao and
                  Jianjun Zhou},
  title        = {Linear range extensible Phase Frequency Detector and Charge Pump for
                  fast frequency acquisition},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {985--988},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937733},
  doi          = {10.1109/ISCAS.2011.5937733},
  timestamp    = {Mon, 19 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuJMZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLCYW11,
  author       = {Liyuan Liu and
                  Dongmei Li and
                  Liangdong Chen and
                  Yafei Ye and
                  Zhihua Wang},
  title        = {A 1V 15-bit Audio {\(\Delta\)}{\(\Sigma\)} {ADC} in 0.18{\(\mathrm{\mu}\)}m
                  {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {510--513},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937614},
  doi          = {10.1109/ISCAS.2011.5937614},
  timestamp    = {Fri, 30 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLCYW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLL11,
  author       = {Meng Liu and
                  Houqiang Li and
                  Weiping Li},
  title        = {Smoothing rate control for multiple video streams using game theory},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2913--2917},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938241},
  doi          = {10.1109/ISCAS.2011.5938241},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLOS11,
  author       = {Weiqiang Liu and
                  Liang Lu and
                  M{\'{a}}ire O'Neill and
                  Earl E. Swartzlander Jr.},
  title        = {Design rules for Quantum-dot Cellular Automata},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2361--2364},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938077},
  doi          = {10.1109/ISCAS.2011.5938077},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLOS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuMI11,
  author       = {Song Liu and
                  Seda Ogrenci Memik and
                  Yehea I. Ismail},
  title        = {A Comprehensive Tapered buffer optimization algorithm for unified
                  design metrics},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2277--2280},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938056},
  doi          = {10.1109/ISCAS.2011.5938056},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuMI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuMYM11,
  author       = {Miao Liu and
                  Pui{-}In Mak and
                  Zushu Yan and
                  Rui Paulo Martins},
  title        = {A high-voltage-enabled recycling folded cascode OpAmp for nanoscale
                  {CMOS} technologies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937494},
  doi          = {10.1109/ISCAS.2011.5937494},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuMYM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuN11,
  author       = {Liang Liu and
                  Peter Nilsson},
  title        = {Detecting multi-mode {MIMO} signals: Algorithm and architecture design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {689--692},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937659},
  doi          = {10.1109/ISCAS.2011.5937659},
  timestamp    = {Thu, 21 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuPZG11,
  author       = {Bo Liu and
                  Murat Pak and
                  Xuezhi Zheng and
                  Georges G. E. Gielen},
  title        = {A novel operating-point driven method for the sizing of analog {IC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {781--784},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937682},
  doi          = {10.1109/ISCAS.2011.5937682},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuPZG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuR11,
  author       = {Tsung{-}Te Liu and
                  Jan M. Rabaey},
  title        = {Linearity analysis of {CMOS} passive mixer},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2833--2836},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938195},
  doi          = {10.1109/ISCAS.2011.5938195},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuW11,
  author       = {Qingshan Liu and
                  Jun Wang},
  title        = {A one-layer recurrent neural network for constrained single-ratio
                  linear fractional programming},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1089--1092},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937759},
  doi          = {10.1109/ISCAS.2011.5937759},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuWHYH11,
  author       = {Ruey{-}Wen Liu and
                  Xu Wang and
                  Fan He and
                  Tao Yang and
                  Bo Hu},
  title        = {A blind technique for total interference rejection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {645--648},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937648},
  doi          = {10.1109/ISCAS.2011.5937648},
  timestamp    = {Wed, 11 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuWHYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZC11,
  author       = {Qian Liu and
                  Zixuan Zou and
                  Chang Wen Chen},
  title        = {A deadline-aware virtual contention free {EDCA} scheme for {H.264}
                  video over {IEEE} 802.11e wireless networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {625--628},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937643},
  doi          = {10.1109/ISCAS.2011.5937643},
  timestamp    = {Tue, 04 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZZXMG11,
  author       = {Xianming Liu and
                  Deming Zhai and
                  Debin Zhao and
                  Ruiqin Xiong and
                  Siwei Ma and
                  Wen Gao},
  title        = {Side information extrapolation with temporal and spatial consistency},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2918--2921},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938242},
  doi          = {10.1109/ISCAS.2011.5938242},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZZXMG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LivramentoMMG11,
  author       = {Vinicius S. Livramento and
                  Bruno George de Moraes and
                  Brunno Abner Machado and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {An energy-efficient 8{\texttimes}8 2-D {DCT} {VLSI} architecture for
                  battery-powered portable devices},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {587--590},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937633},
  doi          = {10.1109/ISCAS.2011.5937633},
  timestamp    = {Fri, 03 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LivramentoMMG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LofgrenEN11,
  author       = {Johan L{\"{o}}fgren and
                  Ove Edfors and
                  Peter Nilsson},
  title        = {Improved matching pursuit algorithm and architecture for {LTE} Channel
                  Estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {466--469},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937603},
  doi          = {10.1109/ISCAS.2011.5937603},
  timestamp    = {Thu, 21 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LofgrenEN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LontMRD11,
  author       = {Maarten Lont and
                  Dusan M. Milosevic and
                  Arthur H. M. van Roermund and
                  Guido Dolmans},
  title        = {Requirement driven low-power {LC} and ring oscillator design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1129--1132},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937769},
  doi          = {10.1109/ISCAS.2011.5937769},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LontMRD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LopezBBPGE11,
  author       = {Carolina Mora Lopez and
                  Dries Braeken and
                  Carmen Bartic and
                  Robert Puers and
                  Georges G. E. Gielen and
                  Wolfgang Eberle},
  title        = {A 16-channel low-noise programmable system for the recording of neural
                  signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1451--1454},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937847},
  doi          = {10.1109/ISCAS.2011.5937847},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LopezBBPGE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LopichBWD11,
  author       = {Alexey Lopich and
                  David Robert Wallace Barr and
                  Bin Wang and
                  Piotr Dudek},
  title        = {Live demonstration: Real-time image processing on {ASPA2} vision system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1989},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937982},
  doi          = {10.1109/ISCAS.2011.5937982},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LopichBWD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LotaA11,
  author       = {Jaswinder Lota and
                  Mohammed Al{-}Janabi},
  title        = {Accurate stability prediction of single-bit higher-order delta-sigma
                  ({\(\Delta\)}-{\(\Sigma\)}) modulators for speech codecs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1628--1631},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937891},
  doi          = {10.1109/ISCAS.2011.5937891},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LotaA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LowC11,
  author       = {Jeremy Yung Shern Low and
                  Chip{-}Hong Chang},
  title        = {A new {RNS} scaler for \{2\({}^{\mbox{n}}\) - 1, 2\({}^{\mbox{n}}\),
                  2\({}^{\mbox{n}}\) + 1\}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1431--1434},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937842},
  doi          = {10.1109/ISCAS.2011.5937842},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LowC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuAT11,
  author       = {Jianchao Lu and
                  Yusuf Aksehir and
                  Baris Taskin},
  title        = {Register On MEsh {(ROME):} {A} novel approach for clock mesh network
                  synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1219--1222},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937789},
  doi          = {10.1109/ISCAS.2011.5937789},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuAT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCH11,
  author       = {Chih{-}Wen Lu and
                  Hung{-}Cheng Chen and
                  Yen{-}Chung Huang},
  title        = {An area-efficient {TFT-LCD} column driver with {DAC} sharing technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2007--2010},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937989},
  doi          = {10.1109/ISCAS.2011.5937989},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCY11,
  author       = {Jinhu Lu and
                  Guanrong Chen and
                  Xinghuo Yu},
  title        = {Modelling, analysis and control of multi-agent systems: {A} brief
                  overview},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2103--2106},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938013},
  doi          = {10.1109/ISCAS.2011.5938013},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuH11,
  author       = {Wu{-}Sheng Lu and
                  Takao Hinamoto},
  title        = {Minimax design of stable {IIR} filters with sparse coefficients},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {398--401},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937586},
  doi          = {10.1109/ISCAS.2011.5937586},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuJMZ11,
  author       = {Zhijian Lu and
                  Peichen Jiang and
                  Tingting Mo and
                  Jianjun Zhou},
  title        = {Adaptive calibration of {IIP2} in direct down-conversion mixers with
                  modified {LMS} algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {542--545},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937622},
  doi          = {10.1109/ISCAS.2011.5937622},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuJMZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuLL11,
  author       = {Li Lu and
                  Changzhi Li and
                  Jenshan Lin},
  title        = {A regulated 3.1-10.6 GHz linear dual-tuning differential ring oscillator
                  for {UWB} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937542},
  doi          = {10.1109/ISCAS.2011.5937542},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuT11,
  author       = {Jianchao Lu and
                  Baris Taskin},
  title        = {Reconfigurable clock polarity assignment for peak current reduction
                  of clock-gated circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1940--1943},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937969},
  doi          = {10.1109/ISCAS.2011.5937969},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuanEMC11,
  author       = {Song Luan and
                  Amir Eftekhar and
                  Olive H. Murphy and
                  Timothy G. Constandinou},
  title        = {Towards an inductively coupled power/data link for bondpad-less silicon
                  chips},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2597--2600},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938136},
  doi          = {10.1109/ISCAS.2011.5938136},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuanEMC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lukasch11,
  author       = {Franz Lukasch},
  title        = {Cost efficient mains powered supply concepts for wireless sensor nodes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {502--505},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937612},
  doi          = {10.1109/ISCAS.2011.5937612},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lukasch11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LusalaL11,
  author       = {Angelo Kuti Lusala and
                  Jean{-}Didier Legat},
  title        = {Combining sdm-based circuit switching with packet switching in a NoC
                  for real-time applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2505--2508},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938113},
  doi          = {10.1109/ISCAS.2011.5938113},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LusalaL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LvZRLW11,
  author       = {Hang Lv and
                  Bo Zhou and
                  Woogeun Rhee and
                  Yongming Li and
                  Zhihua Wang},
  title        = {A relaxation oscillator with multi-phase triangular waveform generation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2837--2840},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938196},
  doi          = {10.1109/ISCAS.2011.5938196},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LvZRLW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MZZMWJZ11,
  author       = {David Sarmiento M. and
                  Zhuo Zou and
                  Qin Zhou and
                  Jia Mao and
                  Peng Wang and
                  Fredrik Jonsson and
                  Li{-}Rong Zheng},
  title        = {Analog front-end {RX} design for {UWB} impulse radio in 90nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1552--1555},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937872},
  doi          = {10.1109/ISCAS.2011.5937872},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MZZMWJZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaLN11,
  author       = {Lin Ma and
                  Songnan Li and
                  King N. Ngan},
  title        = {Perceptual image compression via adaptive block- based super-resolution
                  directed down-sampling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937510},
  doi          = {10.1109/ISCAS.2011.5937510},
  timestamp    = {Thu, 28 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaLN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MacphersonTH11,
  author       = {Andrew R. Macpherson and
                  Kenneth A. Townsend and
                  James W. Haslett},
  title        = {A 2.5GS/s 3-bit time-based {ADC} in 90nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937488},
  doi          = {10.1109/ISCAS.2011.5937488},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MacphersonTH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MadanayakeBB11,
  author       = {Arjuna Madanayake and
                  Hamid{-}Reza Bahrami and
                  Leonard T. Bruton},
  title        = {Antenna-array 2D-IIR digital filters for carrier-modulated frequency-agile
                  and cognitive wireless systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {961--964},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937727},
  doi          = {10.1109/ISCAS.2011.5937727},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MadanayakeBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MadanayakeBWB11,
  author       = {Arjuna Madanayake and
                  Leonid Belostotski and
                  Chamith Wijenayake and
                  Leonard T. Bruton},
  title        = {Analog 2D fan filters from discrete domain transfer functions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1652--1655},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937897},
  doi          = {10.1109/ISCAS.2011.5937897},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MadanayakeBWB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MadanayakeCODB11,
  author       = {Arjuna Madanayake and
                  Renato J. Cintra and
                  Denis Onen and
                  Vassil S. Dimitrov and
                  Leonard T. Bruton},
  title        = {Algebraic integer based 8{\texttimes}8 2-D {DCT} architecture for
                  digital video processing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1247--1250},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937796},
  doi          = {10.1109/ISCAS.2011.5937796},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MadanayakeCODB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaggioH11,
  author       = {Gabriel N. Maggio and
                  Mario R. Hueda},
  title        = {Design and parallel implementation of an adaptive baseline wander
                  compensator for high-speed optical coherent receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {969--972},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937729},
  doi          = {10.1109/ISCAS.2011.5937729},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaggioH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MagistrisBTM11,
  author       = {Massimiliano de Magistris and
                  Mario di Bernardo and
                  Edmondo Di Tucci and
                  Sabato Manfredi},
  title        = {Synchronization of networks of non-identical Chua circuits: Analysis
                  and experiments},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {741--744},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937672},
  doi          = {10.1109/ISCAS.2011.5937672},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MagistrisBTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaierCWLC11,
  author       = {Christoph Maier and
                  Tuan Vu Cao and
                  Dag T. Wisland and
                  Tor Sverre Lande and
                  Gert Cauwenberghs},
  title        = {Energy-efficient resonant {BFSK} {MICS} transmitter with fast-settling
                  dual-loop adaptive frequency locking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2601--2604},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938137},
  doi          = {10.1109/ISCAS.2011.5938137},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaierCWLC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MajzoobiGKN11,
  author       = {Mehrdad Majzoobi and
                  Golsa Ghiaasi and
                  Farinaz Koushanfar and
                  Sani R. Nassif},
  title        = {Ultra-low power current-based {PUF}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2071--2074},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938005},
  doi          = {10.1109/ISCAS.2011.5938005},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MajzoobiGKN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MamaghanianKAV11,
  author       = {Hossein Mamaghanian and
                  Nadia Khaled and
                  David Atienza and
                  Pierre Vandergheynst},
  title        = {Real-time compressed sensing-based electrocardiogram compression on
                  energy-constrained wireless body sensors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1744--1747},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937920},
  doi          = {10.1109/ISCAS.2011.5937920},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MamaghanianKAV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandalBC11,
  author       = {Kuntal Mandal and
                  Soumitro Banerjee and
                  Chandan Chakraborty},
  title        = {Symmetry-breaking bifurcation in load resonant dc-dc converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1327--1330},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937816},
  doi          = {10.1109/ISCAS.2011.5937816},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MandalBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandelliOCGGMM11,
  author       = {Marcelo Mandelli and
                  Luciano Ost and
                  Everton Carara and
                  Guilherme Montez Guindani and
                  Thiago Gouvea and
                  Guilherme Medeiros and
                  Fernando Gehm Moraes},
  title        = {Energy-aware dynamic task mapping for NoC-based MPSoCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1676--1679},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937903},
  doi          = {10.1109/ISCAS.2011.5937903},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MandelliOCGGMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ManemR11,
  author       = {Harika Manem and
                  Garrett S. Rose},
  title        = {A read-monitored write circuit for 1T1M multi-level memristor memories},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2938--2941},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938207},
  doi          = {10.1109/ISCAS.2011.5938207},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ManemR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MangiaRS11,
  author       = {Mauro Mangia and
                  Riccardo Rovatti and
                  Gianluca Setti},
  title        = {Analog-to-information conversion of sparse and non-white signals:
                  Statistical design of sensing waveforms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2129--2132},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938019},
  doi          = {10.1109/ISCAS.2011.5938019},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MangiaRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MansanoBOPS11,
  author       = {Andr{\'{e}} Mansano and
                  Andre Vilas Boas and
                  Alfredo Olmos and
                  Stefano Pietri and
                  Jefferson B. D. Soldera},
  title        = {Power management controller for automotive {MCU} applications in 90nm
                  {CMOS} technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2545--2548},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938123},
  doi          = {10.1109/ISCAS.2011.5938123},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MansanoBOPS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ManzoniCRTS11,
  author       = {Vincenzo Manzoni and
                  Andrea Corti and
                  Alessandro Rossi and
                  Stefano Tissino and
                  Sergio M. Savaresi},
  title        = {{GPS} offset estimation and correction using satellite constellation
                  information},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1097--1100},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937761},
  doi          = {10.1109/ISCAS.2011.5937761},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ManzoniCRTS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaranoPP11,
  author       = {Davide Marano and
                  Gaetano Palumbo and
                  Salvatore Pennisi},
  title        = {Self-biased dual-path push-pull output buffer amplifier topology for
                  {LCD} driver applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937493},
  doi          = {10.1109/ISCAS.2011.5937493},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MaranoPP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarcoFGP11,
  author       = {Mauro Di Marco and
                  Mauro Forti and
                  Massimo Grazzini and
                  Luca Pancioni},
  title        = {Further results on convergence of cooperative standard cellular neural
                  networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2161--2164},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938027},
  doi          = {10.1109/ISCAS.2011.5938027},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarcoFGP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MargaritDTS11,
  author       = {Josep Maria Margarit and
                  Michele Dei and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Francisco Serra{-}Graells},
  title        = {A self-biased PLL-tuned {AER} pixel for high-speed infrared imagers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1812--1815},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937937},
  doi          = {10.1109/ISCAS.2011.5937937},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MargaritDTS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartinsMM11,
  author       = {Miguel A. Martins and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {A single-to-differential {LNA} topology with robust output gain-phase
                  balancing against balun imbalance},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937558},
  doi          = {10.1109/ISCAS.2011.5937558},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MartinsMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MassoudH11,
  author       = {Tarek M. Massoud and
                  Timothy K. Horiuchi},
  title        = {Online correction of orientation estimates using spatial memory in
                  a neuromorphic head direction system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2429--2432},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938094},
  doi          = {10.1109/ISCAS.2011.5938094},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MassoudH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsuzakaNM11,
  author       = {Kenji Matsuzaka and
                  Kazuki Nakada and
                  Takashi Morie},
  title        = {Analog {CMOS} circuit implementation of a system of pulse-coupled
                  oscillators for spike-based computation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2849--2852},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938199},
  doi          = {10.1109/ISCAS.2011.5938199},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsuzakaNM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatteisPB11,
  author       = {Marcello De Matteis and
                  Alessandro Pezzotta and
                  Andrea Baschirotto},
  title        = {4\({}^{\mbox{th}}\)-Order 84dB-DR CMOS-90nm low-pass filter for {WLAN}
                  receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1644--1647},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937895},
  doi          = {10.1109/ISCAS.2011.5937895},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatteisPB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/McFarlaneA11,
  author       = {Nicole McFarlane and
                  Pamela Abshire},
  title        = {Comparative analysis of information rates of simple amplifier topologies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {785--788},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937683},
  doi          = {10.1109/ISCAS.2011.5937683},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/McFarlaneA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeaamarBSLYD11,
  author       = {Ali Meaamar and
                  Chirn Chye Boon and
                  Xiaomeng Shi and
                  Wei Meng Lim and
                  Kiat Seng Yeo and
                  Manh Anh Do},
  title        = {A 3.1-8 GHz {CMOS} {UWB} front-end receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1556--1559},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937873},
  doi          = {10.1109/ISCAS.2011.5937873},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeaamarBSLYD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeherM11,
  author       = {Pramod Kumar Meher and
                  Megha Maheshwari},
  title        = {A high-speed {FIR} adaptive filter architecture using a modified delayed
                  {LMS} algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937516},
  doi          = {10.1109/ISCAS.2011.5937516},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeherM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MehrjooY11,
  author       = {Mohammad Sadegh Mehrjoo and
                  Mohammad Yavari},
  title        = {A low power {UWB} very low noise amplifier using an improved noise
                  reduction technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937555},
  doi          = {10.1109/ISCAS.2011.5937555},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MehrjooY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Mendez-DelgadoS11,
  author       = {Edgardo Mendez{-}Delgado and
                  Guillermo J. Serrano},
  title        = {A 300mV Low-voltage start-up circuit for energy harvesting systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {829--832},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937694},
  doi          = {10.1109/ISCAS.2011.5937694},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Mendez-DelgadoS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MenichelliOS11,
  author       = {Francesco Menichelli and
                  Mauro Olivieri and
                  Simone Smorfa},
  title        = {Performance evaluation of Jpeg2000 implementation on {VLIW} cores,
                  {SIMD} cores and multi-cores},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1483--1486},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937855},
  doi          = {10.1109/ISCAS.2011.5937855},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MenichelliOS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MerajiASO11,
  author       = {Reza Meraji and
                  John B. Anderson and
                  Henrik Sj{\"{o}}land and
                  Viktor {\"{O}}wall},
  title        = {An analog (7, 5) convolutional decoder in 65 nm {CMOS} for low power
                  wireless applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2881--2884},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938233},
  doi          = {10.1109/ISCAS.2011.5938233},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MerajiASO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MezghaniN11,
  author       = {Amine Mezghani and
                  Josef A. Nossek},
  title        = {Power efficiency in communication systems from a circuit perspective},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1896--1899},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937958},
  doi          = {10.1109/ISCAS.2011.5937958},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MezghaniN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Michaels11,
  author       = {Alan J. Michaels},
  title        = {A maximal entropy digital chaotic circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {717--720},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937666},
  doi          = {10.1109/ISCAS.2011.5937666},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Michaels11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiledS11,
  author       = {Mohamed Amine Miled and
                  Mohamad Sawan},
  title        = {A new fully integrated {CMOS} interface for a dielectrophoretic lab-on-a-chip
                  device},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2349--2352},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938074},
  doi          = {10.1109/ISCAS.2011.5938074},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiledS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MirbozorgiNM11,
  author       = {Seyed Abdollah Mirbozorgi and
                  Ghazal Nabovati and
                  Mohammad Maymandi{-}Nejad},
  title        = {Duty Cycle Shift Keying data transfer technique for bio-implantable
                  devices},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {917--920},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937716},
  doi          = {10.1109/ISCAS.2011.5937716},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MirbozorgiNM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MishaliHSRE11,
  author       = {Moshe Mishali and
                  Rolf B. Hilgendorf and
                  Eli Shoshan and
                  Ina Rivkin and
                  Yonina C. Eldar},
  title        = {Generic sensing hardware and real-time reconstruction for structured
                  analog signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1748--1751},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937921},
  doi          = {10.1109/ISCAS.2011.5937921},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MishaliHSRE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohammadiFH11,
  author       = {Farah A. Mohammadi and
                  Farnoos Farrokhi Farkhani and
                  Shazzat Hossain},
  title        = {A new approach for electrothermal analysis of electronic circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1844--1847},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937945},
  doi          = {10.1109/ISCAS.2011.5937945},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohammadiFH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohammedSNG11,
  author       = {Ibrahim K. Mohammed and
                  Bayan S. Sharif and
                  Jeffrey A. Neasham and
                  Damian Giaouris},
  title        = {Novel {MIMO} 4-DOF position control for Capsule Endoscope},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {909--912},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937714},
  doi          = {10.1109/ISCAS.2011.5937714},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohammedSNG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohiS11,
  author       = {Mohamed Mohi and
                  Ahmed F. Shalash},
  title        = {All digital time tracking loop for {DVB-H} and {DVB-T}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {458--461},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937601},
  doi          = {10.1109/ISCAS.2011.5937601},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohiS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohseninSB11,
  author       = {Tinoosh Mohsenin and
                  Houshmand Shirani{-}mehr and
                  Bevan M. Baas},
  title        = {Low power {LDPC} decoder with efficient stopping scheme for undecodable
                  blocks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1780--1783},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937929},
  doi          = {10.1109/ISCAS.2011.5937929},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohseninSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolaviMD11,
  author       = {Reza Molavi and
                  Shahriar Mirabbasi and
                  Hormoz Djahanshahi},
  title        = {A 27-GHz low-power push-push {LC} {VCO} with wide tuning range in
                  65nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1141--1144},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937772},
  doi          = {10.1109/ISCAS.2011.5937772},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolaviMD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Molinar-SolisGMR11,
  author       = {Jesus E. Molinar{-}Solis and
                  Rodolfo Garcia{-}Lozano and
                  Alejandra Morales{-}Ramirez and
                  Jaime Ram{\'{\i}}rez{-}Angulo},
  title        = {Simple improvement stage for low voltage {WTA} and Rank Order circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {885--888},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937708},
  doi          = {10.1109/ISCAS.2011.5937708},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Molinar-SolisGMR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MollaHRA11,
  author       = {Md. Khademul Islam Molla and
                  Keikichi Hirose and
                  Sujan Kumar Roy and
                  Shamim Ahmad},
  title        = {Adaptive thresholding approach for robust voiced/unvoiced classification},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2409--2412},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938089},
  doi          = {10.1109/ISCAS.2011.5938089},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MollaHRA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoonK11,
  author       = {Jinyeong Moon and
                  Joong Sik Kih},
  title        = {Fast parallel {CRC} {\&} {DBI} calculation for high-speed memories:
                  {GDDR5} and {DDR4}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {317--320},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937565},
  doi          = {10.1109/ISCAS.2011.5937565},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoonK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoonL11,
  author       = {Jinyeong Moon and
                  Hye{-}young Lee},
  title        = {A dual-loop delay locked loop with multi digital delay lines for GHz
                  DRAMs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937564},
  doi          = {10.1109/ISCAS.2011.5937564},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoonL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Moreno-FontBA11,
  author       = {Vanessa Moreno{-}Font and
                  Luis Benadero and
                  Abdelali El Aroudi},
  title        = {Non-smooth bifurcations in a 1-D piecewise linear model of a Single
                  Inductor Two-Output {DC-DC} switching converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2725--2728},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938168},
  doi          = {10.1109/ISCAS.2011.5938168},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Moreno-FontBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoriM11,
  author       = {Hiroyuki Mori and
                  Takayuki Muroi},
  title        = {Application of probabilistic Tabu Search to distribution system service
                  restoration},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1037--1040},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937746},
  doi          = {10.1109/ISCAS.2011.5937746},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoriM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoriT11,
  author       = {Hiroyuki Mori and
                  Akira Takahashi},
  title        = {Hybrid intelligent system for daily maximum temperature forecasting
                  in smart grids},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1852--1855},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937947},
  doi          = {10.1109/ISCAS.2011.5937947},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoriT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MuRM11,
  author       = {Xiaoyi Mu and
                  Daniel Rairigh and
                  Andrew J. Mason},
  title        = {125ppm resolution and 120dB dynamic range nanoparticle chemiresistor
                  array readout circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2213--2216},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938040},
  doi          = {10.1109/ISCAS.2011.5938040},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MuRM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MuralidharanC11,
  author       = {Ramya Muralidharan and
                  Chip{-}Hong Chang},
  title        = {A simple radix-4 Booth encoded modulo 2\({}^{\mbox{n}}\)+1 multiplier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1163--1166},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937775},
  doi          = {10.1109/ISCAS.2011.5937775},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MuralidharanC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Murthy-BellurK11,
  author       = {Dakshina Murthy{-}Bellur and
                  Marian K. Kazimierczuk},
  title        = {Active-clamp {ZVS} two-switch flyback converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {241--244},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937546},
  doi          = {10.1109/ISCAS.2011.5937546},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Murthy-BellurK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Nagashima1WSK11,
  author       = {Tomoharu Nagashima and
                  Xiuqin Wei and
                  Hiroo Sekiya and
                  Marian K. Kazimierczuk},
  title        = {Power conversion efficiency of class-E power amplifier outside nominal
                  operation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {749--752},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937674},
  doi          = {10.1109/ISCAS.2011.5937674},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Nagashima1WSK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NallamC11,
  author       = {Nagarjuna Nallam and
                  Shouri Chatterjee},
  title        = {Design of concurrent multi-band matching networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937536},
  doi          = {10.1109/ISCAS.2011.5937536},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NallamC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NavarroI11,
  author       = {Joao Navarro Soares and
                  Eder Ishibe},
  title        = {A simple {CMOS} bandgap reference circuit with sub-1-V operation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2289--2292},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938059},
  doi          = {10.1109/ISCAS.2011.5938059},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NavarroI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NazarC11,
  author       = {Gabriel L. Nazar and
                  Luigi Carro},
  title        = {Energy efficient pseudo-cache architecture through fine-grained reconfigurability},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2317--2320},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938066},
  doi          = {10.1109/ISCAS.2011.5938066},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NazarC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeryNFJ11,
  author       = {Alexandre Solon Nery and
                  Nadia Nedjah and
                  Felipe Maia Galv{\~{a}}o Fran{\c{c}}a and
                  Lech J{\'{o}}zwiak},
  title        = {A parallel architecture for ray-tracing with an embedded intersection
                  algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1491--1494},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937857},
  doi          = {10.1109/ISCAS.2011.5937857},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NeryNFJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NguyenFLB11,
  author       = {Van Tam Nguyen and
                  Hussein Fakhoury and
                  Patrick Loumeau and
                  Philippe B{\'{e}}nab{\`{e}}s},
  title        = {Generalized multi-stage closed loop sigma delta modulator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1379--1382},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937829},
  doi          = {10.1109/ISCAS.2011.5937829},
  timestamp    = {Thu, 19 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NguyenFLB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NikandishM11,
  author       = {Gholamreza Nikandish and
                  Ali Medi},
  title        = {Analysis of random capacitor mismatch errors in pipeline analog-to-digital
                  converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {514--517},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937615},
  doi          = {10.1109/ISCAS.2011.5937615},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NikandishM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NongpiurS11,
  author       = {Rajeev C. Nongpiur and
                  Dale J. Shpak},
  title        = {Bi-criterion optimization of non-uniform filter banks for acoustic
                  echo cancellation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1073--1076},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937755},
  doi          = {10.1109/ISCAS.2011.5937755},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NongpiurS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NossekI11,
  author       = {Josef A. Nossek and
                  Michel T. Ivrlac},
  title        = {On the relation of circuit theory and signals, systems and communications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {603--604},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937637},
  doi          = {10.1109/ISCAS.2011.5937637},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NossekI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NowackiPG11,
  author       = {Blazej Nowacki and
                  Nuno Paulino and
                  Jo{\~{a}}o Goes},
  title        = {A second-order switched-capacitor {\(\Delta\)}{\(\Sigma\)} modulator
                  using very incomplete settling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1367--1370},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937826},
  doi          = {10.1109/ISCAS.2011.5937826},
  timestamp    = {Mon, 04 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NowackiPG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OakesBA11,
  author       = {Matthew Oakes and
                  Deepayan Bhowmik and
                  Charith Abhayaratne},
  title        = {Visual attention-based watermarking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2653--2656},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938150},
  doi          = {10.1109/ISCAS.2011.5938150},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OakesBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OckKG11,
  author       = {Sungmin Ock and
                  Jaegan Ko and
                  Ranjit Gharpurey},
  title        = {A Cartesian Feedback Feedforward Transmitter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937538},
  doi          = {10.1109/ISCAS.2011.5937538},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OckKG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ogunfunmi11,
  author       = {Tokunbo Ogunfunmi},
  title        = {Analysis of assessment using signals, systems concept inventory for
                  systems courses},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {595--598},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937635},
  doi          = {10.1109/ISCAS.2011.5937635},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Ogunfunmi11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OgunfunmiP11,
  author       = {Tokunbo Ogunfunmi and
                  Thomas K. Paul},
  title        = {On the complex Kernel-based adaptive filter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1263--1266},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937800},
  doi          = {10.1109/ISCAS.2011.5937800},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OgunfunmiP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OguzMD11,
  author       = {Alp Oguz and
                  Dominique Morche and
                  Catherine Dehollain},
  title        = {Adaptive power reconfigurability for decreasing power dissipation
                  of wireless personal area network receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1900--1903},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937959},
  doi          = {10.1109/ISCAS.2011.5937959},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OguzMD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OliveiraDMM11,
  author       = {Leonardo L. de Oliveira and
                  Gustavo Fernando Dessbesell and
                  Jo{\~{a}}o B. Martins and
                  Jos{\'{e}} Monteiro},
  title        = {Hardware implementation of a centroid-based localization algorithm
                  for mobile sensor networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2829--2832},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938194},
  doi          = {10.1109/ISCAS.2011.5938194},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OliveiraDMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OlmosPCNS11,
  author       = {Alfredo Olmos and
                  Stefano Pietri and
                  Ricardo Coimbra and
                  Murillo Franco Neto and
                  Jefferson B. D. Soldera},
  title        = {An ultra low-power low-voltage programmable temperature detection
                  circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2525--2528},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938118},
  doi          = {10.1109/ISCAS.2011.5938118},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OlmosPCNS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OrcionidCNC11,
  author       = {Simone Orcioni and
                  Rocco D. d'Aparo and
                  Giorgio Crostella and
                  Davide Nicoletti and
                  Massimo Conti},
  title        = {Dynamic oversampling ratio sigma-delta modulation for the control
                  of switching power converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2781--2784},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938182},
  doi          = {10.1109/ISCAS.2011.5938182},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OrcionidCNC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OtoakhiaJAAMSK11,
  author       = {Eugene Otoakhia and
                  Tanaporn Jenmanachaiyakun and
                  Ahmad Afaneh and
                  Said Alzebda and
                  Mohammad Mani and
                  Omar S. Sonbul and
                  Alexander N. Kalashnikov},
  title        = {Embedded web server for remote laboratory access for undergraduate
                  students studying electronic engineering},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {337--340},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937571},
  doi          = {10.1109/ISCAS.2011.5937571},
  timestamp    = {Tue, 13 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OtoakhiaJAAMSK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzgunJDKA11,
  author       = {Recep Ozgun and
                  Byung J. Jung and
                  Bal M. Dhar and
                  Howard E. Katz and
                  Andreas G. Andreou},
  title        = {Silicon-on-insulator {(SOI)} integration for organic field effect
                  transistor {(OFET)} based circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2253--2256},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938050},
  doi          = {10.1109/ISCAS.2011.5938050},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OzgunJDKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzgunLTPA11,
  author       = {Recep Ozgun and
                  Joseph H. Lin and
                  Francisco Tejada and
                  Philippe O. Pouliquen and
                  Andreas G. Andreou},
  title        = {A low-power 8-bit {SAR} {ADC} for a {QCIF} image sensor},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {841--844},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937697},
  doi          = {10.1109/ISCAS.2011.5937697},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OzgunLTPA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Paasio11,
  author       = {Ari Paasio},
  title        = {Prototype rotation based assisted image analysis for 3D vision system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1101--1104},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937762},
  doi          = {10.1109/ISCAS.2011.5937762},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Paasio11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PaekOCK11,
  author       = {Seungwook Paek and
                  Jiehwan Oh and
                  Sang{-}Hye Chung and
                  Lee{-}Sup Kim},
  title        = {Area-efficient dynamic thermal management unit using {MDLL} with shared
                  {DLL} scheme for many-core processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1664--1667},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937900},
  doi          = {10.1109/ISCAS.2011.5937900},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PaekOCK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanM11,
  author       = {Yu Pan and
                  Pramod Kumar Meher},
  title        = {Efficient coefficient partitioning for decomposed DA-based inner-product
                  computation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {406--409},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937588},
  doi          = {10.1109/ISCAS.2011.5937588},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanSLL11,
  author       = {Zhaotai Pan and
                  Huifeng Shen and
                  Yan Lu and
                  Shipeng Li},
  title        = {Browser-friendly hybrid codec for compound image compression},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937511},
  doi          = {10.1109/ISCAS.2011.5937511},
  timestamp    = {Fri, 20 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PanSLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PangADZ11,
  author       = {Chao Pang and
                  Oscar C. Au and
                  Jingjing Dai and
                  Feng Zou},
  title        = {Frame-level dependent bit allocation via geometric programming},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2149--2152},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938024},
  doi          = {10.1109/ISCAS.2011.5938024},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PangADZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PangLSR11,
  author       = {Yu Pang and
                  Jinzhao Lin and
                  Sayeeda Sultana and
                  Katarzyna Radecka},
  title        = {A novel method of synthesizing reversible logic},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2857--2860},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938201},
  doi          = {10.1109/ISCAS.2011.5938201},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PangLSR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PangR11,
  author       = {Yu Pang and
                  Katarzyna Radecka},
  title        = {An efficient algorithm of performing range analysis for fixed-point
                  arithmetic circuits based on {SAT} checking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1736--1739},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937918},
  doi          = {10.1109/ISCAS.2011.5937918},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PangR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PantLA11,
  author       = {Jeevan K. Pant and
                  Wu{-}Sheng Lu and
                  Andreas Antoniou},
  title        = {Unconstrained regularized {\(\mathscr{l}\)}p-norm based algorithm
                  for the reconstruction of sparse signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1740--1743},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937919},
  doi          = {10.1109/ISCAS.2011.5937919},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PantLA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PapadopoulosTM11,
  author       = {Agathoklis Papadopoulos and
                  Theocharis Theocharides and
                  Maria K. Michael},
  title        = {Towards optimal {CMOS} lifetime via unified reliability modeling and
                  multi-objective optimization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1049--1052},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937749},
  doi          = {10.1109/ISCAS.2011.5937749},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PapadopoulosTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PapandreouPPSBLE11,
  author       = {Nikolaos Papandreou and
                  Haralampos Pozidis and
                  Aggeliki Pantazi and
                  Abu Sebastian and
                  Matthew J. Breitwisch and
                  Chung Hon Lam and
                  Evangelos Eleftheriou},
  title        = {Programming algorithms for multilevel phase-change memory},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937569},
  doi          = {10.1109/ISCAS.2011.5937569},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PapandreouPPSBLE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PardoA11,
  author       = {Mauricio Pardo and
                  Farrokh Ayazi},
  title        = {A band-reject nested-PLL phase-noise reduction scheme for clock-cleaners},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {470--473},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937604},
  doi          = {10.1109/ISCAS.2011.5937604},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PardoA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PardoSA11,
  author       = {Mauricio Pardo and
                  Logan Sorenson and
                  Farrokh Ayazi},
  title        = {A phase-noise model for nonlinear piezoelectrically-actuated {MEMS}
                  oscillators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937541},
  doi          = {10.1109/ISCAS.2011.5937541},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PardoSA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkC11,
  author       = {Young{-}Seok Park and
                  Woo{-}Young Choi},
  title        = {Supply noise insensitive ring {VCO} with on-chip adaptive bias-current
                  and voltage-swing control},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {229--232},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937543},
  doi          = {10.1109/ISCAS.2011.5937543},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkLL11,
  author       = {Jeong{-}In Park and
                  Hanho Lee and
                  Seongsoo Lee},
  title        = {An area-efficient truncated inversionless Berlekamp-Massey architecture
                  for Reed-Solomon decoders},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2693--2696},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938160},
  doi          = {10.1109/ISCAS.2011.5938160},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkO11,
  author       = {Jeoong Sung Park and
                  Tokunbo Ogunfunmi},
  title        = {{FPGA} implementation of channel estimation for {MIMO-OFDM}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {705--708},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937663},
  doi          = {10.1109/ISCAS.2011.5937663},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkWC11,
  author       = {Hyun{-}Hee Park and
                  Young{-}Jin Woo and
                  Gyu{-}Hyeong Cho},
  title        = {A fully integrated wide-band {PID} controller with capacitor-less
                  compensation for step-down {DC-DC} converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {506--509},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937613},
  doi          = {10.1109/ISCAS.2011.5937613},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PaulaP11,
  author       = {Amanda de Paula and
                  Cristiano Panazio},
  title        = {An uncoded {BER} comparison between {DFE-SCCP} and {OFDM} using a
                  convex analysis framework},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2397--2400},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938086},
  doi          = {10.1109/ISCAS.2011.5938086},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PaulaP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pavan11,
  author       = {Shanthi Pavan},
  title        = {The inconvenient truth about alias rejection in continuous time {\(\Delta\)}{\(\Sigma\)}
                  converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {526--529},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937618},
  doi          = {10.1109/ISCAS.2011.5937618},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pavan11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PedroniJP11,
  author       = {Volnei A. Pedroni and
                  Ricardo P. Jasinski and
                  Ricardo U. Pedroni},
  title        = {A very efficient single-iteration oldest-out data sorter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2141--2144},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938022},
  doi          = {10.1109/ISCAS.2011.5938022},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PedroniJP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PedrosaBB11,
  author       = {Glauco Vitor Pedrosa and
                  C{\'{e}}lia A. Zorzo Barcelos and
                  Marcos Aur{\'{e}}lio Batista},
  title        = {An image retrieval system using shape salience points},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2797--2800},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938186},
  doi          = {10.1109/ISCAS.2011.5938186},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PedrosaBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeiSCH11,
  author       = {Soo{-}Chang Pei and
                  Jong{-}Jy Shyu and
                  Cheng{-}Han Chan and
                  Yun{-}Da Huang},
  title        = {An Improved method for the design of variable fractional-delay {IIR}
                  digital filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {394--397},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937585},
  doi          = {10.1109/ISCAS.2011.5937585},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeiSCH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pena-PerezBM11,
  author       = {Aldo Pena{-}Perez and
                  Edoardo Bonizzoni and
                  Franco Maloberti},
  title        = {A low-power third-order {\(\Delta\)}{\(\Sigma\)} modulator using a
                  single operational amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1371--1374},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937827},
  doi          = {10.1109/ISCAS.2011.5937827},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pena-PerezBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PengXW11,
  author       = {Xiulian Peng and
                  Jizheng Xu and
                  Feng Wu},
  title        = {Highly parallel image coding for many cores},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937512},
  doi          = {10.1109/ISCAS.2011.5937512},
  timestamp    = {Tue, 28 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PengXW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerkinsG11,
  author       = {Rob Perkins and
                  Viktor Gruev},
  title        = {Noise modeling of Stokes parameters in division of focal plane polarization
                  imagers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1828--1831},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937941},
  doi          = {10.1109/ISCAS.2011.5937941},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerkinsG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PerkinsLKLGBA11,
  author       = {Chris Perkins and
                  Lydia Lei and
                  Michael Kuhlman and
                  Tsung{-}Hsueh Lee and
                  George Gateau and
                  Sarah Bergbreiter and
                  Pamela Abshire},
  title        = {Distance sensing for mini-robots: {RSSI} vs. {TDOA}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1984--1987},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937980},
  doi          = {10.1109/ISCAS.2011.5937980},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PerkinsLKLGBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeslouanMTDBB11,
  author       = {P. O. Lucas de Peslouan and
                  C{\'{e}}dric Majek and
                  Thierry Taris and
                  Yann Deval and
                  Didier Belot and
                  Jean{-}Baptiste B{\'{e}}gueret},
  title        = {A new frequency synthesizers stabilization method based on a mixed
                  Phase Locked Loop and Delay Locked Loop architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {482--485},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937607},
  doi          = {10.1109/ISCAS.2011.5937607},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeslouanMTDBB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetrashinD11,
  author       = {Pablo A. Petrashin and
                  Carlos Dualibe},
  title        = {{OBT} implementation on Gm-C filters without self-tuning capability},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2521--2524},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938117},
  doi          = {10.1109/ISCAS.2011.5938117},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetrashinD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Piestrak11,
  author       = {Stanislaw J. Piestrak},
  title        = {Design of multi-residue generators using shared logic},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1435--1438},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937843},
  doi          = {10.1109/ISCAS.2011.5937843},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Piestrak11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PietriDROW11,
  author       = {Stefano Pietri and
                  Chris Dao and
                  Jehoda Refaeli and
                  Alfredo Olmos and
                  Xiaolei Wu},
  title        = {A versatile low-dropout voltage regulator for automotive applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937496},
  doi          = {10.1109/ISCAS.2011.5937496},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PietriDROW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PladysB11,
  author       = {Mathieu Pladys and
                  Elena Blokhina},
  title        = {{MEMS} with {\(\Sigma\)} - {\(\Delta\)} type of feedback loop control
                  as an iterative map},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {977--980},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937731},
  doi          = {10.1109/ISCAS.2011.5937731},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PladysB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PolaCCAH11,
  author       = {Ariel L. Pola and
                  Diego E. Crivelli and
                  Juan E. Cousseau and
                  Oscar E. Agazzi and
                  Mario Rafael Hueda},
  title        = {A new low complexity iterative equalization architecture for high-speed
                  receivers on highly dispersive channels: Decision feedforward equalizer
                  {(DFFE)}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937519},
  doi          = {10.1109/ISCAS.2011.5937519},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PolaCCAH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PontaLBC11,
  author       = {Linda Ponta and
                  Valentina Lanza and
                  Michele Bonnin and
                  Fernando Corinto},
  title        = {Influence of external input on Oscillatory Cellular Nonlinear Networks
                  dynamics},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2177--2180},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938031},
  doi          = {10.1109/ISCAS.2011.5938031},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PontaLBC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PoschM11,
  author       = {Christoph Posch and
                  Daniel Matolin},
  title        = {Sensitivity and uniformity of a 0.18{\(\mathrm{\mu}\)}m {CMOS} temporal
                  contrast pixel array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1572--1575},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937877},
  doi          = {10.1109/ISCAS.2011.5937877},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PoschM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PrabhaRK11,
  author       = {Rajiv Damodaran Prabha and
                  Gabriel A. Rinc{\'{o}}n{-}Mora and
                  Suhwan Kim},
  title        = {Harvesting circuits for miniaturized photovoltaic cells},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937563},
  doi          = {10.1109/ISCAS.2011.5937563},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PrabhaRK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PurighallaM11,
  author       = {Sandhya Purighalla and
                  Brent Maundy},
  title        = {Improved dynamic range, digitally-controlled linear-in-dB {CMOS} variable
                  gain amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2517--2520},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938116},
  doi          = {10.1109/ISCAS.2011.5938116},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PurighallaM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QadirZHC11,
  author       = {Ghulam Qadir and
                  Xi Zhao and
                  Anthony T. S. Ho and
                  Matthew Casey},
  title        = {Image forensic of glare feature for improving image retrieval using
                  Benford's Law},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2661--2664},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938152},
  doi          = {10.1109/ISCAS.2011.5938152},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QadirZHC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiaoLZLG11,
  author       = {Zhongmei Qiao and
                  Xiaoming Li and
                  Debin Zhao and
                  Yanwei Liu and
                  Wen Gao},
  title        = {Fast disparity estimation utilizing depth information for multiview
                  video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2805--2808},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938188},
  doi          = {10.1109/ISCAS.2011.5938188},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiaoLZLG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QinZG11,
  author       = {Jiahu Qin and
                  Wei Xing Zheng and
                  Huijun Gao},
  title        = {A study of synchronization of complex networks via pinning control},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1596--1599},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937883},
  doi          = {10.1109/ISCAS.2011.5937883},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QinZG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QuanZL11,
  author       = {Zhi Quan and
                  Yuriy V. Zakharov and
                  Jie Liu},
  title        = {DCD-based simplified matrix inversion for {MIMO-OFDM}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2389--2392},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938084},
  doi          = {10.1109/ISCAS.2011.5938084},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QuanZL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Queiroz11,
  author       = {Ant{\^{o}}nio Carlos M. de Queiroz},
  title        = {Live demonstration: Electronic doubler of electricity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1991},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937984},
  doi          = {10.1109/ISCAS.2011.5937984},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Queiroz11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QureshiPMS11,
  author       = {Muhammad Shakeel Qureshi and
                  Matthew D. Pickett and
                  Feng Miao and
                  John Paul Strachan},
  title        = {{CMOS} interface circuits for reading and writing memristor crossbar
                  array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2954--2957},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938211},
  doi          = {10.1109/ISCAS.2011.5938211},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QureshiPMS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RachidPD11,
  author       = {Mansour Rachid and
                  Sudhakar Pamarti and
                  Babak Daneshrad},
  title        = {A novel reconfigurable alias interference cancellation technique for
                  A-to-D conversion},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1656--1659},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937898},
  doi          = {10.1109/ISCAS.2011.5937898},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RachidPD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadeckerBZ11,
  author       = {Matthias Radecker and
                  Fabio Bisogno and
                  Lyudmila Zinchenko},
  title        = {An energy-based heuristic operator method for resonant power circuit
                  estimation predicting parameter sensitivity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1912--1915},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937962},
  doi          = {10.1109/ISCAS.2011.5937962},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadeckerBZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadhakrishnanJ11,
  author       = {Chandrasekhar Radhakrishnan and
                  William Kenneth Jenkins},
  title        = {Fault Tolerant Adaptive Filters based on modified discrete fourier
                  transform architectures},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1271--1274},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937802},
  doi          = {10.1109/ISCAS.2011.5937802},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadhakrishnanJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadhakrishnanJ11a,
  author       = {Chandrasekhar Radhakrishnan and
                  William Kenneth Jenkins},
  title        = {The 2-D Modulated Discrete Fourier Transform for 2-D fast convolution
                  and digital filtering},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1508--1511},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937861},
  doi          = {10.1109/ISCAS.2011.5937861},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadhakrishnanJ11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RahamanDC11,
  author       = {Md. Sajjad Rahaman and
                  Qing Duan and
                  Masud H. Chowdhury},
  title        = {Spatial- and temporal-reliability aware design for nano-scale {VLSI}
                  circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1057--1060},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937751},
  doi          = {10.1109/ISCAS.2011.5937751},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RahamanDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajapakshaM11,
  author       = {Nilanka T. Rajapaksha and
                  Arjuna Madanayake},
  title        = {Asynchronous-QDI 2D {IIR} digital filter circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {665--668},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937653},
  doi          = {10.1109/ISCAS.2011.5937653},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RajapakshaM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajendranKR11,
  author       = {Jeyavijayan Rajendran and
                  Ramesh Karri and
                  Garrett S. Rose},
  title        = {Parallel memristors: Improving variation tolerance in memristive digital
                  circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2241--2244},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938047},
  doi          = {10.1109/ISCAS.2011.5938047},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajendranKR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajotteGL11,
  author       = {Simon Rajotte and
                  Diana Carolina Gil and
                  J. M. Pierre Langlois},
  title        = {Combining {ISA} extensions and subsetting for improved {ASIP} performance
                  and cost},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {653--656},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937650},
  doi          = {10.1109/ISCAS.2011.5937650},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajotteGL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RamacherRHLBKSGSSCOXBLWKH11,
  author       = {Ulrich Ramacher and
                  Wolfgang Raab and
                  J. A. Ulrich Hachmann and
                  Dominik Langen and
                  J{\"{o}}rg Berthold and
                  R. Kramer and
                  A. Schackow and
                  Cyprian Grassmann and
                  Mirko Sauermann and
                  P. Szreder and
                  F. Capar and
                  G. Obradovic and
                  W. Xu and
                  Nico Br{\"{u}}ls and
                  Kang Lee and
                  Eugene Weber and
                  Ray Kuhn and
                  John Harrington},
  title        = {Architecture and implementation of a Software-Defined Radio baseband
                  processor},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2193--2196},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938035},
  doi          = {10.1109/ISCAS.2011.5938035},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RamacherRHLBKSGSSCOXBLWKH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RamosPSCF11,
  author       = {Caio C. O. Ramos and
                  Jo{\~{a}}o Paulo Papa and
                  Andr{\'{e}} N. de Souza and
                  Giovani Chiachia and
                  Alexandre X. Falc{\~{a}}o},
  title        = {What is the importance of selecting features for non-technical losses
                  identification?},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1045--1048},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937748},
  doi          = {10.1109/ISCAS.2011.5937748},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RamosPSCF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RapinojaXSR11,
  author       = {Tapio Rapinoja and
                  Liangge Xu and
                  Kari Stadius and
                  Jussi Ryyn{\"{a}}nen},
  title        = {Implementation of all-digital wideband {RF} frequency synthesizers
                  in 65-nm {CMOS} technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1948--1951},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937971},
  doi          = {10.1109/ISCAS.2011.5937971},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RapinojaXSR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RastogiSHP11,
  author       = {Manu Rastogi and
                  Alexander Singh{-}Alvarado and
                  John G. Harris and
                  Jos{\'{e}} C. Pr{\'{\i}}ncipe},
  title        = {Integrate and fire circuit as an {ADC} replacement},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2421--2424},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938092},
  doi          = {10.1109/ISCAS.2011.5938092},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RastogiSHP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaymondGI11,
  author       = {Mina Raymond and
                  Maged Ghoneima and
                  Yehea I. Ismail},
  title        = {A dynamic calibration scheme for on-chip process and temperature variations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2047--2050},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937999},
  doi          = {10.1109/ISCAS.2011.5937999},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaymondGI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RazzaghpourR11,
  author       = {Milad Razzaghpour and
                  Ana Rusu},
  title        = {Analog circuit optimization via a modified Imperialist Competitive
                  Algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2273--2276},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938055},
  doi          = {10.1109/ISCAS.2011.5938055},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RazzaghpourR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ReinischGUWHPH11,
  author       = {Hannes Reinisch and
                  Stefan Gruber and
                  Hartwig Unterassinger and
                  Martin Wiessflecker and
                  G{\"{u}}nter Hofer and
                  Wolfgang Pribyl and
                  Gerald Holweg},
  title        = {A 2-pin input multi frequency power scavenging unit for wireless sensor
                  nodes and {RFID} tags},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {538--541},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937621},
  doi          = {10.1109/ISCAS.2011.5937621},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ReinischGUWHPH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Reis11,
  author       = {Ricardo Reis},
  title        = {Design automation of transistor networks, a new challenge},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2485--2488},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938108},
  doi          = {10.1109/ISCAS.2011.5938108},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Reis11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RejaFM11,
  author       = {Md. Mahbub Reja and
                  Igor M. Filanovsky and
                  Kambiz K. Moez},
  title        = {A compact {CMOS} {UWB} {LNA} using tunable active inductors for {WLAN}
                  interference rejection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937556},
  doi          = {10.1109/ISCAS.2011.5937556},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RejaFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RibasMPO11,
  author       = {S. P. Ribas and
                  Vinicius Foletto Montagner and
                  Humberto Pinheiro and
                  Ricardo C. L. F. Oliveira},
  title        = {Discrete-time H{\(\infty\)} control of {PWM} inverters: Experimental
                  results complying with {IEC} 62040-3},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1608--1611},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937886},
  doi          = {10.1109/ISCAS.2011.5937886},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RibasMPO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ribes-MalladaLG11,
  author       = {Ursula Ribes{-}Mallada and
                  Ramon Leyva and
                  Pedro Garces},
  title        = {Assessment of direct {VRM} topologies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {765--768},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937678},
  doi          = {10.1109/ISCAS.2011.5937678},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ribes-MalladaLG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RichmondR11,
  author       = {Jesse Richmond and
                  Jan M. Rabaey},
  title        = {Digital energy detection for {OOK} demodulation in ultra-low power
                  radios},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1808--1811},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937936},
  doi          = {10.1109/ISCAS.2011.5937936},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RichmondR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RigheroCB11,
  author       = {Marco Righero and
                  Fernando Corinto and
                  Mario Biey},
  title        = {Master Stability Function for networks of Chua's circuits with static
                  and dynamic couplings},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {737--740},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937671},
  doi          = {10.1109/ISCAS.2011.5937671},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RigheroCB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RochaB11,
  author       = {Carlos Aur{\'{e}}lio Faria da Rocha and
                  Maurice G. Bellanger},
  title        = {Sub-channel equalizer design based on geometric interpolation for
                  {FBMC/OQAM} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1279--1282},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937804},
  doi          = {10.1109/ISCAS.2011.5937804},
  timestamp    = {Mon, 29 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RochaB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Rodriguez-PerezRRDR11,
  author       = {Alberto Rodr{\'{\i}}guez{-}P{\'{e}}rez and
                  Jes{\'{u}}s Ruiz{-}Amaya and
                  Jose Antonio Rodr{\'{\i}}guez{-}Rodr{\'{\i}}guez and
                  Manuel Delgado{-}Restituto and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {A power efficient neural spike recording channel with data bandwidth
                  reduction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1704--1707},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937910},
  doi          = {10.1109/ISCAS.2011.5937910},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Rodriguez-PerezRRDR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RodriguezR11,
  author       = {Saul Rodriguez and
                  Ana Rusu},
  title        = {A 65nm {CMOS} current-mode receiver front-end},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {530--533},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937619},
  doi          = {10.1109/ISCAS.2011.5937619},
  timestamp    = {Wed, 05 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RodriguezR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RohdeP11,
  author       = {Ulrich L. Rohde and
                  Ajay K. Poddar},
  title        = {Digital frequency synthesizer using adaptive mode-coupled resonator
                  mechanism for low phase noise and low jitter applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {414--417},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937590},
  doi          = {10.1109/ISCAS.2011.5937590},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RohdeP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RosaDRS11,
  author       = {E. S. Rosa and
                  Leonardo Tomazeli Duarte and
                  Jo{\~{a}}o Marcos Travassos Romano and
                  Ricardo Suyama},
  title        = {Signal recovery in {PDM} optical communication systems employing independent
                  component analysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {641--644},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937647},
  doi          = {10.1109/ISCAS.2011.5937647},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RosaDRS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RosePW11,
  author       = {Garrett S. Rose and
                  Robinson E. Pino and
                  Qing Wu},
  title        = {Exploiting memristance for low-energy neuromorphic computing hardware},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2942--2945},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938208},
  doi          = {10.1109/ISCAS.2011.5938208},
  timestamp    = {Fri, 05 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RosePW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoskaZP11,
  author       = {Tam{\'{a}}s Roska and
                  {\'{A}}kos Zar{\'{a}}ndy and
                  Giovanni Egidio Pazienza},
  title        = {Applications of the virtual cellular machine to many-core processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1536--1539},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937868},
  doi          = {10.1109/ISCAS.2011.5937868},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RoskaZP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RothCSLB11,
  author       = {Christoph Roth and
                  Alessandro Cevrero and
                  Christoph Studer and
                  Yusuf Leblebici and
                  Andreas Burg},
  title        = {Area, throughput, and energy-efficiency trade-offs in the {VLSI} implementation
                  of {LDPC} decoders},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1772--1775},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937927},
  doi          = {10.1109/ISCAS.2011.5937927},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RothCSLB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoyHHM11,
  author       = {Sujan Kumar Roy and
                  Md. Kamrul Hasan and
                  Keikichi Hirose and
                  Md. Khademul Islam Molla},
  title        = {Dominant harmonic modification with data adaptive filter based algorithm
                  for robust pitch estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2417--2420},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938091},
  doi          = {10.1109/ISCAS.2011.5938091},
  timestamp    = {Wed, 18 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RoyHHM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuffieuxCE11,
  author       = {David Ruffieux and
                  Matteo Contaldo and
                  Christian C. Enz},
  title        = {MEMS-based all-digital frequency synthesis for ultralow-power radio
                  for {WBAN} and {WSN} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937525},
  doi          = {10.1109/ISCAS.2011.5937525},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuffieuxCE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Rummele-WernerPBHB11,
  author       = {Matthias R{\"{u}}mmele{-}Werner and
                  Thomas Perschke and
                  Lars Braun and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  title        = {A {FPGA} based fast runtime reconfigurable real-time Multi-Object-Tracker},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {853--856},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937700},
  doi          = {10.1109/ISCAS.2011.5937700},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Rummele-WernerPBHB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RussellE11,
  author       = {Alexander F. Russell and
                  Ralph Etienne{-}Cummings},
  title        = {Maximum likelihood parameter estimation of a spiking silicon neuron},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {669--672},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937654},
  doi          = {10.1109/ISCAS.2011.5937654},
  timestamp    = {Mon, 02 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RussellE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RutkowskiM11,
  author       = {Jerzy Rutkowski and
                  Katarzyna Moscinska},
  title        = {Blended engineering course - Electric Circuit Theory case study},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937570},
  doi          = {10.1109/ISCAS.2011.5937570},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RutkowskiM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaadH11,
  author       = {Ramy Saad and
                  Sebastian Hoyos},
  title        = {Sensitivity analysis of pulse-width jitter induced noise in continuous-time
                  delta-sigma modulators to out-of-band blockers in wireless receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1636--1639},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937893},
  doi          = {10.1109/ISCAS.2011.5937893},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaadH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SabryzAC11,
  author       = {Mohamed M. Sabry and
                  David Atienza and
                  Ayse K. Coskun},
  title        = {Thermal analysis and active cooling management for 3D MPSoCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2237--2240},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938046},
  doi          = {10.1109/ISCAS.2011.5938046},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SabryzAC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaeidiSH11,
  author       = {Roghayeh Saeidi and
                  Mohammad Sharifkhani and
                  Khosrow Hajsadeghi},
  title        = {A subthreshold dynamic read {SRAM} {(DRSRAM)} based on dynamic stability
                  criteria},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937501},
  doi          = {10.1109/ISCAS.2011.5937501},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaeidiSH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaffihFMEC11,
  author       = {Fay{\c{c}}al Saffih and
                  Nathaniel N. Fitzpatrick and
                  Mohammad A. Mohammad and
                  Stephane Evoy and
                  Bo Cui},
  title        = {Bio-inspired nano-photodiode for Low Light, High Resolution and crosstalk-free
                  {CMOS} image sensing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {797--800},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937686},
  doi          = {10.1109/ISCAS.2011.5937686},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaffihFMEC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SafwatHGI11,
  author       = {Sally Safwat and
                  Ezz El{-}Din O. Hussein and
                  Maged Ghoneima and
                  Yehea I. Ismail},
  title        = {A 12Gbps all digital low power SerDes transceiver for on-chip networking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1419--1422},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937839},
  doi          = {10.1109/ISCAS.2011.5937839},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SafwatHGI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalahRRAI11,
  author       = {Khaled Salah and
                  Alaa B. El{-}Rouby and
                  Hani F. Ragai and
                  Karim Amin and
                  Yehea I. Ismail},
  title        = {Compact lumped element model for {TSV} in 3D-ICs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2321--2324},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938067},
  doi          = {10.1109/ISCAS.2011.5938067},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalahRRAI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalemI11,
  author       = {Loai G. Salem and
                  Yehea I. Ismail},
  title        = {Fast hysteretic control of on-chip multi-phase switched-capacitor
                  dc-dc converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2561--2564},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938127},
  doi          = {10.1109/ISCAS.2011.5938127},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalemI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalemJ11,
  author       = {Loai G. Salem and
                  Rinkle Jain},
  title        = {A novel control technique to eliminate output-voltage-ripple in switched-capacitor
                  {DC-DC} converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {825--828},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937693},
  doi          = {10.1109/ISCAS.2011.5937693},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalemJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Salman11,
  author       = {Emre Salman},
  title        = {Noise coupling due to through silicon vias (TSVs) in 3-D integrated
                  circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1411--1414},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937837},
  doi          = {10.1109/ISCAS.2011.5937837},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Salman11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalmanACDGA11,
  author       = {Aabeeya Salman and
                  Emily G. Allstot and
                  Andrew Y. Chen and
                  Anna M. R. Dixon and
                  Daibashish Gangopadhyay and
                  David J. Allstot},
  title        = {Compressive sampling of {EMG} bio-signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2095--2098},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938011},
  doi          = {10.1109/ISCAS.2011.5938011},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalmanACDGA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SamoriZLL11,
  author       = {Carlo Samori and
                  Marco Zanuso and
                  Salvatore Levantino and
                  Andrea L. Lacaita},
  title        = {Multipath adaptive cancellation of divider non-linearity in fractional-N
                  PLLs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {418--421},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937591},
  doi          = {10.1109/ISCAS.2011.5937591},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SamoriZLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sanchez-AzquetaCA11,
  author       = {Carlos S{\'{a}}nchez{-}Azqueta and
                  Santiago Celma and
                  Francisco Aznar},
  title        = {A 3.125 GHz four stage voltage controlled ring oscillator in 0.18
                  {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1137--1140},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937771},
  doi          = {10.1109/ISCAS.2011.5937771},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Sanchez-AzquetaCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sanchez-LopezMTCT11,
  author       = {Carlos S{\'{a}}nchez{-}L{\'{o}}pez and
                  Jes{\'{u}}s M. Mu{\~{n}}oz{-}Pacheco and
                  Esteban Tlelo{-}Cuautle and
                  Victor Hugo Carbajal{-}Gomez and
                  Rodolfo Trejo{-}Guerra},
  title        = {On the trade-off between the number of scrolls and the operating frequency
                  of the chaotic attractors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2950--2953},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938210},
  doi          = {10.1109/ISCAS.2011.5938210},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sanchez-LopezMTCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaniCCM11,
  author       = {Awais Sani and
                  Philippe Coussy and
                  Cyrille Chavet and
                  Eric Martin},
  title        = {An approach based on edge coloring of tripartite graph for designing
                  parallel {LDPC} interleaver architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1720--1723},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937914},
  doi          = {10.1109/ISCAS.2011.5937914},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaniCCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SankmanCM11,
  author       = {Joseph Sankman and
                  Hai Chen and
                  Dongsheng Ma},
  title        = {Supercapacitor-based reconfigurable energy management unit for autonomous
                  wireless sensor nodes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2541--2544},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938122},
  doi          = {10.1109/ISCAS.2011.5938122},
  timestamp    = {Fri, 21 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SankmanCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SantaASL11,
  author       = {Thomas Santa and
                  Mario Auer and
                  Christoph Sandner and
                  Christian Lindholm},
  title        = {Switched capacitor {DC-DC} converter in 65nm {CMOS} technology with
                  a peak efficiency of 97{\%}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1351--1354},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937822},
  doi          = {10.1109/ISCAS.2011.5937822},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SantaASL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SarbisheiR11,
  author       = {Omid Sarbishei and
                  Katarzyna Radecka},
  title        = {Analysis of Mean-Square-Error {(MSE)} for fixed-point {FFT} units},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1732--1735},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937917},
  doi          = {10.1109/ISCAS.2011.5937917},
  timestamp    = {Mon, 30 Mar 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SarbisheiR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SavidisPF11,
  author       = {Ioannis Savidis and
                  Vasilis F. Pavlidis and
                  Eby G. Friedman},
  title        = {Clock distribution models of 3-D integrated systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2225--2228},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938043},
  doi          = {10.1109/ISCAS.2011.5938043},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SavidisPF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchieferHSPMHS11,
  author       = {Stefan Schiefer and
                  Stephan Hartmann and
                  Stefan Scholze and
                  Johannes Partzsch and
                  Christian Mayr and
                  Stephan Henker and
                  Ren{\'{e}} Sch{\"{u}}ffny},
  title        = {Live demonstration: Packet-based {AER} with 3Gevent/s cumulative throughput},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1988},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937981},
  doi          = {10.1109/ISCAS.2011.5937981},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchieferHSPMHS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchinianakisS11,
  author       = {Dimitrios M. Schinianakis and
                  Thanos Stouraitis},
  title        = {A {RNS} Montgomery multiplication architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1167--1170},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937776},
  doi          = {10.1109/ISCAS.2011.5937776},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchinianakisS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchlechterH11,
  author       = {Thomas Schlechter and
                  Mario Huemer},
  title        = {Advanced filter bank based approach for blocker detection in {LTE}
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2189--2192},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938034},
  doi          = {10.1109/ISCAS.2011.5938034},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchlechterH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchlegelG11,
  author       = {Christian Schlegel and
                  Vincent C. Gaudet},
  title        = {Hardware implementation challenges of modern error control decoders},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1788--1791},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937931},
  doi          = {10.1109/ISCAS.2011.5937931},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchlegelG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchmidtHGB11,
  author       = {Martin Schmidt and
                  Sebastian Haug and
                  Markus Grozing and
                  Manfred Berroth},
  title        = {A pipelined 3-level bandpass delta-sigma modulator for class-S power
                  amplifiers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2757--2760},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938176},
  doi          = {10.1109/ISCAS.2011.5938176},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchmidtHGB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SelimisKAHGLSHT11,
  author       = {Georgios N. Selimis and
                  Mario Konijnenburg and
                  Maryam Ashouei and
                  Jos Huisken and
                  Harmke de Groot and
                  Vincent van der Leest and
                  Geert Jan Schrijen and
                  Marten van Hulst and
                  Pim Tuyls},
  title        = {Evaluation of 90nm 6T-SRAM as Physical Unclonable Function for secure
                  key generation in wireless sensor nodes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {567--570},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937628},
  doi          = {10.1109/ISCAS.2011.5937628},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SelimisKAHGLSHT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenVC11,
  author       = {Shreyas Sen and
                  Marian Verhelst and
                  Abhijit Chatterjee},
  title        = {Orthogonally tunable inductorless {RF} {LNA} for adaptive wireless
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937557},
  doi          = {10.1109/ISCAS.2011.5937557},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SenVC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SepehrianSL11,
  author       = {Hassan Sepehrian and
                  Mehdi Saberi and
                  Reza Lotfi},
  title        = {A signal-specific successive-approximation analog-to-digital converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1624--1627},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937890},
  doi          = {10.1109/ISCAS.2011.5937890},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SepehrianSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SethTCS11,
  author       = {Sumantra Seth and
                  Rajavelu Thinakaran and
                  Sujoy Chakravarty and
                  Vikas Sinha},
  title        = {A low power high speed envelope detector for serial data systems in
                  45nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937498},
  doi          = {10.1109/ISCAS.2011.5937498},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SethTCS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahdoostMS11,
  author       = {Shahab Shahdoost and
                  Ali Medi and
                  Namdar Saniei},
  title        = {A 1.93 pA/{\(\surd\)}Hz transimpedance amplifier for 2.5 Gb/s optical
                  communications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2889--2892},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938235},
  doi          = {10.1109/ISCAS.2011.5938235},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahdoostMS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShakerB11,
  author       = {Mohamed O. Shaker and
                  Magdy A. Bayoumi},
  title        = {A clock gated flip-flop for low power applications in 90 nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {558--562},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937626},
  doi          = {10.1109/ISCAS.2011.5937626},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShakerB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheikSNCI11,
  author       = {Sadique Sheik and
                  Fabio Stefanini and
                  Emre Neftci and
                  Elisabetta Chicca and
                  Giacomo Indiveri},
  title        = {Systematic configuration and automatic tuning of neuromorphic systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {873--876},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937705},
  doi          = {10.1109/ISCAS.2011.5937705},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SheikSNCI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheraziNASR11,
  author       = {S. M. Yasser Sherazi and
                  Peter Nilsson and
                  Omer Can Akgun and
                  Henrik Sj{\"{o}}land and
                  Joachim Neves Rodrigues},
  title        = {Design exploration of a 65 nm Sub-VT {CMOS} digital decimation filter
                  chain},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {837--840},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937696},
  doi          = {10.1109/ISCAS.2011.5937696},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheraziNASR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiPO11,
  author       = {Jianlei Shi and
                  Jagdish Nayayan Pandey and
                  Brian P. Otis},
  title        = {A 1.5 GHz high-Q receiver based on current reuse},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1800--1803},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937934},
  doi          = {10.1109/ISCAS.2011.5937934},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiPO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiWYL11,
  author       = {Congyin Shi and
                  Chuan Wang and
                  Le Ye and
                  Huailin Liao},
  title        = {-99dBc/Hz@10kHz 1MHz-step dual-loop integer-N {PLL} with anti-mislocking
                  frequency calibration for global navigation satellite system receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1876--1879},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937953},
  doi          = {10.1109/ISCAS.2011.5937953},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiWYL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShiYZY11,
  author       = {Zewen Shi and
                  Yueming Yang and
                  Xiaoyang Zeng and
                  Zhiyi Yu},
  title        = {A reconfigurable and deadlock-free routing algorithm for 2D Mesh Network-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2934--2937},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938206},
  doi          = {10.1109/ISCAS.2011.5938206},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShiYZY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinKK11,
  author       = {Sangho Shin and
                  Kyungmin Kim and
                  Sung{-}Mo Kang},
  title        = {Complementary structure of memristive devices based passive memory
                  arrays},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937567},
  doi          = {10.1109/ISCAS.2011.5937567},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinKK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinYCKKLA11,
  author       = {Chang{-}Seob Shin and
                  Min{-}Ho Yoon and
                  Kang{-}Il Cho and
                  Young{-}Ju Kim and
                  Kwang{-}Soo Kim and
                  Seung{-}Hoon Lee and
                  Gil{-}Cho Ahn},
  title        = {A 6.25 MHz {BW} 8-OSR fifth-order single-stage sigma-delta {ADC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1117--1120},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937766},
  doi          = {10.1109/ISCAS.2011.5937766},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinYCKKLA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShrimaliC11,
  author       = {Hitesh Shrimali and
                  Shouri Chatterjee},
  title        = {11 GHz {UGBW} Op-amp with feed-forward compensation technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937490},
  doi          = {10.1109/ISCAS.2011.5937490},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShrimaliC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShrimaliC11a,
  author       = {Hitesh Shrimali and
                  Shouri Chatterjee},
  title        = {Third order harmonic cancellation technique for a parametric amplifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1880--1883},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937954},
  doi          = {10.1109/ISCAS.2011.5937954},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShrimaliC11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Singh-AlvaradoRHP11,
  author       = {Alexander Singh{-}Alvarado and
                  Manu Rastogi and
                  John G. Harris and
                  Jos{\'{e}} C. Pr{\'{\i}}ncipe},
  title        = {The integrate-and-fire sampler: {A} special type of asynchronous {\(\Sigma\)}
                  - {\(\Delta\)} modulator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2031--2034},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937995},
  doi          = {10.1109/ISCAS.2011.5937995},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Singh-AlvaradoRHP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SinghYH11,
  author       = {Amandeep Singh and
                  Refet Firat Yazicioglu and
                  Chris Van Hoof},
  title        = {Design of widely tunable Mexican hat wavelet filter for cardiac signal
                  analysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1459--1462},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937849},
  doi          = {10.1109/ISCAS.2011.5937849},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SinghYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SioziosPS11,
  author       = {Kostas Siozios and
                  Antonis Papanikolaou and
                  Dimitrios Soudris},
  title        = {{CAD} tools for designing 3D integrated systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2229--2232},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938044},
  doi          = {10.1109/ISCAS.2011.5938044},
  timestamp    = {Wed, 04 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SioziosPS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SlimMN11,
  author       = {Israa Slim and
                  Amine Mezghani and
                  Josef A. Nossek},
  title        = {Scalar quantizer based feedback of the Channel Direction Information
                  in {MU-MISO} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {693--696},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937660},
  doi          = {10.1109/ISCAS.2011.5937660},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SlimMN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoleSVTC11,
  author       = {Michael Sole and
                  Ayodele Sanni and
                  Antonio Vilches and
                  Christofer Toumazou and
                  Timothy G. Constandinou},
  title        = {A bio-implantable platform for inductive data and power transfer with
                  integrated battery charging},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2605--2608},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938138},
  doi          = {10.1109/ISCAS.2011.5938138},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SoleSVTC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SongWC11,
  author       = {Jianan Song and
                  David Welch and
                  Jennifer Blain Christen},
  title        = {A fully-adjustable dynamic range capacitance sensing circuit in a
                  0.15{\(\mathrm{\mu}\)}m 3D {SOI} process},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1708--1711},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937911},
  doi          = {10.1109/ISCAS.2011.5937911},
  timestamp    = {Thu, 01 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SongWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sotiriadis11,
  author       = {Paul{-}Peter Sotiriadis},
  title        = {Spurs suppression and deterministic jitter correction in all-digital
                  frequency synthesizers, current state and future directions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {422--425},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937592},
  doi          = {10.1109/ISCAS.2011.5937592},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Sotiriadis11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SouzaCPPB11,
  author       = {Kleymilson N. Souza and
                  Thiago N. de Castro and
                  Thiago M. Pereira and
                  Ricardo Silva The Pontes and
                  Arthur P. S. Braga},
  title        = {Prediction of core losses on a three-phase transformer using neural
                  networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1105--1108},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937763},
  doi          = {10.1109/ISCAS.2011.5937763},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SouzaCPPB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SouzaF11,
  author       = {Douglas David Baptista de Souza and
                  Sidnei Noceti Filho},
  title        = {An optimum linear phase approximation with small delay obtained by
                  the manipulation of all-pass Pad{\'{e}} approximants},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2265--2268},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938053},
  doi          = {10.1109/ISCAS.2011.5938053},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SouzaF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SrivastavaABS11,
  author       = {Saket Srivastava and
                  Arjun Asthana and
                  Sanjukta Bhanja and
                  Sudeep Sarkar},
  title        = {QCAPro - An error-power estimation tool for {QCA} circuit design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2377--2380},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938081},
  doi          = {10.1109/ISCAS.2011.5938081},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SrivastavaABS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StadiusKOPSR11,
  author       = {Kari Stadius and
                  Mikko Kaltiokallio and
                  Jussi Ollikainen and
                  Tuomas Parnanen and
                  Ville Saari and
                  Jussi Ryyn{\"{a}}nen},
  title        = {A 0.7 - 2.6 GHz high-linearity rf front-end for cognitive radio spectrum
                  sensing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2181--2184},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938032},
  doi          = {10.1109/ISCAS.2011.5938032},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/StadiusKOPSR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Staszewski11,
  author       = {Robert Bogdan Staszewski},
  title        = {All-digital {RF} frequency modulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {426--429},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937593},
  doi          = {10.1109/ISCAS.2011.5937593},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Staszewski11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StinePT11,
  author       = {James E. Stine and
                  Amey Phadke and
                  Surpriya Tike},
  title        = {A recursive-divide architecture for multiplication and division},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1179--1182},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937779},
  doi          = {10.1109/ISCAS.2011.5937779},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StinePT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StoifSLH11,
  author       = {Christian Stoif and
                  Martin Schoeberl and
                  Benito Liccardi and
                  Jan Haase},
  title        = {Hardware synchronization for embedded multi-core processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2557--2560},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938126},
  doi          = {10.1109/ISCAS.2011.5938126},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StoifSLH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuCHLYCDC11,
  author       = {Yi{-}Ping Su and
                  Yi{-}Chun Chen and
                  Han{-}Hsiang Huang and
                  Yu{-}Huei Lee and
                  Yao{-}Yi Yang and
                  Ke{-}Horng Chen and
                  Ming{-}Jhe Du and
                  Shih{-}Hsien Cheng},
  title        = {Current-mode synthetic control {(CSC)} technique for high efficiency
                  {DC-DC} boost converters over a wide load range},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {933--936},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937720},
  doi          = {10.1109/ISCAS.2011.5937720},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuCHLYCDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuLO11,
  author       = {Wei Su and
                  Jinhu Lu and
                  Maciej J. Ogorzalek},
  title        = {Stability analysis of {SSN} biochemical networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2677--2680},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938156},
  doi          = {10.1109/ISCAS.2011.5938156},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuLO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SubhanKN11,
  author       = {Saqib Subhan and
                  Eric A. M. Klumperink and
                  Bram Nauta},
  title        = {Towards suppression of all harmonics in a polyphase multipath transmitter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2185--2188},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938033},
  doi          = {10.1109/ISCAS.2011.5938033},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SubhanKN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunCZW11,
  author       = {Zhigang Sun and
                  Baoyong Chi and
                  Chun Zhang and
                  Zhihua Wang},
  title        = {A 0.13{\(\mathrm{\mu}\)}m {CMOS} 1.5-to-2.15GHz low power transmitter
                  front-end for {SDR} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2453--2456},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938100},
  doi          = {10.1109/ISCAS.2011.5938100},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunCZW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunK11,
  author       = {Yanan Sun and
                  Volkan Kursun},
  title        = {Leakage current and bottom gate voltage considerations in developing
                  maximum performance 16nm N-channel carbon nanotube transistors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2513--2516},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938115},
  doi          = {10.1109/ISCAS.2011.5938115},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunLWXY11,
  author       = {Yinan Sun and
                  Yongpan Liu and
                  Xiaohan Wang and
                  Hongliang Xu and
                  Huazhong Yang},
  title        = {Design methodology of multistage time-domain logic speculation circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1944--1947},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937970},
  doi          = {10.1109/ISCAS.2011.5937970},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunLWXY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunWC11,
  author       = {Yang Sun and
                  Guohui Wang and
                  Joseph R. Cavallaro},
  title        = {Multi-layer parallel decoding algorithm and vlsi architecture for
                  quasi-cyclic {LDPC} codes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1776--1779},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937928},
  doi          = {10.1109/ISCAS.2011.5937928},
  timestamp    = {Tue, 06 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SyllaiosB11,
  author       = {Ioannis L. Syllaios and
                  Poras T. Balsara},
  title        = {Multi-clock domain analysis and modeling of all-digital frequency
                  synthesizers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {153--156},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937524},
  doi          = {10.1109/ISCAS.2011.5937524},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SyllaiosB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SzczygielGM11,
  author       = {Robert Szczygiel and
                  Pawel Grybos and
                  Piotr Maj},
  title        = {A low noise, Fast Pixel Readout {IC} working in single photon counting
                  mode with energy window selection in 90 nm {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1415--1418},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937838},
  doi          = {10.1109/ISCAS.2011.5937838},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SzczygielGM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangB11,
  author       = {Chun{-}Ming Tang and
                  Rizwan Bashirullah},
  title        = {Channel characterization for galvanic coupled in vivo biomedical devices},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {921--924},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937717},
  doi          = {10.1109/ISCAS.2011.5937717},
  timestamp    = {Fri, 22 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TangB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangC11,
  author       = {Wei Tang and
                  Eugenio Culurciello},
  title        = {A non-coherent {FSK-OOK} {UWB} impulse radio transmitter for clock-less
                  synchronization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1295--1298},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937808},
  doi          = {10.1109/ISCAS.2011.5937808},
  timestamp    = {Mon, 10 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangLCI11,
  author       = {Qingquan Tang and
                  Bo Li and
                  Dariusz Czarkowski and
                  Adrian Ioinovici},
  title        = {Switched-capacitor based step-up converter for alternative energy
                  applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1355--1358},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937823},
  doi          = {10.1109/ISCAS.2011.5937823},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangLCI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaoHHWMM11,
  author       = {Yuliang Tao and
                  Guanghui He and
                  Weifeng He and
                  Qin Wang and
                  Jun Ma and
                  Zhigang Mao},
  title        = {Effective multi-standard macroblock prediction {VLSI} design for reconfigurable
                  multimedia systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1487--1490},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937856},
  doi          = {10.1109/ISCAS.2011.5937856},
  timestamp    = {Thu, 03 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TaoHHWMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaoKG11,
  author       = {Liang Tao and
                  Hon Keung Kwan and
                  Juan{-}juan Gu},
  title        = {Filterbank-based fast parallel algorithms for 2-D DHT-based real-valued
                  discrete Gabor transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1512--1515},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937862},
  doi          = {10.1109/ISCAS.2011.5937862},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaoKG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Tay11,
  author       = {David B. H. Tay},
  title        = {Direct design of phase factor in the common-factor technique for Hilbert-Pairs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1760--1763},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937924},
  doi          = {10.1109/ISCAS.2011.5937924},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Tay11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TeixeiraBA11,
  author       = {Fl{\'{a}}vio C. A. Teixeira and
                  Stuart W. A. Bergen and
                  Andreas Antoniou},
  title        = {Signal recovery method for compressive sensing using relaxation and
                  second-order cone programming},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2125--2128},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938018},
  doi          = {10.1109/ISCAS.2011.5938018},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TeixeiraBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TheodoroBA11,
  author       = {Edson A. R. Theodoro and
                  Raphael A. S. Benedito and
                  Lu{\'{\i}}s F. C. Alberto},
  title        = {A fast method for islanding analysis in power system grids},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1856--1859},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937948},
  doi          = {10.1109/ISCAS.2011.5937948},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TheodoroBA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TianL11,
  author       = {Wen{-}Qiang Tian and
                  Xiang Li},
  title        = {A gravity-based {A/R} model of swarming a multi-agent network with
                  density information},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2115--2118},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938016},
  doi          = {10.1109/ISCAS.2011.5938016},
  timestamp    = {Tue, 13 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TianL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TianXLLZ11,
  author       = {Zhiqiang Tian and
                  Jianru Xue and
                  Ce Li and
                  Xuguang Lan and
                  Nanning Zheng},
  title        = {Auto-generated strokes for motion segmentation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {857--860},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937701},
  doi          = {10.1109/ISCAS.2011.5937701},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TianXLLZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TibolaB11,
  author       = {Gabriel Tibola and
                  Ivo Barbi},
  title        = {A single-stage three-phase high power factor rectifier with high-frequency
                  isolation and regulated DC-bus based on the {DCM} {SEPIC} converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2773--2776},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938180},
  doi          = {10.1109/ISCAS.2011.5938180},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TibolaB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TingS11,
  author       = {Shang{-}Kee Ting and
                  Ali H. Sayed},
  title        = {Reducing spurious {PLL} tones in spectrum sensing architectures},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2445--2448},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938098},
  doi          = {10.1109/ISCAS.2011.5938098},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TingS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TowficS11,
  author       = {Zaid J. Towfic and
                  Ali H. Sayed},
  title        = {Clock jitter estimation in noise},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1251--1254},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937797},
  doi          = {10.1109/ISCAS.2011.5937797},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TowficS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TragerWSC11,
  author       = {Barry M. Trager and
                  Chai Wah Wu and
                  Mikel Stanich and
                  Kartheek Chandu},
  title        = {GPU-enabled parallel processing for image halftoning applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1528--1531},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937866},
  doi          = {10.1109/ISCAS.2011.5937866},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TragerWSC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Trajkovic11,
  author       = {Ljiljana Trajkovic},
  title        = {Teaching circuits to new generations of engineers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1187--1190},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937781},
  doi          = {10.1109/ISCAS.2011.5937781},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Trajkovic11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TrungH11,
  author       = {Nguyen Thanh Trung and
                  Philipp H{\"{a}}fliger},
  title        = {250Mb/s to 3Gb/s unilateral continuous rate {CDR} using precise frequency
                  detector and 1/5-rate linear phase detector},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937531},
  doi          = {10.1109/ISCAS.2011.5937531},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TrungH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsaiLWC11,
  author       = {Min{-}Jen Tsai and
                  Jung Liu and
                  Chen{-}Sheng Wang and
                  Ching{-}Hua Chuang},
  title        = {Source color laser printer identification using discrete wavelet transform
                  and feature selection algorithms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2633--2636},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938145},
  doi          = {10.1109/ISCAS.2011.5938145},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsaiLWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsaoC11,
  author       = {Yu{-}Chi Tsao and
                  Ken Choi},
  title        = {Hardware-efficient parallel {FIR} digital filter structures for symmetric
                  convolutions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2301--2304},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938062},
  doi          = {10.1109/ISCAS.2011.5938062},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsaoC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UmohO11,
  author       = {Jarlath Ifiok Umoh and
                  Tokunbo Ogunfunmi},
  title        = {Digital post-linearization of a Wideband Low Noise Amplifier for ultra-wideband
                  wireless receivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1275--1278},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937803},
  doi          = {10.1109/ISCAS.2011.5937803},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/UmohO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UpretyCM11,
  author       = {Sandip Uprety and
                  Hai Chen and
                  Dongsheng Ma},
  title        = {Quasi-hysteretic floating buck {LED} driver with adaptive off-time
                  for accurate average current control in high brightness lighting},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2893--2896},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938236},
  doi          = {10.1109/ISCAS.2011.5938236},
  timestamp    = {Fri, 06 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UpretyCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UwateN11,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronizing coupled oscillators in polygonal networks with frustration},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {745--748},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937673},
  doi          = {10.1109/ISCAS.2011.5937673},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UwateN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VB11,
  author       = {Moises Tanca V. and
                  Ivo Barbi},
  title        = {Nonisolated high step-up stacked dc-dc converter based on boost converter
                  elements for high power application},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937548},
  doi          = {10.1109/ISCAS.2011.5937548},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VandewalleA11,
  author       = {Joos Vandewalle and
                  Babak Ayazifar},
  title        = {{ISCAS} 2011 special sessions on education innovations and experiences},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {591--594},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937634},
  doi          = {10.1109/ISCAS.2011.5937634},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VandewalleA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VandewalleN11,
  author       = {Joos Vandewalle and
                  Josef A. Nossek},
  title        = {Nullators and norators in circuits education: {A} benefit or an obstacle?},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {349--352},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937574},
  doi          = {10.1109/ISCAS.2011.5937574},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VandewalleN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VasudevamurthyDA11,
  author       = {Rajath Vasudevamurthy and
                  Pratap Kumar Das and
                  Bharadwaj Amrutur},
  title        = {A mostly-digital analog scan-out chain for low bandwidth voltage measurement
                  for analog {IP} test},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2035--2038},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937996},
  doi          = {10.1109/ISCAS.2011.5937996},
  timestamp    = {Mon, 06 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VasudevamurthyDA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VelevG11,
  author       = {Miroslav N. Velev and
                  Ping Gao},
  title        = {{CNF} encodings of cardinality in formal methods for robustness checking
                  of gate-level circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1479--1482},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937854},
  doi          = {10.1109/ISCAS.2011.5937854},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VelevG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VillarDA11,
  author       = {Gerard Villar and
                  Julia Delos and
                  Eduard Alarc{\'{o}}n},
  title        = {Bonding-wire triangular spiral inductor for on-chip switching power
                  converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {817--820},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937691},
  doi          = {10.1109/ISCAS.2011.5937691},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VillarDA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VoraC11,
  author       = {Pritesh Vora and
                  Masud H. Chowdhury},
  title        = {A circuit implementation for dynamic thermal management techniques},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1668--1671},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937901},
  doi          = {10.1109/ISCAS.2011.5937901},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VoraC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VoyerRMB11,
  author       = {Michel Voyer and
                  Sylvain{-}Robert Rivard and
                  Luc Morin and
                  Hung Tien Bui},
  title        = {Rapid prototyping of the Goertzel algorithm for hardware acceleration
                  of exon prediction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {85--88},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937507},
  doi          = {10.1109/ISCAS.2011.5937507},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VoyerRMB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VucicMD11,
  author       = {Mladen Vucic and
                  Goran Molnar and
                  Sasa Djukic},
  title        = {Synthesis of linear-phase selective filters based on maximum of time-domain
                  response},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1648--1651},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937896},
  doi          = {10.1109/ISCAS.2011.5937896},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VucicMD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WahidIK11,
  author       = {Khan A. Wahid and
                  Md. Ashraful Islam and
                  Seok{-}Bum Ko},
  title        = {Lossless implementation of Daubechies 8-tap wavelet transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2157--2160},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938026},
  doi          = {10.1109/ISCAS.2011.5938026},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WahidIK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCGXZ11,
  author       = {Yizhi Wang and
                  Yun Chen and
                  Yunlong Ge and
                  Huxiong Xu and
                  Xiaoyang Zeng},
  title        = {A channel estimation scheme for Chinese {DTTB} system combating long
                  echo and high doppler shift},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {462--465},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937602},
  doi          = {10.1109/ISCAS.2011.5937602},
  timestamp    = {Thu, 24 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCGXZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCW11,
  author       = {Chun{-}Kai Wang and
                  Che{-}Sheng Chen and
                  Kuei{-}Ann Wen},
  title        = {A monolithic {CMOS} {MEMS} accelerometer with chopper correlated double
                  sampling readout circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2023--2026},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937993},
  doi          = {10.1109/ISCAS.2011.5937993},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCYHS11,
  author       = {Chua{-}Chin Wang and
                  Chih{-}Lin Chen and
                  Tai{-}Hao Yeh and
                  Yi Hu and
                  Gang{-}Neng Sung},
  title        = {A high speed transceiver front-end design with fault detection for
                  FlexRay-based automotive communication systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {434--437},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937595},
  doi          = {10.1109/ISCAS.2011.5937595},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCYHS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHLWLCC11,
  author       = {Yu{-}Shun Wang and
                  Min{-}Han Hsieh and
                  Chia{-}Ming Liu and
                  Yi{-}Chi Wu and
                  Bing{-}Feng Lin and
                  Hsien{-}Chen Chiu and
                  Charlie Chung{-}Ping Chen},
  title        = {A 1.2V 6.4GHz 181ps 64-bit {CD} domino adder with {DLL} measurement
                  technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1423--1426},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937840},
  doi          = {10.1109/ISCAS.2011.5937840},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHLWLCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHWLCLC11,
  author       = {Yu{-}Shun Wang and
                  Min{-}Han Hsieh and
                  Yi{-}Chi Wu and
                  Chia{-}Ming Liu and
                  Hsien{-}Chen Chiu and
                  Bing{-}Feng Lin and
                  Charlie Chung{-}Ping Chen},
  title        = {A 12 Gb/s chip-to-chip {AC} coupled transceiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1692--1695},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937907},
  doi          = {10.1109/ISCAS.2011.5937907},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHWLCLC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangJMS11,
  author       = {Runchun Wang and
                  Craig T. Jin and
                  Alistair Lee McEwan and
                  Andr{\'{e}} van Schaik},
  title        = {A programmable axonal propagation delay circuit for time-delay spiking
                  neural networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {869--872},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937704},
  doi          = {10.1109/ISCAS.2011.5937704},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangJMS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangJZZYWY11,
  author       = {Hongrui Wang and
                  Chao Jiao and
                  Li Zhang and
                  Dajie Zeng and
                  Dongxu Yang and
                  Yan Wang and
                  Zhiping Yu},
  title        = {A low-power ESD-protected 24GHz receiver front-end with {\(\pi\)}-type
                  input matching network},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2877--2880},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938232},
  doi          = {10.1109/ISCAS.2011.5938232},
  timestamp    = {Thu, 23 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangJZZYWY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangL11,
  author       = {Hsuan{-}Tsung Wang and
                  Walter D. Leon{-}Salas},
  title        = {An incremental sigma delta converter for compressive sensing applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {522--525},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937617},
  doi          = {10.1109/ISCAS.2011.5937617},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangL11a,
  author       = {Yingxue Wang and
                  Shih{-}Chii Liu},
  title        = {Mismatch reduction through dendritic nonlinearities in a 2D silicon
                  dendritic neuron array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {677--680},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937656},
  doi          = {10.1109/ISCAS.2011.5937656},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangL11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLYWHT11,
  author       = {Hui{-}Min Wang and
                  Yaw{-}Chern Lee and
                  Brad S. Yen and
                  Chun{-}Yen Wang and
                  Sheng{-}Chieh Huang and
                  Kea{-}Tiong Tang},
  title        = {A physiological valence/arousal model from musical rhythm to heart
                  rhythm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1013--1016},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937740},
  doi          = {10.1109/ISCAS.2011.5937740},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLYWHT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangNT11,
  author       = {Jing Wang and
                  Wai Tung Ng and
                  Olivier Trescases},
  title        = {Versatile capabilities of digitally controlled integrated dc-dc converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937559},
  doi          = {10.1109/ISCAS.2011.5937559},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangNT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangPYH11,
  author       = {Huan Wang and
                  Yun Pan and
                  Xiaolang Yan and
                  Ruohong Huan},
  title        = {Behavioral modeling of direct sampling mixer},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1892--1895},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937957},
  doi          = {10.1109/ISCAS.2011.5937957},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangPYH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangT11,
  author       = {Chun{-}Yen Wang and
                  Kea{-}Tiong Tang},
  title        = {Active noise cancellation of motion artifacts in pulse oximetry using
                  isobestic wavelength light source},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1029--1032},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937744},
  doi          = {10.1109/ISCAS.2011.5937744},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWFY11,
  author       = {Ru Wang and
                  Huandong Wang and
                  Bao{-}Xia Fan and
                  Liang Yang},
  title        = {{RIRI} scheme: {A} robust instant-responding ratiochronous interface
                  with zero-latency penalty},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2569--2572},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938129},
  doi          = {10.1109/ISCAS.2011.5938129},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWFY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXLGSW11,
  author       = {Dan Wang and
                  Xiang Xie and
                  Guolin Li and
                  Yingke Gu and
                  Tianjia Sun and
                  Zhihua Wang},
  title        = {Image registration method for 2D representation of wireless Micro-Ball
                  endoscopic images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {93--96},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937509},
  doi          = {10.1109/ISCAS.2011.5937509},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXLGSW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZCS11,
  author       = {Chong Wang and
                  Zhenyu Zhu and
                  Shing{-}Chow Chan and
                  Heung{-}Yeung Shum},
  title        = {Realistic and interactive image-based rendering of ancient chinese
                  artifacts using a multiple camera array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2801--2804},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938187},
  doi          = {10.1109/ISCAS.2011.5938187},
  timestamp    = {Wed, 24 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZCS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZLL11,
  author       = {Yang Wang and
                  Peng Zhang and
                  Zhen Liu and
                  Houqiang Li},
  title        = {A novel tracking-by-encoding scheme based on linear programming matching},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2629--2632},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938144},
  doi          = {10.1109/ISCAS.2011.5938144},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZYZZWQY11,
  author       = {Hongrui Wang and
                  Dajie Zeng and
                  Dongxu Yang and
                  Li Zhang and
                  Lei Zhang and
                  Yan Wang and
                  He Qian and
                  Zhiping Yu},
  title        = {Understanding dynamic behavior of mm-wave {CML} divider with injection-locking
                  concept},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2885--2888},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938234},
  doi          = {10.1109/ISCAS.2011.5938234},
  timestamp    = {Thu, 23 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZYZZWQY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WassalE11,
  author       = {Amr G. Wassal and
                  Ahmed R. Elsherif},
  title        = {Efficient implementation of secondary synchronization symbol detection
                  in 3GPP {LTE}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1680--1683},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937904},
  doi          = {10.1109/ISCAS.2011.5937904},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WassalE11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiCWKJL11,
  author       = {Chun{-}Shu Wei and
                  Shang{-}Wen Chuang and
                  Wan{-}Ru Wang and
                  Li{-}Wei Ko and
                  Tzyy{-}Ping Jung and
                  Chin{-}Teng Lin},
  title        = {Implementation of a motion sickness evaluation system based on {EEG}
                  spectrum analysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1081--1084},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937757},
  doi          = {10.1109/ISCAS.2011.5937757},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiCWKJL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeinerNZ11,
  author       = {Matthew Weiner and
                  Borivoje Nikolic and
                  Zhengya Zhang},
  title        = {{LDPC} decoder architecture for high-data rate personal-area networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1784--1787},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937930},
  doi          = {10.1109/ISCAS.2011.5937930},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WeinerNZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WelchC11,
  author       = {David Welch and
                  Jennifer Blain Christen},
  title        = {A multiparametric biosensor array for on-chip cell culture with feedback
                  controlled microfluidics},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {809--812},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937689},
  doi          = {10.1109/ISCAS.2011.5937689},
  timestamp    = {Thu, 01 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WelchC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WenAXFC11,
  author       = {Xing Wen and
                  Oscar C. Au and
                  Jiang Xu and
                  Lu Fang and
                  Run Cha},
  title        = {Sub-pixel downsampling of video with matching highly data re-use hardware
                  architecture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1495--1499},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937858},
  doi          = {10.1109/ISCAS.2011.5937858},
  timestamp    = {Mon, 12 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WenAXFC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WengDC11,
  author       = {Shih{-}Hung Weng and
                  Peng Du and
                  Chung{-}Kuan Cheng},
  title        = {A fast and stable explicit integration method by matrix exponential
                  operator for large scale circuit simulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1467--1470},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937851},
  doi          = {10.1109/ISCAS.2011.5937851},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WengDC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WengZL11,
  author       = {Ro{-}Min Weng and
                  Ming{-}Jhe Zeng and
                  Chun{-}Yu Liu},
  title        = {A high gain flatness high linearity down conversion mixer for Ku band
                  application},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2749--2752},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938174},
  doi          = {10.1109/ISCAS.2011.5938174},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WengZL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WerquinFK11,
  author       = {Arnaud Werquin and
                  Antoine Frapp{\'{e}} and
                  Andreas Kaiser},
  title        = {Spurious emissions reduction using multirate {RF} transmitter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {965--968},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937728},
  doi          = {10.1109/ISCAS.2011.5937728},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WerquinFK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeruagaM11,
  author       = {Luis Weruaga and
                  O. Michael Melko},
  title        = {On the Cram{\'{e}}r-Rao bound of autoregressive estimation in
                  noise},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {373--376},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937580},
  doi          = {10.1109/ISCAS.2011.5937580},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeruagaM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WhatmoughPID11,
  author       = {Paul N. Whatmough and
                  Marcus R. Perrett and
                  Safa Isam and
                  Izzat Darwazeh},
  title        = {{VLSI} architecture for a reconfigurable Spectrally Efficient {FDM}
                  baseband transmitter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1688--1691},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937906},
  doi          = {10.1109/ISCAS.2011.5937906},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WhatmoughPID11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WijekoonD11,
  author       = {Jayawan H. B. Wijekoon and
                  Piotr Dudek},
  title        = {Analogue {CMOS} circuit implementation of a dopamine modulated synapse},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {877--880},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937706},
  doi          = {10.1109/ISCAS.2011.5937706},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WijekoonD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WittmannW11,
  author       = {Juergen Wittmann and
                  Bernhard Wicht},
  title        = {{EMC} influence of the charge pump in linear regulators - Design,
                  simulation and measurements},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1359--1362},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937824},
  doi          = {10.1109/ISCAS.2011.5937824},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WittmannW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu11,
  author       = {Chai Wah Wu},
  title        = {Can stubbornness or gullibility lead to faster consensus? {A} study
                  of various strategies for reaching consensus in a model of the naming
                  game},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2111--2114},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938015},
  doi          = {10.1109/ISCAS.2011.5938015},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu11a,
  author       = {Chai Wah Wu},
  title        = {Locally connected processor arrays for matrix multiplication and linear
                  transforms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2169--2172},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938029},
  doi          = {10.1109/ISCAS.2011.5938029},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuLHLLL11,
  author       = {Po{-}Hsing Wu and
                  Yan Li and
                  Weibo Hu and
                  Jerry Lopez and
                  Donald Y. C. Lie and
                  T. J. Liang},
  title        = {{CMOS} Envelope Tracking amplifier {IC} design for high-efficiency
                  {RF} polar transmitters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937535},
  doi          = {10.1109/ISCAS.2011.5937535},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuLHLLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuZ11,
  author       = {Ligang Wu and
                  Wei Xing Zheng},
  title        = {On design of reduced-order {\(\mathscr{H}\)}2 filters for discrete
                  repetitive processes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2137--2140},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938021},
  doi          = {10.1109/ISCAS.2011.5938021},
  timestamp    = {Wed, 13 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WuZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaF11,
  author       = {Yongxiang Xia and
                  Jin Fan},
  title        = {Efficient attack strategy to communication networks with partial degree
                  information},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1588--1591},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937881},
  doi          = {10.1109/ISCAS.2011.5937881},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiangA11,
  author       = {Bo Xiang and
                  Alyssa B. Apsel},
  title        = {A distributed amplifier based dispersive delay line},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1005--1008},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937738},
  doi          = {10.1109/ISCAS.2011.5937738},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiangA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaoZXS11,
  author       = {Wei Xiao and
                  You Zhou and
                  Jizheng Xu and
                  Guangming Shi},
  title        = {A scheme of parallel arithmetic coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2922--2925},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938243},
  doi          = {10.1109/ISCAS.2011.5938243},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaoZXS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieHJM11,
  author       = {Li Xie and
                  Weifeng He and
                  Naifeng Jing and
                  Zhigang Mao},
  title        = {A thermal-aware task mapping flow for coarse-grain dynamic reconfigurable
                  processor},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1952--1955},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937972},
  doi          = {10.1109/ISCAS.2011.5937972},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieHJM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieLLXGZ11,
  author       = {Jun Xie and
                  Weiyao Lin and
                  Hongxiang Li and
                  Ning Xu and
                  Hongyu Gao and
                  Lining Zhang},
  title        = {A new Temporal-Constraint-Based algorithm by handling temporal qualities
                  for video enhancement},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2789--2792},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938184},
  doi          = {10.1109/ISCAS.2011.5938184},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieLLXGZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiongTW11,
  author       = {Song Xiong and
                  Siew{-}Chong Tan and
                  Siu Chung Wong},
  title        = {Analysis of a high-voltage-gain hybrid switched-capacitor buck converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1616--1619},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937888},
  doi          = {10.1109/ISCAS.2011.5937888},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiongTW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiongYZ11,
  author       = {Hongkai Xiong and
                  Zhe Yuan and
                  Yuan F. Zheng},
  title        = {A learning-based video compression on low-quality data by unscented
                  kalman filters with Gaussian process regression},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1227--1230},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937791},
  doi          = {10.1109/ISCAS.2011.5937791},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiongYZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuAH11,
  author       = {Peng Xu and
                  Pamela Abshire and
                  James Sean Humbert},
  title        = {Motion image sensor with on-chip adaptation and Programmable Filtering},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2425--2428},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938093},
  doi          = {10.1109/ISCAS.2011.5938093},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuAH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuCJW11,
  author       = {Yuanzhe Xu and
                  Quan Chen and
                  Lijun Jiang and
                  Ngai Wong},
  title        = {Process-variation-aware electromagnetic-semiconductor coupled simulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2853--2856},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938200},
  doi          = {10.1109/ISCAS.2011.5938200},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuCJW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuFZ11,
  author       = {Xianfeng Xu and
                  Da{-}Zheng Feng and
                  Wei Xing Zheng},
  title        = {An improved method for blind separation of complex-valued signals
                  via joint diagonalization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {637--640},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937646},
  doi          = {10.1109/ISCAS.2011.5937646},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuFZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuL11,
  author       = {Jun Xu and
                  Xiangku Li},
  title        = {Improve accuracy of delay element by filtering false path for low
                  power desychronized circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {845--848},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937698},
  doi          = {10.1109/ISCAS.2011.5937698},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuPM11,
  author       = {Hu Xu and
                  Vasilis F. Pavlidis and
                  Giovanni De Micheli},
  title        = {Skew variability in 3-D ICs with multiple clock domains},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2221--2224},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938042},
  doi          = {10.1109/ISCAS.2011.5938042},
  timestamp    = {Thu, 11 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuPM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuW11,
  author       = {Jun Xu and
                  Hong Wang},
  title        = {Desynchronize a legacy floating-point adder with operand-dependant
                  delay elements},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1427--1430},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937841},
  doi          = {10.1109/ISCAS.2011.5937841},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuYML11,
  author       = {Li Xu and
                  Shi Yan and
                  Shin{-}ya Matsushita and
                  Zhiping Lin},
  title        = {Roesser model realization of {MIMO} n-D systems by elementary operations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1243--1246},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937795},
  doi          = {10.1109/ISCAS.2011.5937795},
  timestamp    = {Tue, 05 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/XuYML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YahyaMC11,
  author       = {Farah B. Yahya and
                  Mohammad M. Mansour and
                  Ali Chehab},
  title        = {A novel technique to measure data retention voltage of large {SRAM}
                  arrays},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937502},
  doi          = {10.1109/ISCAS.2011.5937502},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YahyaMC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YamaguchiASAFDKA11,
  author       = {Takahiro J. Yamaguchi and
                  Mohamed Abbas and
                  Mani Soma and
                  Takafumi Aoki and
                  Yasuo Furukawa and
                  Katsuhiko Degawa and
                  Satoshi Komatsu and
                  Kunihiro Asada},
  title        = {An equivalent-time and clocked approach for continuous-time quantization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2529--2532},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938119},
  doi          = {10.1109/ISCAS.2011.5938119},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YamaguchiASAFDKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YamazakiSAHO11,
  author       = {Koji Yamazaki and
                  Yusuke Sekihara and
                  Takashi Aoki and
                  Eiichi Hosoya and
                  Akira Onozawa},
  title        = {A heuristic algorithm for reducing system-level test vectors with
                  high branch coverage},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1475--1478},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937853},
  doi          = {10.1109/ISCAS.2011.5937853},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YamazakiSAHO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangBLGL11,
  author       = {Yi Yang and
                  David M. Binkley and
                  Lu Li and
                  Changzhan Gu and
                  Changzhi Li},
  title        = {All-CMOS subbandgap reference circuit operating at low supply voltage},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {893--896},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937710},
  doi          = {10.1109/ISCAS.2011.5937710},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangBLGL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangHHLWFDCC11,
  author       = {Yao{-}Yi Yang and
                  Chun{-}Yu Hsieh and
                  Tzu{-}Chi Huang and
                  Yu{-}Huei Lee and
                  Shih{-}Wei Wang and
                  Ming{-}Yan Fan and
                  Ming{-}Jhe Du and
                  Shih{-}Hsien Cheng and
                  Ke{-}Horng Chen},
  title        = {A 80V output voltage boost converter with low voltage ripple for Avalanche
                  Photodiode(APD)},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {757--760},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937676},
  doi          = {10.1109/ISCAS.2011.5937676},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangHHLWFDCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangLWWW11,
  author       = {Shang{-}Hsien Yang and
                  Jen{-}Wei Liu and
                  Yihong Wu and
                  Deng{-}Sian Wang and
                  Chua{-}Chin Wang},
  title        = {A high voltage battery charger with smooth charge mode transition
                  in {BCD} process},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {813--816},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937690},
  doi          = {10.1109/ISCAS.2011.5937690},
  timestamp    = {Fri, 11 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangLWWW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangMJ11,
  author       = {Yang Yang and
                  Ali Motafakker{-}Fard and
                  Bahram Jalali},
  title        = {Linearization of ADCs via digital post processing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {989--992},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937734},
  doi          = {10.1109/ISCAS.2011.5937734},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangMJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangT11,
  author       = {Gao Yang and
                  Yap{-}Peng Tan},
  title        = {Blind {PSNR} estimation using shifted blocks for {JPEG} images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1235--1238},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937793},
  doi          = {10.1109/ISCAS.2011.5937793},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangWT11,
  author       = {Gao Yang and
                  Ci Wang and
                  Yap{-}Peng Tan},
  title        = {Estimating relative objective quality among images compressed from
                  the same original},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1239--1242},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937794},
  doi          = {10.1109/ISCAS.2011.5937794},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangWT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangYL11,
  author       = {Zhenglin Yang and
                  Libin Yao and
                  Yong Lian},
  title        = {A 0.7-V 100-{\(\mathrm{\mu}\)}W audio delta-sigma modulator with 92-dB
                  {DR} in 0.13-{\(\mathrm{\mu}\)}m {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2011--2014},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937990},
  doi          = {10.1109/ISCAS.2011.5937990},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangYL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeSLH11,
  author       = {Le Ye and
                  Congyin Shi and
                  Huailin Liao and
                  Ru Huang},
  title        = {A 0.47mW 6\({}^{\mbox{th}}\)-order 20MHz active filter using highly
                  power-efficient Opamp},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1640--1643},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937894},
  doi          = {10.1109/ISCAS.2011.5937894},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeSLH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeY11,
  author       = {Wen Bin Ye and
                  Ya Jun Yu},
  title        = {Switching activity analysis and power estimation for multiple constant
                  multiplier block of {FIR} filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {145--148},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937522},
  doi          = {10.1109/ISCAS.2011.5937522},
  timestamp    = {Fri, 30 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YehLK11,
  author       = {Chih{-}Ting Yeh and
                  Yung{-}Chih Liang and
                  Ming{-}Dou Ker},
  title        = {Design of power-rail {ESD} clamp circuit with adjustable holding voltage
                  against mis-trigger or transient-induced latch-on events},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1403--1406},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937835},
  doi          = {10.1109/ISCAS.2011.5937835},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YehLK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeoTLR11,
  author       = {Chuohao Yeo and
                  Yih Han Tan and
                  Zhengguo Li and
                  Susanto Rahardja},
  title        = {Mode-dependent fast separable {KLT} for block-based intra coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {621--624},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937642},
  doi          = {10.1109/ISCAS.2011.5937642},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeoTLR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YiHCJ11,
  author       = {Fan{-}Chiang Yi and
                  Ching{-}Wen Huang and
                  Tai{-}Shih Chi and
                  Shyh{-}Jye Jou},
  title        = {Low power InfomaxICA with compensation strategy for binaural hearing-aid},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2083--2086},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938008},
  doi          = {10.1109/ISCAS.2011.5938008},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YiHCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YorkG11,
  author       = {Timothy York and
                  Viktor Gruev},
  title        = {Optical characterization of a polarization imager},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1576--1579},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937878},
  doi          = {10.1109/ISCAS.2011.5937878},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YorkG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YorkPG11,
  author       = {Timothy York and
                  Rob Perkins and
                  Viktor Gruev},
  title        = {Live demonstration: Material detection via an integrated polarization
                  imager},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1990},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937983},
  doi          = {10.1109/ISCAS.2011.5937983},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YorkPG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YoshizawaOM11,
  author       = {Shingo Yoshizawa and
                  Atsushi Orikasa and
                  Yoshikazu Miyanaga},
  title        = {An area and power efficient pipeline {FFT} processor for 8{\texttimes}8
                  {MIMO-OFDM} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2705--2708},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938163},
  doi          = {10.1109/ISCAS.2011.5938163},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YoshizawaOM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YoussefSG11,
  author       = {Ameer Youssef and
                  Mahdi Shabany and
                  P. Glenn Gulak},
  title        = {Performance analysis of lattice-reduction algorithms for a novel LR-compatible
                  K-Best {MIMO} detector},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {701--704},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937662},
  doi          = {10.1109/ISCAS.2011.5937662},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YoussefSG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Yu11,
  author       = {Ya Jun Yu},
  title        = {Design of variable bandedge {FIR} filters with extremely large bandedge
                  variation range},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937521},
  doi          = {10.1109/ISCAS.2011.5937521},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Yu11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuLCY11,
  author       = {Simin Yu and
                  Jinhu Lu and
                  Guanrong Chen and
                  Xinghuo Yu},
  title        = {Design of grid multi-wing butterfly chaotic attractors from piecewise
                  L{\"{u}} system based on switching control and heteroclinic orbit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1335--1338},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937818},
  doi          = {10.1109/ISCAS.2011.5937818},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuLCY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuN11,
  author       = {Xiaohua Yu and
                  Nathan M. Neihart},
  title        = {Integrated multi-tap transformer for reconfigurable multimode matching
                  networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1395--1398},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937833},
  doi          = {10.1109/ISCAS.2011.5937833},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuSZ11,
  author       = {Zhiyi Yu and
                  Zewen Shi and
                  Xiaoyang Zeng},
  title        = {Fault tolerant computing for stream {DSP} applications using {GALS}
                  multi-core processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2305--2308},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938063},
  doi          = {10.1109/ISCAS.2011.5938063},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuSZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanWLWL11,
  author       = {Pengpeng Yuan and
                  Zhihua Wang and
                  Dongmei Li and
                  Xin Wang and
                  Liyuan Liu},
  title        = {A nanopower {CMOS} bandgap reference with 30ppm/degree {C} from -30
                  degree {C} to 150 degree {C}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2285--2288},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938058},
  doi          = {10.1109/ISCAS.2011.5938058},
  timestamp    = {Fri, 30 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanWLWL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YunKK11,
  author       = {Woojin Yun and
                  Kyungsu Kang and
                  Chong{-}Min Kyung},
  title        = {Thermal-aware energy minimization of 3D-stacked {L3} cache with error
                  rate limitation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1672--1675},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937902},
  doi          = {10.1109/ISCAS.2011.5937902},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YunKK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZadehGA11,
  author       = {Amir Khatib Zadeh and
                  Catherine H. Gebotys and
                  Shahab Ardalan},
  title        = {Counteracting power analysis attack using Static Single-ended Logic},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {721--724},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937667},
  doi          = {10.1109/ISCAS.2011.5937667},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZadehGA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Zamarreno-RamosSLKS11,
  author       = {Carlos Zamarre{\~{n}}o{-}Ramos and
                  Teresa Serrano{-}Gotarredona and
                  Bernab{\'{e}} Linares{-}Barranco and
                  Raghavendra Kulkarni and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {Voltage mode driver for low power transmission of high speed serial
                  {AER} Links},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2433--2436},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938095},
  doi          = {10.1109/ISCAS.2011.5938095},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Zamarreno-RamosSLKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZaniniABM11,
  author       = {Francesco Zanini and
                  David Atienza and
                  Luca Benini and
                  Giovanni De Micheli},
  title        = {Thermal-aware system-level modeling and management for Multi-Processor
                  Systems-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2481--2484},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938107},
  doi          = {10.1109/ISCAS.2011.5938107},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZaniniABM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Zargaran-YazdMS11,
  author       = {Arash Zargaran{-}Yazd and
                  Shahriar Mirabbasi and
                  Res Saleh},
  title        = {A 10 Gb/s low-power serdes receiver based on a hybrid speculative/SAR
                  digitization technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {446--449},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937598},
  doi          = {10.1109/ISCAS.2011.5937598},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Zargaran-YazdMS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhanK11,
  author       = {Chenchang Zhan and
                  Wing{-}Hung Ki},
  title        = {An output-capacitor-free adaptively biased low-dropout regulator with
                  sub-threshold undershoot-reduction for SoC},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937497},
  doi          = {10.1109/ISCAS.2011.5937497},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhanK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangC11,
  author       = {Xinmiao Zhang and
                  Fang Cai},
  title        = {Low-complexity architectures for reliability-based message-passing
                  non-binary {LDPC} decoding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1303--1306},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937810},
  doi          = {10.1109/ISCAS.2011.5937810},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangDARO11,
  author       = {Chenxin Zhang and
                  Isael Diaz and
                  Per Andersson and
                  Joachim Neves Rodrigues and
                  Viktor {\"{O}}wall},
  title        = {Reconfigurable cell array for concurrent support of multiple radio
                  standards by flexible mapping},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1696--1699},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937908},
  doi          = {10.1109/ISCAS.2011.5937908},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangDARO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangH11,
  author       = {Wenjuan Zhang and
                  Yajun Ha},
  title        = {A Hilbert curve-based delay fault characterization method for FPGAs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2059--2062},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938002},
  doi          = {10.1109/ISCAS.2011.5938002},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLL11,
  author       = {Quanfu Zhang and
                  Qiwei Liu and
                  Houqiang Li},
  title        = {Distributed residual coding for multi-view video with joint motion
                  vector projection and 3-D warping},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2905--2908},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938239},
  doi          = {10.1109/ISCAS.2011.5938239},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangLM11,
  author       = {Ming Zhang and
                  Nicolas Llaser and
                  Herv{\'{e}} Mathias},
  title        = {Design and analysis of a switched-capacitor-based peak detector},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1001--1004},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937737},
  doi          = {10.1109/ISCAS.2011.5937737},
  timestamp    = {Tue, 04 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangLM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangM11,
  author       = {Yi Zhang and
                  Dongsheng Ma},
  title        = {Integrated {SIMO} {DC-DC} converter with on-line charge meter for
                  adaptive {PCCM} operation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {245--248},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937547},
  doi          = {10.1109/ISCAS.2011.5937547},
  timestamp    = {Fri, 06 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangMGZ11,
  author       = {Li Zhang and
                  Siwei Ma and
                  Wen Gao and
                  Xin Zhao},
  title        = {Enhanced line-based intra prediction with fixed interpolation filtering},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {613--616},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937640},
  doi          = {10.1109/ISCAS.2011.5937640},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangMGZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangML11,
  author       = {Xiaolang Zhang and
                  Shahriar Mirabbasi and
                  Lutz H.{-}J. Lampe},
  title        = {A Temperature-stable 60-dB programmable-gain amplifier in 0.13-{\(\mathrm{\mu}\)}m
                  {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1009--1012},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937739},
  doi          = {10.1109/ISCAS.2011.5937739},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangRW11,
  author       = {Zhuo Zhang and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {A wide-tuning quasi-type-I {PLL} with voltage-mode frequency acquisition
                  aid},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {474--477},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937605},
  doi          = {10.1109/ISCAS.2011.5937605},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangRW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWJG11,
  author       = {Zhebin Zhang and
                  Yizhou Wang and
                  Tingting Jiang and
                  Wen Gao},
  title        = {Stereoscopic learning for disparity estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {365--368},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937578},
  doi          = {10.1109/ISCAS.2011.5937578},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWJG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWZ11,
  author       = {Xinmiao Zhang and
                  Yingquan Wu and
                  Jiangli Zhu},
  title        = {A novel polynomial selection scheme for low-complexity chase algebraic
                  soft-decision reed-solomon decoding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2689--2692},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938159},
  doi          = {10.1109/ISCAS.2011.5938159},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoC11,
  author       = {Bo Zhao and
                  Shoushun Chen},
  title        = {Realtime feature extraction using MAX-like convolutional network for
                  human posture recognition},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2673--2676},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938155},
  doi          = {10.1109/ISCAS.2011.5938155},
  timestamp    = {Tue, 23 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoG11,
  author       = {Ping Zhao and
                  Manfred Glesner},
  title        = {{RF} energy harvester design with autonomously adaptive impedance
                  matching network based on auxiliary charge-pump rectifier},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2477--2480},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938106},
  doi          = {10.1109/ISCAS.2011.5938106},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoHLPGC11,
  author       = {Chen Zhao and
                  Jun He and
                  Sheng{-}Huang Lee and
                  Karl Peterson and
                  Randall L. Geiger and
                  Degang Chen},
  title        = {Linear vt-based temperature sensors with low process sensitivity and
                  improved power supply headroom},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2553--2556},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938125},
  doi          = {10.1109/ISCAS.2011.5938125},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoHLPGC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengBS11,
  author       = {Yushan Zheng and
                  Sara Bekhiche and
                  Mohamad Sawan},
  title        = {Planar microcoils array applied to magnetic beads based lab-on-chip
                  for high throughput applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2345--2348},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938073},
  doi          = {10.1109/ISCAS.2011.5938073},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhongCMYG11,
  author       = {Wei Zhong and
                  Song Chen and
                  Fei Ma and
                  Takeshi Yoshimura and
                  Satoshi Goto},
  title        = {Floorplanning driven Network-on-Chip synthesis for 3-D SoCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1203--1206},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937785},
  doi          = {10.1109/ISCAS.2011.5937785},
  timestamp    = {Thu, 30 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhongCMYG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouJW11,
  author       = {You Zhou and
                  Minghu Jiang and
                  Feng Wu},
  title        = {Fast mode decision by using probabilities from arithmetic coder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {609--612},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937639},
  doi          = {10.1109/ISCAS.2011.5937639},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouJW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouLHLLR11,
  author       = {Jin Zhou and
                  Wei Li and
                  Deping Huang and
                  Chen Lian and
                  Ning Li and
                  Junyan Ren},
  title        = {A dual-mode {VCO} based low-power synthesizer with optimized automatic
                  frequency calibration for software-defined radio},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1145--1148},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937773},
  doi          = {10.1109/ISCAS.2011.5937773},
  timestamp    = {Sat, 09 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouLHLLR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuYG11,
  author       = {Wen{-}rui Zhu and
                  Haigang Yang and
                  Tongqiang Gao},
  title        = {A novel low voltage Subtracting BandGap Reference with temperature
                  coefficient of 2.2 ppm/{\textdegree}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2281--2284},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938057},
  doi          = {10.1109/ISCAS.2011.5938057},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuYG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZianbetovAJGCJ11,
  author       = {Eldar Zianbetov and
                  Fran{\c{c}}ois Anceau and
                  Mohammad Javidan and
                  Dimitri Galayko and
                  {\'{E}}ric Colinet and
                  J{\'{e}}r{\^{o}}me Juillard},
  title        = {A Digitally Controlled Oscillator in a 65-nm {CMOS} process for SoC
                  clock generation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2845--2848},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938198},
  doi          = {10.1109/ISCAS.2011.5938198},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZianbetovAJGCJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZornSBOM11,
  author       = {Christoph Zorn and
                  Sebastian Stegemann and
                  Timon Br{\"{u}}ckner and
                  Maurits Ortmanns and
                  Wolfgang Mathis},
  title        = {A novel optimization method for {CT} sigma-delta-modulators using
                  a switched system model},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1848--1851},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937946},
  doi          = {10.1109/ISCAS.2011.5937946},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZornSBOM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZsedrovitsZVPBR11,
  author       = {Tam{\'{a}}s Zsedrovits and
                  {\'{A}}kos Zar{\'{a}}ndy and
                  B{\'{a}}lint Vanek and
                  Tamas Peni and
                  Jozsef Bokor and
                  Tam{\'{a}}s Roska},
  title        = {Collision avoidance for {UAV} using visual detection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2173--2176},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938030},
  doi          = {10.1109/ISCAS.2011.5938030},
  timestamp    = {Mon, 11 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZsedrovitsZVPBR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iscas/2011,
  title        = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5910713/proceeding},
  isbn         = {978-1-4244-9473-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics