Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2004-5.bht:"
@inproceedings{DBLP:conf/iscas/AbbasianHANL04, author = {Ali Abbasian and Safar Hatami and Ali Afzali{-}Kusha and Mehrdad Nourani and Caro Lucas}, title = {Event-driven dynamic power management based on wavelet forecasting theory}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {325--328}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbbasianHANL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdeenY04, author = {Mohammad Abdeen and Mustapha Ch{\'{e}}rif{-}Eddine Yagoub}, title = {Neural modelling of the large-signal drain current of the dual-gate {MESFET} with {DC} and pulsed {I-V} measurements}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {796--799}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdeenY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbrahamsenHL04, author = {Jens Petter Abrahamsen and Philipp H{\"{a}}fliger and Tor Sverre Lande}, title = {A time domain winner-take-all network of integrate-and-fire neurons}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {361--364}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbrahamsenHL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Aguila-MezaTT04, author = {Jorge Aguila{-}Meza and Leticia Torres{-}Papaqui and Esteban Tlelo{-}Cuautle}, title = {Improving symbolic analysis in {CMOS} analog integrated circuits}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {193--196}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Aguila-MezaTT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedTZN04, author = {Nisar Ahmed and Mohammad H. Tehranipour and Dian Zhou and Mehrdad Nourani}, title = {Frequency driven repeater insertion for deep submicron}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {181--184}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmedTZN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlberaFCC04, author = {Laurent Albera and Anne Ferr{\'{e}}ol and Pascal Chevalier and Pierre Comon}, title = {{ICAR:} independent component analysis using redundancies}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {672--675}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AlberaFCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AljunaidK04, author = {Hessa Al{-}Junaid and Tom J. Kazmierski}, title = {{SEAMS} - a SystemC environment with analog and mixed-signal extensions}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {281--284}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AljunaidK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ApselF04, author = {Alyssa B. Apsel and Zhongtao Fu}, title = {A 2.5 milliwatt {SOS} {CMOS} receiver for optical interconnect}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {588--591}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ApselF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Arakawa04, author = {Kaoru Arakawa}, title = {Nonlinear digital filters for beautifying facial images in multimedia systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {429--432}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Arakawa04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaFBF04, author = {Paolo Arena and Luigi Fortuna and Adriano Basile and Mattia Frasca}, title = {{CNN} wave based computation for robot navigation planning}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {500--503}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArenaFBF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArrowsmithW04, author = {David K. Arrowsmith and Matthew Woolf}, title = {Modelling of {TCP} packet traffic in a large interactive growth network}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {477--480}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArrowsmithW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AuT04, author = {Robert Yi{-}Ching Au and Chi{-}Ying Tsui}, title = {Least leakage vector assisted technology mapping for total power optimization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {145--148}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AuT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AurasoponKC04, author = {Apinan Aurasopon and Pinit Kumhom and Kosin Chamnongthai}, title = {Suppression of harmonic spikes in asynchronous sigma delta modulation by randomizing hysteresis window}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {968--971}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AurasoponKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AuvrayDW04, author = {Vincent Auvray and Ian Dobson and Louis Wehenkel}, title = {Modifying eigenvalue interactions near weak resonance}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {992--995}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AuvrayDW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AxelrodBI04, author = {Boris Axelrod and Yefim Berkovich and Adrian Ioinovici}, title = {A boost-switched capacitor-inverter with a multilevel waveform}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {884--887}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AxelrodBI04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AyoubiZB04, author = {Rafic A. Ayoubi and Haissam Ziade and Magdy A. Bayoumi}, title = {Hopfield associative memory on mesh}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {800--803}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AyoubiZB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BadelSL04, author = {St{\'{e}}phane Badel and Alexandre Schmid and Yusuf Leblebici}, title = {Mixed analog-digital image processing circuit based on Hamming artificial neural network architecture}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {780--783}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BadelSL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatraBD04, author = {Anuj Batra and Jaiganesh Balakrishnan and Anand Dabak}, title = {Multi-band {OFDM:} a new approach for {UWB}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {365--368}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatraBD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelenkyFHMY04, author = {Alexander Belenky and Alexander Fish and Shy Hamami and Vadim Milrud and Orly Yadid{-}Pecht}, title = {Widening the dynamic range of the readout integration circuit for uncooled microbolometer infrared sensors}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {600--603}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelenkyFHMY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BjornbergAM04, author = {David B. Bjornberg and Sedig S. Agili and Aldo W. Morales}, title = {Decomposition and recognition of a multi-channel audio source using matching pursuit algorithm}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {624--627}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BjornbergAM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoillotH04, author = {Marc A. Boillot and John G. Harris}, title = {A loudness enhancement technique for speech}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {616--618}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoillotH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BorgeltGA04, author = {Christian Borgelt and Daniela Girimonte and Giuseppe Acciani}, title = {Learning vector quantization: cluster size and cluster number}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {808--811}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BorgeltGA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BreaVC04, author = {V{\'{\i}}ctor M. Brea and David L{\'{o}}pez Vilari{\~{n}}o and Diego Cabello}, title = {A mixed-signal {CMOS} {DTCNN} chip for pixel-level snakes}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {465--468}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BreaVC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BryantK04, author = {Brad Bryant and Marian K. Kazimierczuk}, title = {Small-signal duty cycle to inductor current transfer function for boost {PWM} {DC-DC} converter in continuous conduction mode}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {856--859}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BryantK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BryantK04a, author = {Brad Bryant and Marian K. Kazimierczuk}, title = {Sample and hold effect in {PWM} {DC-DC} converters with peak current-mode control}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {860--863}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BryantK04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CameronM04, author = {Katherine L. Cameron and Alan F. Murray}, title = {Can spike timing dependent plasticity compensate for process mismatch in neuromorphic analogue VLSI?}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {748--751}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CameronM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarmonaDCJR04, author = {Ricardo Carmona and Carlos M. Dom{\'{\i}}nguez{-}Matas and Jorge Cuadri and Francisco Jim{\'{e}}nez{-}Garrido and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {A CNN-driven locally adaptive {CMOS} image sensor}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {457--460}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarmonaDCJR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CasinoviV04, author = {Giorgio Casinovi and Giuseppe M. Veca}, title = {Frequency-domain error analysis of linear multistep methods}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {253--256}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CasinoviV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CelikSC04, author = {Abdullah Celik and Milutin Stanacevic and Gert Cauwenberghs}, title = {Mixed-signal real-time adaptive blind source separation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {760--763}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CelikSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangX04, author = {Chip{-}Hong Chang and Pengfei Xu}, title = {Frequency sensitive self-organizing maps and its application in color quantization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {804--807}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenH04, author = {Chuen{-}Yau Chen and An{-}Chi Hsu}, title = {A hybrid-type test pattern generating mechanism}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {225--228}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cheng04, author = {Hui Cheng}, title = {A review of video registration methods for watermark detection in digital cinema applications}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {704--707}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cheng04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiaC04, author = {Natasha Chia and Steve Collins}, title = {A spike-based analogue circuit that emphasises in auditory stimuli}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {401--404}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiaC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiarulliLBK04, author = {Donald M. Chiarulli and Steven P. Levitan and Jason D. Bakos and Charlie Kuznia}, title = {Active substrates for optoelectronic interconnect}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {592--595}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiarulliLBK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiccaID04, author = {Elisabetta Chicca and Giacomo Indiveri and Rodney J. Douglas}, title = {An event-based {VLSI} network of integrate-and-fire neurons}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {357--60}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiccaID04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuCLZ04, author = {Y. C. Julian Chiu and Henry Shu{-}Hung Chung and Ricky Lau and Bin Zhou}, title = {The implementation of a transient DC-link boost based digital amplifier for eliminating pulse-dropping distortion}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {864--867}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuCLZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiCKS04, author = {Bo{-}Kyung Choi and Charles C. Chiang and Jamil Kawa and Majid Sarrafzadeh}, title = {Routing resources consumption on M-arch and X-arch}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {73--76}, publisher = {{IEEE}}, year = {2004}, timestamp = {Sat, 07 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiCKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CichockiLGA04, author = {Andrzej Cichocki and Yuanqing Li and Pando G. Georgiev and Shun{-}ichi Amari}, title = {Beyond {ICA:} robust sparse signal representations}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {684--687}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CichockiLGA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstandinouGT04, author = {Timothy G. Constandinou and Julius Georgiou and Chris Toumazou}, title = {Towards a bio-inspired mixed-signal retinal processor}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {493--496}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConstandinouGT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CrainP04, author = {Ethan Crain and Michael H. Perrott}, title = {A numerical design approach for high speed, differential, resistor-loaded, {CMOS} amplifiers}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {508--511}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CrainP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CulurcielloA04, author = {Eugenio Culurciello and Andreas G. Andreou}, title = {A 16 {\texttimes} 16 pixel silicon on sapphire {CMOS} photosensor array with a digital interface for adaptive wavefront correction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {604--607}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CulurcielloA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasguptaP04, author = {Soura Dasgupta and Ashish Pandharipande}, title = {Complete characterization of channel independent general {DMT} systems with cyclic prefix}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {421--424}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasguptaP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengYLZ04, author = {Ke Deng and Qinye Yin and Ming Luo and Zheng Zhao}, title = {A vertical layered space-time code and its blind symbol detection}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {29--32}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DengYLZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoanENB04, author = {Chinh H. Doan and Sohrab Emami and Ali M. Niknejad and Robert W. Brodersen}, title = {Millimeter-wave {CMOS} device modeling and simulation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {524--527}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoanENB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DobsonCN04, author = {Ian Dobson and Benjamin A. Carreras and David E. Newman}, title = {Probabilistic load-dependent cascading failure with limited component interactions}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {912--915}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DobsonCN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongYHW04, author = {Sheqin Dong and Zhong Yang and Xianlong Hong and Yuliang Wu}, title = {Module placement based on quadratic programming and rectangle packing using less flexibility first principle}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {61--64}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongYHW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanCZ04, author = {Jiwu Duan and Dariusz Czarkowski and Zivan Zabar}, title = {Neural network approach for estimation of load composition}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {988--991}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanCZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Dudek04, author = {Piotr Dudek}, title = {A 39{\texttimes}48 general-purpose focal-plane processor array integrated circuit}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {448--452}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Dudek04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuggerH04, author = {Jeff Dugger and Paul E. Hasler}, title = {Supervised learning in a two-input analog floating-gate node}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {756--759}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuggerH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EkpanyapongBNL04, author = {Mongkol Ekpanyapong and Karthik Balakrishnan and Vidit Nanda and Sung Kyu Lim}, title = {Simultaneous delay and power optimization in global placement}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {57--60}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EkpanyapongBNL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-BakryS04, author = {Hazem M. El{-}Bakry and Herbert Stoyan}, title = {Fast neural networks for sub-matrix (object/face) detection}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {764--767}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-BakryS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnsariAT04, author = {Tolga Ensari and Sabri Arik and Vedat Tavsanoglu}, title = {Global asymptotic stability of a class of neural networks with time varying delays}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {820--823}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnsariAT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EschbachDB04, author = {Thomas Eschbach and Rolf Drechsler and Bernd Becker}, title = {Placement and routing optimization for circuits derived from BDDs}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {229--232}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 Jan 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EschbachDB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EspositoFR04, author = {Basilio Esposito and Luigi Fortuna and Alessandro Rizzo}, title = {A neural system for radiation discrimination in nuclear fusion applications}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {776--779}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EspositoFR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EstibalsASCCS04, author = {Bruno Estibals and Corinne Alonso and Alain Salles and Angel Cid{-}Pastor and Henri Camon and Luis Mart{\'{\i}}nez{-}Salamero}, title = {Toward the integration of microsystems supply}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {564--567}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EstibalsASCCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiF04, author = {Bogdan J. Falkowski and Cheng Fu}, title = {Properties of fastest linearly independent transforms over {GF(3)}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {285--289}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiLR04, author = {Bogdan J. Falkowski and Cicilia C. Lozano and Susanto Rahardja}, title = {Generation of disjoint cubes for multiple-valued functions}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {133--136}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiLR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrancisCM04, author = {Matt Francis and Vivek Chaudhary and H. Alan Mantooth}, title = {Compact semiconductor device modelling using higher level methods}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {109--112}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrancisCM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuF04, author = {Cheng Fu and Bogdan J. Falkowski}, title = {Multi-polarity helix transform over {GF(3)}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {289--292}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GastaldoZ04, author = {Paolo Gastaldo and Rodolfo Zunino}, title = {No-reference quality assessment of {JPEG} images by using {CBP} neural networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {772--775}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GastaldoZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgievC04, author = {Pando G. Georgiev and Andrzej Cichocki}, title = {Sparse component analysis of overcomplete mixtures by improved basis pursuit method}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {37--40}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgievC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgilakisKV04, author = {Pavlos S. Georgilakis and John A. Katsigiannis and Kimon P. Valavanis}, title = {Petri net based transformer fault diagnosis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {980--983}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgilakisKV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GilliC04, author = {Marco Gilli and Fernando Corinto}, title = {On dynamic behavior of weakly connected cellular neural networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {489--492}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GilliC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GleichMUM04, author = {Dusan Gleich and Miro Milanovic and Suzana Uran and Franc Mihalic}, title = {Digitally controlled buck converter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {944--947}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GleichMUM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GollasNT04, author = {Frank Gollas and Christian Niederh{\"{o}}fer and Ronald Tetzlaff}, title = {Prediction of brain electrical activity in epilepsy using a higher-dimensional prediction algorithm for discrete time cellular neural networks {(DTCNN)}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {720--723}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GollasNT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GomarizAGVMB04, author = {Spartacus Gomar{\'{\i}}z and Eduard Alarc{\'{o}}n and Francisco Guinjoan and Enric Vidal{-}Idiarte and Luis Mart{\'{\i}}nez{-}Salamero and Domingo Biel}, title = {TSK-fuzzy controller design for a {PWM} boost {DC-DC} switching regulator operating at different steady state output voltages}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {848--851}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GomarizAGVMB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GomesM04, author = {Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and Sanjit K. Mitra}, title = {Sensitivity analysis of low-complexity vector quantizers for focal-plane image compression}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {736--739}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GomesM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GraziosiSNB04, author = {Danilo B. Graziosi and Cristiano Nogueira dos Santos and Sergio L. Netto and Luiz W. P. Biscainho}, title = {A constant-Q spectral transformation with improved frequency response}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {544--547}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GraziosiSNB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuTK04, author = {Juan{-}juan Gu and Liang Tao and Hon Keung Kwan}, title = {Fast learning algorithms for new {L2} {SVM} based on active set iteration method}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {812--815}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuTK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoTLH04, author = {Weikun Guo and Sheldon X.{-}D. Tan and Zuying Luo and Xianlong Hong}, title = {Partial random walk for large linear network analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {173--177}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoTLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HassenT04, author = {Jouna{\"{\i}}di Ben Hassen and Sofi{\`{e}}ne Tahar}, title = {Formal verification of an SoC platform protocol converter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {313--316}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HassenT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HatirnazL04, author = {Ilhan Hatirnaz and Yusuf Leblebici}, title = {Modelling and implementation of twisted differential on-chip interconnects for crosstalk noise reduction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {185--188}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HatirnazL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeHMS04, author = {Dajun He and Zhiyong Huang and Ruihua Ma and Qibin Sun}, title = {Feature difference analysis in video authentication system}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {716--719}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeHMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoZGM04, author = {Anthony T. S. Ho and Xunzhan Zhu and Yong Liang Guan and Pina Marziliano}, title = {Slant transform watermarking for textured images}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {700--703}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HoZGM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HommaSWAH04, author = {Naofumi Homma and Jun Sakiyama and Taihei Wakamatsu and Takafumi Aoki and Tatsuo Higuchi}, title = {A systematic approach for analyzing fast addition algorithms using counter tree diagrams}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {197--200}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HommaSWAH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HooperKH04, author = {Mark Hooper and Matt Kucic and Paul E. Hasler}, title = {5V-only, standard 0.5{\(\mu\)}m {CMOS} programmable and adaptive floating-gate circuits and arrays using {CMOS} charge pumps}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {832--835}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HooperKH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HooperKH04a, author = {Mark Hooper and Matt Kucic and Paul E. Hasler}, title = {Characterization of charge-pump rectifiers for standard submicron {CMOS} processes}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {964--967}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HooperKH04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuH04, author = {Chi{-}Wei Hu and TingTing Hwang}, title = {Output-pattern directed decomposition for low power design}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {137--140}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuKH04, author = {Yongjian Hu and Sam Kwong and Jiwu Huang}, title = {Using invisible watermarks to protect visibly watermarked images}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {584--587}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuKH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangJJH04, author = {Lily Huang and Tai{-}Ying Jiang and Jing{-}Yang Jou and Heng{-}Liang Huang}, title = {An efficient logic extraction algorithm using partitioning and circuit encoding}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {249--252}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangJJH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangL04, author = {Garng M. Huang and Jiansheng Lei}, title = {A topological measurements and RTUs design against a contingency}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {920--923}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HucW04, author = {Ching{-}Chung Hu and De{-}Sheng Chen and Yiwen Wang}, title = {Fast multilevel floorplanning for large scale modules}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {205--208}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HucW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JatskevichA04, author = {Juri Jatskevich and Tarek Aboul{-}Seoud}, title = {Automated state-variable formulation for power electronic circuits and systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {952--955}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JatskevichA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JhengJW04, author = {Kai{-}Yuan Jheng and Shyh{-}Jye Jou and An{-}Yeu Wu}, title = {A design flow for multiplierless linear-phase {FIR} filters: from system specification to Verilog code}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {293--296}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JhengJW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangO04, author = {Hongtu Jiang and Viktor {\"{O}}wall}, title = {{FPGA} implementation of controller-datapath pair in custom image processor design}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {141--144}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamranKG04, author = {Ziauddin M. Kamran and Thiagalingam Kirubarajan and Alex B. Gershman}, title = {Blind estimation and equalization of time-varying channels using the interacting multiple model estimator}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {17--20}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamranKG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KananenLHP04, author = {Asko Kananen and Mika Laiho and Kari Halonen and Ari Paasio}, title = {N {\texttimes} 16 cellular test chips for low-pass filtering large images}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {461--464}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KananenLHP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhalifaT04, author = {Inas Khalifa and Ljiljana Trajkovic}, title = {An overview and comparison of analytical {TCP} models}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {469--472}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhalifaT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanS04, author = {Junaid A. Khan and Sadiq M. Sait}, title = {Fast force-directed/simulated evolution hybrid for multiobjective {VLSI} cell placement}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {53--56}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KierHB04, author = {Ryan J. Kier and Reid R. Harrison and Randall D. Beer}, title = {An {MDAC} synapse for analog neural networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {752--755}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KierHB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKY04, author = {Hyoung Joong Kim and Taehoon Kim and In{-}Kwon Yeo}, title = {A robust audio watermarking scheme}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {696--699}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kipke04, author = {Daryl R. Kipke}, title = {Brain-machine interfaces using thin-film silicon microelectrode arrays}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {497--499}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kipke04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KlimachASG04, author = {Hamilton Klimach and Alfredo Arnaud and M{\'{a}}rcio C. Schneider and Carlos Galup{-}Montoro}, title = {Consistent model for drain current mismatch in MOSFETs using the carrier number fluctuation theory}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {113--116}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KlimachASG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KodamaFK04, author = {Chikaaki Kodama and Kunihiro Fujiyoshi and Teppei Koga}, title = {A novel encoding method into sequence-pair}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {329--332}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KodamaFK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kohda04, author = {Tohru Kohda}, title = {Statistical properties of chaotic sequences generated by Jacobian elliptic Chebyshev rational maps}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {648--651}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kohda04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohnoIKO04, author = {Kiyotaka Kohno and Yujiro Inouye and Mitsuru Kawamoto and Tetsuya Okamoto}, title = {Adaptive super-exponential algorithms for blind deconvolution of {MIMO} systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {680--683}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohnoIKO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoizumiKM04, author = {Hirotaka Koizumi and Kosuke Kurokawa and Shinsaku Mori}, title = {Analysis of class {D} inverter with irregular driving patterns}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {868--871}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoizumiKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KopuriM04, author = {Shekhar Kopuri and Nazanin Mansouri}, title = {Enhancing scheduling solutions through ant colony optimization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {257--260}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KopuriM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoskinenPH04, author = {Lauri Koskinen and Ari Paasio and Kari Halonen}, title = {3-neighborhood motion estimation in {CNN} silicon architectures}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {708--711}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoskinenPH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KouLA04, author = {Yajun Kou and Wu{-}Sheng Lu and Andreas Antoniou}, title = {New algorithm for blind adaptive equalization based on constant modulus criterion}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {21--24}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KouLA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrakiwskyTO04, author = {Sean E. Krakiwsky and Laurence E. Turner and Michal M. Okoniewski}, title = {Graphics processor unit {(GPU)} acceleration of finite-difference time-domain {(FDTD)} algorithm}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {265--268}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrakiwskyTO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KruparMS04, author = {J{\"{o}}rg Krupar and Andreas M{\"{o}}gel and Wolfgang M. Schwarz}, title = {Analysis of hybrid systems by means of embedded return maps}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {656--659}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KruparMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KussulBK04, author = {Ernst M. Kussul and Tatiana Baidyk and Maksym Kussul}, title = {Neural network system for face recognition}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {768--771}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KussulBK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LalLG04, author = {Amit Lal and Hui Li and Hang Guo}, title = {Integrated radioactive thin films for sensing systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {596--599}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LalLG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LamKM04, author = {Hylas Y. H. Lam and Wing{-}Hung Ki and Dongsheng Ma}, title = {Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {828--831}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LamKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LawranceO04, author = {Anthony J. Lawrance and Gan Ohama}, title = {Outage in chaos communication}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {652--655}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LawranceO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungC04, author = {Kelvin Ka Sing Leung and Henry Shu{-}Hung Chung}, title = {State trajectory prediction control for boost converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {556--559}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungML04, author = {Chi Yat Leung and Philip K. T. Mok and Ka Nang Leung}, title = {A 1.2V buck converter with a novel on-chip low-voltage current-sensing scheme}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {824--827}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungML04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lev-AriS04, author = {Hanoch Lev{-}Ari and Alex M. Stankovic}, title = {Hilbert space techniques for reactive power compensation with limited current bandwidth}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {904--907}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lev-AriS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lev-AriXPS04, author = {Hanoch Lev{-}Ari and Kevin Xu and Milun Perisic and Alex M. Stankovic}, title = {Hilbert space techniques for evaluating trade-offs in reactive power compensation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {976--979}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lev-AriXPS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiS04, author = {Zhao Li and C.{-}J. Richard Shi}, title = {A coupled iterative/direct method for efficient time-domain simulation of nonlinear circuits with power/ground networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {165--168}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimCK04, author = {Wonseok Lim and Byungcho Choi and Jiemyung Ko}, title = {Current-mode control to enhance closed-loop performance of asymmetrical half-bridge dc-to-dc converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {896--899}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinH04, author = {Bor{-}Ren Lin and Chun{-}Hao Huang}, title = {Single-phase capacitor clamped inverter with simple structure}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {924--927}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinJ04, author = {Yi{-}Wei Lin and Jing{-}Yang Jou}, title = {An efficient approach for hierarchical submodule extraction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {237--240}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinY04, author = {Bor{-}Ren Lin and Tsung{-}Yu Yang}, title = {Single-phase three-level converter for power factor correction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {960--963}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYH04, author = {Chin{-}Teng Lin and Chang{-}Moun Yeh and Chun{-}Fei Hsu}, title = {Fuzzy neural network classification design using support vector machine}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {724--727}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYL04, author = {Bor{-}Ren Lin and Tsung{-}Yu Yang and Yung{-}Chuan Lee}, title = {Novel multilevel converter for power factor correction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {888--891}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linares-BarrancoJCL04, author = {Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez{-}Moreno and Ant{\'{o}}n Civit Balcells and Bernab{\'{e}} Linares{-}Barranco}, title = {On synthetic {AER} generation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {784--787}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linares-BarrancoJCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linares-BarrancoSSC04, author = {Bernab{\'{e}} Linares{-}Barranco and Teresa Serrano{-}Gotarredona and Rafael Serrano{-}Gotarredona and Jes{\'{u}}s Costas{-}Santos}, title = {A new charge-packet driven mismatch-calibrated integrate-and-fire neuron for processing positive and negative signals in {AER} based systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {744--747}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linares-BarrancoSSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCZH04, author = {Bin Liu and Yici Cai and Qiang Zhou and Xianlong Hong}, title = {Layer assignment algorithm for {RLC} crosstalk minimization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {85--88}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuCZH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuD04, author = {Shih{-}Chii Liu and Rodney J. Douglas}, title = {Spike synchronization in a network of silicon integrate-and-fire neurons}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {397--400}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuH04, author = {Derong Liu and Sanqing Hu}, title = {Sequential blind extraction of mixed source signals with guaranteed convergence}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {25--28}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 22 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYNW04, author = {Yongqiang Liu and Zheng Yan and Yixin Ni and Felix F. Wu}, title = {A geometrical study on voltage collapse mechanisms of power systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {908--911}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYNW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LongFFWR04, author = {Haibo Long and Zhenghe Feng and Haigang Feng and Albert Z. Wang and Tianling Ren}, title = {L-simulator: a magPEEC-based new {CAD} tool for simulating magnetic-enhanced {IC} inductors of 3D arbitrary geometry}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {233--237}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LongFFWR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH04, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {Improved design of frequency-response-masking filters using enhanced sequential quadratic programming}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {528--531}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuNM04, author = {Suihua Lu and Amit Narayan and Amit Mehrotra}, title = {Continuation method in multitone harmonic balance}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {520--523}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuNM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaTKL04, author = {Dongsheng Ma and Vincent H. S. Tam and Wing{-}Hung Ki and Hylas Y. H. Lam}, title = {A {CAD} simulator based on loop gain measurement for switching converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {940--943}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaTKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakWU04, author = {Pui{-}In Mak and Man{-}Chung Wong and Seng{-}Pan U.}, title = {A 3D {PWM} control, H-bridge tri-level inverter for power quality compensation in three-phase four-wired systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {948--951}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakWU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakinoAMS04, author = {Shoji Makino and Shoko Araki and Ryo Mukai and Hiroshi Sawada}, title = {Audio source separation based on independent component analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {668--671}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakinoAMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ManfrediGB04, author = {Sabato Manfredi and Franco Garofalo and Mario di Bernardo}, title = {A robust approach to active queue management control in networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {485--488}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ManfrediGB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansourMWN04, author = {Makram M. Mansour and Amit Mehrotra and William W. Walker and Amit Narayan}, title = {Analysis techniques for obtaining the steady-state solution of {MOS} {LC} oscillators}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {512--515}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MansourMWN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaravicVR04, author = {Irena Maravic and Martin Vetterli and Kannan Ramchandran}, title = {Channel estimation and synchronization with sub-Nyquist sampling and application to ultra-wideband systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {381--384}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaravicVR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarczynskiTS04, author = {Ralph Marczynski and Mitchell A. Thornton and Stephen A. Szygenda}, title = {Test vector generation and classification using {FSM} traversals}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {309--312}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarczynskiTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinezBB04, author = {Antonio Zorzano Mart{\'{\i}}nez and Fernando Beltr{\'{a}}n Bl{\'{a}}zquez and Jos{\'{e}} Ram{\'{o}}n Beltr{\'{a}}n Bl{\'{a}}zquez}, title = {A new topology for a sigma-delta audio power amplifier}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {872--875}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinezBB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Mathis04, author = {Wolfgang Mathis}, title = {Andronov-Hopf bifurcation of sinusoidal oscillators under the influence of noise}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {660--663}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Mathis04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MazziniRS04, author = {Gianluca Mazzini and Riccardo Rovatti and Gianluca Setti}, title = {Self-similarity in max/average aggregated processes}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {473--476}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MazziniRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerkwirthBOW04, author = {Christian Merkwirth and Jochen Br{\"{o}}cker and Maciej Ogorzalek and J{\"{o}}rg D. Wichard}, title = {Finite iteration {DT-CNN} - new design and operating principles}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {504--507}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerkwirthBOW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoutaultMKD04, author = {Sebastien Moutault and Herv{\'{e}} Mathias and Jacques{-}Olivier Klein and Antoine Dupret}, title = {An improved analog computation cell for Paris II, a programmable vision chip}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {453--456}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoutaultMKD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MovahedianB04, author = {Hamid Movahedian and Mehrdad Sharif Bakhtiar}, title = {Design and sensitivity analysis of feed-forward neural ADC's}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {816--819}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MovahedianB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MukaiSAM04, author = {Ryo Mukai and Hiroshi Sawada and Shoko Araki and Shoji Makino}, title = {Frequency domain blind source separation using small and large spacing sensor pairs}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {1--4}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MukaiSAM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakhlaDAN04, author = {Natalie Nakhla and Anestis Dounavis and Ramachandra Achar and Michel S. Nakhla}, title = {Fast sensitivity analysis of transmission line networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {121--124}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakhlaDAN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeugebauerD04, author = {Shawn P. Neugebauer and Zhi Ding}, title = {Blind {SIMO} channel estimation for {CPM} using the Laurent approximation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {676--679}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 26 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeugebauerD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgCS04, author = {Tian{-}Tsong Ng and Shih{-}Fu Chang and Qibin Sun}, title = {Blind detection of photomontage using higher order statistics}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {688--691}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgL04, author = {Shek{-}Wai Ng and Yim{-}Shu Lee}, title = {A generalized averaging model for fixed frequency converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {928--931}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenTB04, author = {Hung Xuan Nguyen and Patrick Thiran and Chadi Barakat}, title = {On the correlation of {TCP} traffic in backbone networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {481--484}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenTB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NicolsonP04, author = {Sean Nicolson and Khoman Phang}, title = {Step-up versus step-down {DC/DC} converters for RF-powered systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {900--903}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NicolsonP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhataMM04, author = {Masashi Ohata and Toshiharu Mukai and Kiyotoshi Matsuoka}, title = {Blind separation with Gaussian mixture model for convolutively mixed sources}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {13--16}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhataMM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkadaHO04, author = {Ken{-}ichi Okada and Hiroaki Hoshino and Hidetoshi Onodera}, title = {Modelling and optimization of on-chip spiral inductor in S-parameter domain}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {153--156}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OkadaHO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaiGAKN04, author = {Praveen Pai and Emad Gad and Ramachandra Achar and Roni Khazaka and Michel S. Nakhla}, title = {Computing large-change sensitivity of periodic responses of nonlinear circuits using reduction techniques}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {333--336}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaiGAKN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanSHL04, author = {Jeng{-}Shyang Pan and Min{-}Tsang Sung and Hsiang{-}Cheh Huang and Bin{-}Yih Liao}, title = {Robust VQ-based digital watermarking for memoryless binary symmetric channel}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {580--583}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanSHL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PariYQ04, author = {Pushkin R. Pari and Lin Yuan and Gang Qu}, title = {How many solutions does a {SAT} instance have?}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {209--212}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PariYQ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkA04, author = {Jinho Park and David J. Allstot}, title = {{RF} circuit synthesis using particle swarm optimization}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {93--96}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkSK04, author = {Seung Young Park and Gadi Shor and Yong Suk Kim}, title = {Interference resilient transmission scheme for multiband {OFDM} system in {UWB} channels}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {373--376}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParthasarthyK04, author = {Rajani Parthasarthy and Ivan S. Kourtev}, title = {Performance metrics for asynchronous digital circuits applicable to computer-aided design}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {301--304}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParthasarthyK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhoongCL04, author = {See{-}May Phoong and Kai{-}Yen Chang and Yuan{-}Pei Lin}, title = {Antipodal paraunitary precoding for {OFDM} application}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {425--428}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhoongCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PintoM04, author = {Rodrigo L. Oliveira Pinto and Franco Maloberti}, title = {X ray and blue print: tools for {MOSFET} analog circuit design addressing short-channel effects}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {277--280}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PintoM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PopescuG04, author = {Gabriel Popescu and Leonid B. Goldgeisser}, title = {Mixed signal aspects of behavioral modeling and simulation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {628--631}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PopescuG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rajarathnam04, author = {Rajarathnam Chandramouli}, title = {Web search steganalysis: some challenges and approaches}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {576--579}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Rajarathnam04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RibeiroCD04, author = {C{\'{a}}ssio B. Ribeiro and Marcello L. R. de Campos and Paulo S. R. Diniz}, title = {Zero-forcing equalization for time-varying systems with memory}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {413--416}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RibeiroCD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiisH04, author = {H{\aa}vard Kolle Riis and Philipp H{\"{a}}fliger}, title = {Spike based learning with weak multi-level static memory}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {393--396}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiisH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RivettaW04, author = {Claudio Rivetta and Geoffrey A. Williamson}, title = {Global behaviour analysis of a {DC-DC} boost power converter operating with constant power load}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {956--959}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RivettaW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ruan04, author = {Ken G. Ruan}, title = {A new model architecture for customer software integration}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {636--639}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ruan04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ruiz-AmayaUHFRVV04, author = {Jes{\'{u}}s Ruiz{-}Amaya and Jos{\'{e}} M. de la Rosa and Fernando Medeiro and Francisco V. Fern{\'{a}}ndez and Roc{\'{\i}}o del R{\'{\i}}o and Maria Belen P{\'{e}}rez{-}Verd{\'{u}} and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {An optimization-based tool for the high-level synthesis of discrete-time and continuous-time {\(\Sigma\)}{\(\Delta\)} modulators in the Matlab/Simulink environment}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {97--100}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ruiz-AmayaUHFRVV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaberiniaTTP04, author = {Ebrahim Saberinia and Jun Tang and Ahmed H. Tewfik and Keshab K. Parhi}, title = {Pulsed {OFDM} modulation for ultra wideband communications}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {369--392}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaberiniaTTP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Salas-CabreraC04, author = {Rub{\'{e}}n Salas{-}Cabrera and Claudio A. Ca{\~{n}}izares}, title = {Equilibrium analysis of voltage-fed field oriented controlled induction motors}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {984--987}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Salas-CabreraC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sanchez-LopezT04, author = {Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Esteban Tlelo{-}Cuautle}, title = {Symbolic noise analysis in analog integrated circuits}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {245--248}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sanchez-LopezT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SasaokaIFF04, author = {Naoto Sasaoka and Yoshio Itoh and Kensaku Fujii and Yutaka Fukui}, title = {Smart noise reduction system based on {ALE} and noise reconstruction system}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {445--448}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SasaokaIFF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaudergienePW04, author = {Ausra Saudargiene and Bernd Porr and Florentin W{\"{o}}rg{\"{o}}tter}, title = {Biologically inspired artificial neural network algorithm which implements local learning rules}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {389--392}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaudergienePW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SavioCKQ04, author = {Alessandro Savio and Luigi Colalongo and Zsolt Mikl{\'{o}}s Kov{\'{a}}cs{-}Vajna and Michele Quarantelli}, title = {Scaling rules and parameter tuning procedure for analog design reuse in technology migration}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {117--120}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SavioCKQ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharmaXCVFM04, author = {Ajit Sharma and Chenggang Xu and Wen Kung Chu and Nishath K. Verghese and Terri S. Fiez and Kartikeya Mayaram}, title = {A predictive methodology for accurate substrate parasitic extraction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {149--152}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharmaXCVFM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimauchiOT04, author = {Kazuhiro Shimauchi and Masahiro Ogawa and Akira Taguchi}, title = {{JPEG} based image compression with adaptive resolution conversion system}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {437--440}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimauchiOT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimazuS04, author = {Hiroshi Shimazu and Toshimichi Saito}, title = {Analysis of nonlinear dynamics in delta modulators for {PWM} control}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {936--939}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimazuS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiuREL04, author = {Pun Hang Shiu and Ramprasad Ravichandran and Siddharth Easwar and Sung Kyu Lim}, title = {Multi-layer floorplanning for reliable system-on-package}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {69--72}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiuREL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShreeveFM04, author = {Robert Shreeve and Terri S. Fiez and Kartikeya Mayaram}, title = {A physical and analytical model for substrate noise coupling analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {157--160}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShreeveFM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilvaS04, author = {Jo{\~{a}}o M. S. Silva and Lu{\'{\i}}s Miguel Silveira}, title = {Multigrid-based substrate coupling model extraction}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {169--173}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 11 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SilvaS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongHI04, author = {Tingting Song and Nianci Huang and Adrian Ioinovici}, title = {A zero-voltage and zero-current switching three-level {DC-DC} converter with secondary-assisted regenerative passive snubber}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {548--551}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongHI04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StanacevicCR04, author = {Milutin Stanacevic and Gert Cauwenberghs and Laurence Riddle}, title = {Gradient flow bearing estimation with blind identification of multiple signals and interference}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {5--8}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StanacevicCR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StoopS04, author = {Ruedi Stoop and Norbert Stoop}, title = {Computation by natural systems defined}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {664--667}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StoopS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuZ04, author = {Weizhou Su and Wei Xing Zheng}, title = {A polynomial method for blind identification of {MIMO} channels}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {49--52}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuetsuguK04, author = {Tadashi Suetsugu and Marian K. Kazimierczuk}, title = {Design equations for sub-optimum operation of class-E amplifier with nonlinear shunt capacitance}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {560--563}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuetsuguK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SwansonRC04, author = {Arthur L. Swanson and Ravi Prakash Ramachandran and Steven H. Chin}, title = {Fast adaptive component weighted cepstrum pole filtering for speaker identification}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {612--615}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SwansonRC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakahashiN04, author = {Norikazu Takahashi and Tetsuo Nishi}, title = {Global convergence analysis of decomposition methods for support vector machines}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {728--731}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakahashiN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanTW04, author = {Chin Soon Tan and Damian M. Tan and Hong Ren Wu}, title = {Perceptual coding of digital colour images based on a vision model}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {441--444}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanTW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangK04, author = {W. P. Tang and H. K. Kwan}, title = {Chaotic communications using nonlinear transform-pairs}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {740--743}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangTP04, author = {Jun Tang and Ahmed H. Tewfik and Keshab K. Parhi}, title = {High performance solution for interfering {UWB} piconets with reduced complexity sphere decoding}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {377--380}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangTP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TenoreEL04, author = {Francesco Tenore and Ralph Etienne{-}Cummings and M. Anthony Lewis}, title = {A programmable array of silicon neurons for the control of legged locomotion}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {349--352}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TenoreEL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Trump04, author = {T{\~{o}}nu Trump}, title = {Compensation for clock skew in voice over packet networks by speech interpolation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {608--611}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Trump04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiLL04, author = {Tsung{-}Han Tsai and Yu{-}Xuan Lee and Yu Fong Lin}, title = {Video error concealment techniques using progressive interpolation and boundary matching algorithm}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {433--436}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TseWT04, author = {Chi K. Michael Tse and Siu Chung Wong and K. C. Tam}, title = {Novel D\({}^{\mbox{2}}\)T control for single-switch dual-output switching power converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {844--847}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TseWT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TulunayB04, author = {G{\"{u}}lin Tulunay and Sina Balkir}, title = {A compact optimization methodology for single-ended {LNA}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {273--276}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TulunayB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UmehMMH04, author = {Kingsley C. Umeh and Azah Mohamed and Ramizi Mohamed and Aini Hussain}, title = {Characterizing nonlinear load harmonics using fractal analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {932--935}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UmehMMH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaidyanathanV04, author = {Palghat P. Vaidyanathan and Bojan Vrcelj}, title = {Transmultiplexers as precoders in modern digital communication: a tutorial review}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {405--412}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaidyanathanV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VakatiW04, author = {Lakshmi Kalpana Vakati and Janet Meiling Wang}, title = {A new multi-ramp driver model with {RLC} interconnect load}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {269--272}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VakatiW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Velev04, author = {Miroslav N. Velev}, title = {A new generation of {ISCAS} benchmarks from formal verification of high-level microprocessors}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {213--216}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Velev04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VenerisCAA04, author = {Andreas G. Veneris and Robert Chang and Magdy S. Abadir and Mandana Amiri}, title = {Fault equivalence and diagnostic test generation using {ATPG}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {221--224}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VenerisCAA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VerleMMAA04, author = {Alexandre Verle and Xavier Michel and Philippe Maurine and Nadine Az{\'{e}}mard and Daniel Auvergne}, title = {Delay bound based {CMOS} gate sizing technique}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {189--192}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VerleMMAA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ViglianoUP04, author = {Daniele Vigliano and Aurelio Uncini and Raffaele Parisi}, title = {Nonlinear {ICA} solutions for convolutive mixing of {PNL} mixtures}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {9--12}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ViglianoUP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VillarAMVGPP04, author = {Gerard Villar and Eduard Alarc{\'{o}}n and Herminio Mart{\'{\i}}nez and Eva Vidal and Francesc Guinjoan and Sonia Porta and Alberto Poveda}, title = {Hysteric controller for {CMOS} on-chip switching power converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {552--555}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VillarAMVGPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VirajA04, author = {Andrabadu K. P. Viraj and Gehan Amarathunga}, title = {Analysis of switched capacitor {DC-DC} step down converter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {836--839}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VirajA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vlach04, author = {Martin Vlach}, title = {Programming interface requirements for an {AMS} simulator}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {640--643}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vlach04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VogelsteinMC04, author = {R. Jacob Vogelstein and Udayan Mallik and Gert Cauwenberghs}, title = {Silicon spike-based synaptic array and address-event transceiver}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {385--388}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VogelsteinMC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WageehWSS04, author = {Mohamed N. Wageeh and Ayman M. Wahba and Ashraf M. Salem and Mohamed A. Sheirah}, title = {{FPGA} based accelerator for functional simulation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {317--320}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WageehWSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WaheedS04, author = {Khurram Waheed and Fathi M. Salem}, title = {Blind source recovery for non-minimum phase surroundings}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {33--36}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WaheedS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WanC04, author = {Tao Wan and Malgorzata Chrzanowska{-}Jeske}, title = {Generating random benchmark circuits for floorplanning}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {345--348}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WanC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WanM04, author = {Jie Wan and Karen Nan Miu}, title = {Meter placement for load estimation in radial power distribution systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {916--919}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WanM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCHZ04, author = {Yang Wang and Yici Cai and Xianlong Hong and Qiang Zhou}, title = {Algorithm for yield driven correction of layout}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {241--245}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangCHZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangH04, author = {Janet Meiling Wang and Omar Hafiz}, title = {Matrix pencil based realizable reduction for distributed interconnects}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {177--180}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangJS04, author = {Ling Wang and Yingtao Jiang and Henry Selvaraj}, title = {Synthesis scheme for low power designs with multiple supply voltages by tabu search}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {261--264}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 14 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangJS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangMR04, author = {Zhe Wang and Rajeev Murgai and Jaijeet S. Roychowdhury}, title = {Macromodeling of digital libraries for substrate noise analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {516--519}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangMR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZCCTZ04, author = {Jian Wang and Xuan Zeng and Wei Cai and Charles C. Chiang and Jiarong Tong and Dian Zhou}, title = {Frequency domain wavelet method with {GMRES} for large-scale linear circuit simulation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {321--324}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangZCCTZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiH04, author = {Dazhi Wei and John G. Harris}, title = {Signal reconstruction from spiking neuron models}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {353--356}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilsonRBR04, author = {Peter R. Wilson and J. Neil Ross and Andrew D. Brown and Andrew J. Rushton}, title = {Multiple domain behavioral modeling using {VHDL-AMS}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {644--647}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilsonRBR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongTT04, author = {Siu Chung Wong and Chi K. Michael Tse and K. C. Tam}, title = {Spurious modulation on current-mode controlled {DC/DC} converters: an explanation for intermittent chaotic operation}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {852--855}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WongTT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHHS04, author = {Shaoquan Wu and Jiwu Huang and Daren Huang and Yun Q. Shi}, title = {Self-synchronized audio watermark in {DWT} domain}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {712--715}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHHS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieK04, author = {Dahua Xie and C.{-}C. Jay Kuo}, title = {Enhanced multiple Huffman table {(MHT)} encryption scheme using key hopping}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {568--571}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuC04, author = {Pengfei Xu and Chip{-}Hong Chang}, title = {Self-organizing topological tree}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {732--735}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuCJ04, author = {Fei Xu and Chip{-}Hong Chang and Ching{-}Chuen Jong}, title = {{HWP:} a new insight into canonical signed digit}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {201--204}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuCJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuFM04, author = {Chenggang Xu and Terri S. Fiez and Kartikeya Mayaram}, title = {An improved Z-parameter macro model for substrate noise coupling}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {161--164}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuFM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuPH04, author = {Dongming Xu and Jos{\'{e}} Carlos Pr{\'{\i}}ncipe and John G. Harris}, title = {Logic computation using coupled neural oscillators}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {788--791}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuPH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanJK04, author = {Wei{-}Qi Yan and Duo Jin and Mohan S. Kankanhalli}, title = {Visual cryptography for print and scan applications}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {572--575}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 22 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanJK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHYZCL04, author = {Changqi Yang and Xianlong Hong and Hannah Honghua Yang and Qiang Zhou and Yici Cai and Yongqiang Lu}, title = {Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {81--84}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangHYZCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangK04, author = {Huijuan Yang and Alex C. Kot}, title = {Data hiding for bi-level documents using smoothing technique}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {692--695}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangT04, author = {Junjie Yang and Sheldon X.{-}D. Tan}, title = {Behavioural modelling of analog circuits by dynamic semi-symbolic analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {105--108}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangT04a, author = {Junjie Yang and Sheldon X.{-}D. Tan}, title = {An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {129--132}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangT04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YantornoSIS04, author = {Robert E. Yantorno and Brett Y. Smolenski and Ananth N. Iyer and Jashmin K. Shah}, title = {Usable speech detection using a context dependent Gaussian mixture model classifier}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {619--623}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YantornoSIS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoZHC04, author = {Hailong Yao and Qiang Zhou and Xianlong Hong and Yici Cai}, title = {Crosstalk driven routing resource assignment}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {89--92}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YaoZHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yli-KaakinenSY04, author = {Juha Yli{-}Kaakinen and Tapio Saram{\"{a}}ki and Ya Jun Yu}, title = {An efficient algorithm for the optimization of {FIR} filters synthesized using the multistage frequency-response masking approach}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {540--543}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Yli-KaakinenSY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YooGAH04, author = {Heejong Yoo and David W. Graham and David V. Anderson and Paul E. Hasler}, title = {C\({}^{\mbox{4}}\) band-pass delay filter for continuous-time subband adaptive tapped-delay filter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {792--795}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YooGAH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuL04, author = {Jianghong Yu and Yong Lian}, title = {Frequency-response masking based filters with the even-length bandedge shaping filter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {536--539}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuLTZ04, author = {Ya Jun Yu and Yong Ching Lim and Kok Lay Teo and Guohui Zhao}, title = {Frequency-response-masking technique incorporating extrapolated impulse response band-edge shaping filter}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {532--535}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuLTZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuvarajanY04, author = {Subbaraya Yuvarajan and Dachuan Yu}, title = {Characteristics and modelling of {PEM} fuel cells}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {880--883}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuvarajanY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuyamaAKO04, author = {Yoichi Yuyama and Masao Aramoto and Kazutoshi Kobayashi and Hidetoshi Onodera}, title = {{RTL/ISS} co-modeling methodology for embedded processor using SystemC}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {305--308}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuyamaAKO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengYDD04, author = {Yanxing Zeng and Qinye Yin and Le Ding and Ke Deng}, title = {Blind uplink space-time channel estimation for space-time coded multicarrier code division multiple access systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {45--48}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZengYDD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhanFXW04, author = {Rouying Zhan and Haigang Feng and Haolu Xie and Albert Z. Wang}, title = {ESDInspector: a new layout-level {ESD} protection circuitry design verification tool using a smart-parametric checking mechanism}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {217--220}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhanFXW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangD04, author = {Hui Zhang and Alex Doboli}, title = {Fast time-domain symbolic simulation for synthesis of sigma-delta analog-digital converters}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {125--128}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangDS04, author = {Jun Zhang and Renjie Ding and Haitao Song}, title = {A new reliable supplied gate drive circuit for SCRs with breakover diodes for protection}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {972--975}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangDS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangG04, author = {Yihai H. Zhang and T. Aaron Gulliver}, title = {A dual mode decision feedback equalizer employing the conjugate gradient algorithm}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {41--44}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangJHXXH04, author = {Ling Zhang and Tong Jing and Xianlong Hong and Jingyu Xu and Jinjun Xiong and Lei He}, title = {Performance and {RLC} crosstalk driven global routing}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {65--68}, publisher = {{IEEE}}, year = {2004}, timestamp = {Mon, 11 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangJHXXH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangK04, author = {Lihong Zhang and Ulrich Kleine}, title = {A novel analog layout synthesis tool}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {101--104}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangK04a, author = {Xuliang Zhang and Yoji Kajitani}, title = {Theory of T-junction floorplans in terms of single-sequence}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {341--344}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangK04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangL04, author = {Ming Zhang and Nicolas Llaser}, title = {Optimization design of the Dickson charge pump circuit with a resistive load}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {840--843}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangRJ04, author = {Lihong Zhang and Rabin Raut and Yingtao Jiang}, title = {A placement algorithm for implementation of analog {LSI/VLSI} systems}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {77--80}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangRJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangXC04, author = {Wei Zhang and Xiang{-}Gen Xia and Pak{-}Chung Ching}, title = {On pilot pattern design for {PSAM-OFDM} system}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {417--420}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangXC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoCZHHX04, author = {Xin Zhao and Yici Cai and Qiang Zhou and Xianlong Hong and Lei He and Jinjun Xiong}, title = {Shielding area optimization under the solution of interconnect crosstalk}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {297--300}, publisher = {{IEEE}}, year = {2004}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoCZHHX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoWCH04, author = {Meng Zhao and Xinjie Wei and Yici Cai and Xianlong Hong}, title = {Quick and effective buffered legitimate skew clock routing}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {337--340}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoWCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouCLC04, author = {Bin Zhou and Y. C. Julian Chiu and Ricky Lau and Henry Shu{-}Hung Chung}, title = {Spectral analysis of a novel transient dynamic boost {PWM} inverter control for power amplifiers}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {876--879}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouCLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouLC04, author = {Bin Zhou and Wing Hong Lau and Henry Shu{-}Hung Chung}, title = {A compact generalized solution to the determination of spectral components for multilevel uniformly sampled {PWM}}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {892--895}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZwolinskiB04, author = {Mark Zwolinski and Andrew D. Brown}, title = {Behavioural modelling of analogue faults in {VHDL-AMS} - a case study}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {632--635}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZwolinskiB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.