Search dblp for Publications

export results for "toc:db/conf/icicdt/icicdt2012.bht:"

 download as .bib file

@inproceedings{DBLP:conf/icicdt/Abou-El-KheirEE12,
  author       = {Nahla T. Abou{-}El{-}Kheir and
                  Moataz S. El{-}Kharashi and
                  Magdy A. El{-}Moursy},
  title        = {A low power programmable {FIR} filter using sharing multiplication
                  technique},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232847},
  doi          = {10.1109/ICICDT.2012.6232847},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/Abou-El-KheirEE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/AgarwalB12,
  author       = {Kanak B. Agarwal and
                  Shayak Banerjee},
  title        = {Design driven patterning optimizations for low {K1} lithography},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232834},
  doi          = {10.1109/ICICDT.2012.6232834},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/AgarwalB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BaekSS12,
  author       = {Donkyu Baek and
                  Insup Shin and
                  Youngsoo Shin},
  title        = {Gate delay modeling for static timing analysis of body-biased circuits},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232836},
  doi          = {10.1109/ICICDT.2012.6232836},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BaekSS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BaiPZ12,
  author       = {Xiaoliang Bai and
                  Prayag Patel and
                  Xiaonan Zhang},
  title        = {A new statistical setup and hold time definition},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232837},
  doi          = {10.1109/ICICDT.2012.6232837},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BaiPZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BassettS12,
  author       = {Paul Bassett and
                  Martin Saint{-}Laurent},
  title        = {Energy efficient design techniques for a digital signal processor},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232843},
  doi          = {10.1109/ICICDT.2012.6232843},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BassettS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BernierD12,
  author       = {Carolynn Bernier and
                  Jean{-}Baptiste David},
  title        = {{BAW} filters for ultra-low power narrow-band applications},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232844},
  doi          = {10.1109/ICICDT.2012.6232844},
  timestamp    = {Thu, 30 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BernierD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/CeyhanN12,
  author       = {Ahmet Ceyhan and
                  Azad Naeemi},
  title        = {System-level design and performance modeling for multilevel interconnect
                  networks for carbon nanotube field-effect transistors},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232851},
  doi          = {10.1109/ICICDT.2012.6232851},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/CeyhanN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ChenHLWJ12,
  author       = {Chih{-}Lin Chen and
                  Yi Hu and
                  Wayne Luo and
                  Chua{-}Chin Wang and
                  Chun{-}Ying Juan},
  title        = {A high voltage analog multiplexer with digital calibration for battery
                  management systems},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232881},
  doi          = {10.1109/ICICDT.2012.6232881},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/ChenHLWJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ChenTKW12,
  author       = {Chih{-}Lin Chen and
                  Hsin{-}Yuan Tseng and
                  Ron{-}Chi Kuo and
                  Chua{-}Chin Wang},
  title        = {On-chip {MOS} {PVT} variation monitor for slew rate self-adjusting
                  2{\texttimes}VDD output buffers},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232876},
  doi          = {10.1109/ICICDT.2012.6232876},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/ChenTKW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ChenW12,
  author       = {Sih{-}Yu Chen and
                  Chua{-}Chin Wang},
  title        = {Single-ended disturb-free 5T loadless {SRAM} Cell using 90 nm {CMOS}
                  process},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232848},
  doi          = {10.1109/ICICDT.2012.6232848},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/ChenW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ClercAGGSR12,
  author       = {Sylvain Clerc and
                  Fady Abouzeid and
                  Gilles Gasiot and
                  David Gauthier and
                  Dimitri Soussan and
                  Philippe Roche},
  title        = {A 0.32V, 55fJ per bit access energy, {CMOS} 65nm bit-interleaved {SRAM}
                  with radiation Soft Error tolerance},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232860},
  doi          = {10.1109/ICICDT.2012.6232860},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/ClercAGGSR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/DaoTMG12,
  author       = {Thuy Dao and
                  Tania Thomas and
                  David Marx and
                  David Grant},
  title        = {Evaluation of non-destructive etch depth measurement for through silicon
                  vias},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232854},
  doi          = {10.1109/ICICDT.2012.6232854},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/DaoTMG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/EriguchiNMKTO12,
  author       = {Koji Eriguchi and
                  Yoshinori Nakakubo and
                  Asahiko Matsuda and
                  Masayuki Kamei and
                  Yoshinori Takao and
                  Kouichi Ono},
  title        = {Optimization problems for plasma-induced damage - {A} concept for
                  plasma-induced damage design},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232840},
  doi          = {10.1109/ICICDT.2012.6232840},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/EriguchiNMKTO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/FeghhiN12,
  author       = {Rouhollah Feghhi and
                  Sasan Naseh},
  title        = {A 1V, low power, high-gain, 3 - 11 GHz double-balanced {CMOS} sub-harmonic
                  mixer},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232866},
  doi          = {10.1109/ICICDT.2012.6232866},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/FeghhiN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/FradetteBSH12,
  author       = {Francis Fradette and
                  Eric J. Balster and
                  Frank A. Scarpino and
                  Kerry L. Hill},
  title        = {Dynamic Stage Element Matching {(DSEM)} in Pipeline Analog to Digital
                  Converters {(ADC)}},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232862},
  doi          = {10.1109/ICICDT.2012.6232862},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/FradetteBSH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/FrancoKMTCERGCK12,
  author       = {Jacopo Franco and
                  Ben Kaczer and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Maria Toledano{-}Luque and
                  Felice Crupi and
                  Geert Eneman and
                  Ph. J. Rousse and
                  Tibor Grasser and
                  M. Cho and
                  Thomas Kauerauf and
                  Liesbeth Witters and
                  Geert Hellings and
                  L.{-}{\AA}. Ragnarsson and
                  Naoto Horiguchi and
                  Marc M. Heyns and
                  Guido Groeseneken},
  title        = {Superior reliability and reduced Time-Dependent variability in high-mobility
                  SiGe channel pMOSFETs for {VLSI} logic applications},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232839},
  doi          = {10.1109/ICICDT.2012.6232839},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/FrancoKMTCERGCK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/GalyJBDTHGMB12,
  author       = {Philippe Galy and
                  Jean Jimenez and
                  Johan Bourgeat and
                  A. Dray and
                  Ghislain Troussier and
                  Boris Heitz and
                  Nicolas Guitard and
                  David Marin{-}Cudraz and
                  H. Beckrich{-}Ros},
  title        = {{BIMOS} transistor and its applications in {ESD} protection in advanced
                  {CMOS} technology},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232878},
  doi          = {10.1109/ICICDT.2012.6232878},
  timestamp    = {Tue, 11 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/GalyJBDTHGMB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/GhaidaANYLG12,
  author       = {Rani S. Ghaida and
                  Kanak B. Agarwal and
                  Sani R. Nassif and
                  Xin Yuan and
                  Lars W. Liebmann and
                  Puneet Gupta},
  title        = {O(n) layout-coloring for multiple-patterning lithography and conflict-removal
                  using compaction},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232871},
  doi          = {10.1109/ICICDT.2012.6232871},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/GhaidaANYLG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/GuoPIECWMGCRBJV12,
  author       = {Wei Guo and
                  Geert Van der Plas and
                  Andrej Ivankovic and
                  Geert Eneman and
                  Vladimir Cherman and
                  Bart De Wachter and
                  Abdelkarim Mercha and
                  Mario Gonzalez and
                  Yann Civale and
                  Augusto Redolfi and
                  Thibault Buisson and
                  A. Jourdan and
                  Bart Vandevelde and
                  Kenneth J. Rebibis and
                  Ingrid De Wolf and
                  Antonio La Manna and
                  Gerald Beyer and
                  Eric Beyne and
                  Bart Swinnen},
  title        = {3D chip package interaction thermo-mechanical challenges: Proximity
                  effects of Through Silicon vias and {\(\mu\)}-bumps},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232855},
  doi          = {10.1109/ICICDT.2012.6232855},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/GuoPIECWMGCRBJV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/HanS12,
  author       = {Inhak Han and
                  Youngsoo Shin},
  title        = {Synthesis of clock gating logic through factored form matching},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232835},
  doi          = {10.1109/ICICDT.2012.6232835},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/HanS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/HeGO12,
  author       = {Ku He and
                  Andreas Gerstlauer and
                  Michael Orshansky},
  title        = {Low-energy signal processing using circuit-level timing-error acceptance},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232873},
  doi          = {10.1109/ICICDT.2012.6232873},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/HeGO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/IjiZH12,
  author       = {Ayobami B. Iji and
                  Forest Zhu and
                  Michael Heimlich},
  title        = {Design of low power, wider tuning range {CMOS} voltage control oscillator
                  for ultra wideband applications},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232845},
  doi          = {10.1109/ICICDT.2012.6232845},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/IjiZH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/JayaramanGZGHKF12,
  author       = {Balaji Jayaraman and
                  Sneha Gupta and
                  Yanli Zhang and
                  Puneet Goyal and
                  Herbert Ho and
                  Rishikesh Krishnan and
                  Sunfei Fang and
                  Sungjae Lee and
                  Douglas Daley and
                  Kevin McStay and
                  Bernhard Wunder and
                  John Barth and
                  Sadanand Deshpande and
                  Paul C. Parries and
                  Rajeev Malik and
                  Paul D. Agnello and
                  Scott R. Stiffler and
                  Subramanian S. Iyer},
  title        = {Performance analysis and modeling of deep trench decoupling capacitor
                  for 32 nm high-performance {SOI} processors and beyond},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232872},
  doi          = {10.1109/ICICDT.2012.6232872},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/JayaramanGZGHKF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/JimenezGBH12,
  author       = {Jean Jimenez and
                  Philippe Galy and
                  Johan Bourgeat and
                  Boris Heitz},
  title        = {High swing low capacitance {ESD} {RF} protections in advanced {CMOS}
                  technologies},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232879},
  doi          = {10.1109/ICICDT.2012.6232879},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/JimenezGBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/KangAHLOLGBHKHA12,
  author       = {Chang Yong Kang and
                  K. W. Ang and
                  R. Hill and
                  W. Y. Loh and
                  Jungwoo Oh and
                  Rinus Lee and
                  David Gilmer and
                  Gennadi Bersuker and
                  C. Hobbs and
                  Paul Kirsch and
                  Klaus Hummler and
                  S. Arkalgud and
                  Raj Jammy},
  title        = {Emerging {CMOS} and beyond {CMOS} technologies for an ultra-low power
                  3D world},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232867},
  doi          = {10.1109/ICICDT.2012.6232867},
  timestamp    = {Sun, 23 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/KangAHLOLGBHKHA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/KawasumiTHKTNSY12,
  author       = {Atsushi Kawasumi and
                  Yasuhisa Takeyama and
                  Osamu Hirabayashi and
                  Keiichi Kushida and
                  Fumihiko Tachibana and
                  Yusuke Niki and
                  Shinichi Sasaki and
                  Tomoaki Yabe},
  title        = {Energy efficiency deterioration by variability in {SRAM} and circuit
                  techniques for energy saving without voltage reduction},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232859},
  doi          = {10.1109/ICICDT.2012.6232859},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/KawasumiTHKTNSY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/KimLPJR12,
  author       = {Soo Youn Kim and
                  Wing{-}Fai Loke and
                  Sang Phill Park and
                  Byunghoo Jung and
                  Kaushik Roy},
  title        = {Poly-Si Thin Film Transistors: Opportunities for low-cost {RF} applications},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232864},
  doi          = {10.1109/ICICDT.2012.6232864},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/KimLPJR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/KolluJKA12,
  author       = {Kishore Kollu and
                  Trey Jackson and
                  Farhad Kharas and
                  Anant Adke},
  title        = {Unifying design data during verification: Implementing Logic-Driven
                  Layout analysis and debug},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232874},
  doi          = {10.1109/ICICDT.2012.6232874},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/KolluJKA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/LacordBGB12,
  author       = {Joris Lacord and
                  Perrine Batude and
                  G{\'{e}}rard Ghibaudo and
                  Fr{\'{e}}d{\'{e}}ric Boeuf},
  title        = {Analytical modeling of parasitics in monolithically integrated 3D
                  inverters},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232856},
  doi          = {10.1109/ICICDT.2012.6232856},
  timestamp    = {Thu, 13 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/LacordBGB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/LaiLZ12,
  author       = {Suming Lai and
                  Peng Li and
                  Zhiyu Zeng},
  title        = {Design and analysis of {IC} power delivery with on-chip voltage regulation},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232833},
  doi          = {10.1109/ICICDT.2012.6232833},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/LaiLZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/LeeSHW12,
  author       = {Tzung{-}Je Lee and
                  Doron Shmilovitz and
                  Yi{-}Jie Hsieh and
                  Chua{-}Chin Wang},
  title        = {Temperature and process compensated clock generator using feedback
                  {TPC} bias},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232863},
  doi          = {10.1109/ICICDT.2012.6232863},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/LeeSHW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/LiuHFWF12,
  author       = {Ziyuan Liu and
                  Fumihiko Hayashi and
                  Shinji Fujieda and
                  Markus Wilde and
                  Katsuyuki Fukutani},
  title        = {Reliability driven guideline for {BEOL} Optimization: Protecting {MOS}
                  stacks from hydrogen-related impurity penetration},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232838},
  doi          = {10.1109/ICICDT.2012.6232838},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/LiuHFWF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/LiuW12,
  author       = {Bao Liu and
                  Lu Wang},
  title        = {Minimum logic of guaranteed single soft error resilience based on
                  group distance-two code},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232882},
  doi          = {10.1109/ICICDT.2012.6232882},
  timestamp    = {Thu, 21 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/LiuW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/MitaniFHM12,
  author       = {Yuichiro Mitani and
                  Shigeto Fukatsu and
                  Daisuke Hagishima and
                  Kazuya Matsuzawa},
  title        = {Lifetime prediction of channel hot carrier degradation in pMOSFETs
                  separating {NBTI} component},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232842},
  doi          = {10.1109/ICICDT.2012.6232842},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/MitaniFHM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/NevianiBGV12,
  author       = {Andrea Neviani and
                  Andrea Bevilacqua and
                  Andrea Gerosa and
                  Daniele Vogrig},
  title        = {Low-power ultra-Wide-Band Impulse Radio transceivers for short range
                  communications},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232865},
  doi          = {10.1109/ICICDT.2012.6232865},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/NevianiBGV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/NguyenK12,
  author       = {Hung Viet Nguyen and
                  Youngmin Kim},
  title        = {32 nm FinFET-based 0.7-to-1.1 {V} digital voltage sensor with 50 mV
                  resolution},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232846},
  doi          = {10.1109/ICICDT.2012.6232846},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/NguyenK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/NimmagaddaLR12,
  author       = {Chandra Nimmagadda and
                  Durodami Lisk and
                  Riko Radojcic},
  title        = {3D stacking: Where the rubber meets the road},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232853},
  doi          = {10.1109/ICICDT.2012.6232853},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/NimmagaddaLR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/PanN12,
  author       = {Chenyun Pan and
                  Azad Naeemi},
  title        = {System-level optimization and benchmarking of graphene {PN} junction
                  logic system based on empirical {CPI} model},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232850},
  doi          = {10.1109/ICICDT.2012.6232850},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/PanN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/PaoFTCHSC12,
  author       = {Chia{-}Hao Pao and
                  Ming{-}Long Fan and
                  Ming{-}Fu Tsai and
                  Yin{-}Nien Chen and
                  Vita Pi{-}Ho Hu and
                  Pin Su and
                  Ching{-}Te Chuang},
  title        = {Impacts of random telegraph noise on the analog properties of FinFET
                  and trigate devices and Widlar current source},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232841},
  doi          = {10.1109/ICICDT.2012.6232841},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/PaoFTCHSC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/PellizzerB12,
  author       = {Fabio Pellizzer and
                  Roberto Bez},
  title        = {"Phase-Change Memories for nano-scale technology and design"},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232857},
  doi          = {10.1109/ICICDT.2012.6232857},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/PellizzerB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/SchwarzenbachDK12,
  author       = {Walter Schwarzenbach and
                  N. Daval and
                  S. Kerdiles and
                  G. Chabanne and
                  C. Figuet and
                  S. Guerroudj and
                  O. Bonnin and
                  X. Cauchy and
                  Bich{-}Yen Nguyen and
                  Christophe Maleville},
  title        = {Strained silicon on insulator substrates for fully depleted application},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232869},
  doi          = {10.1109/ICICDT.2012.6232869},
  timestamp    = {Wed, 03 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/SchwarzenbachDK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/SeokJCBS12,
  author       = {Mingoo Seok and
                  Dongsuk Jeon and
                  Chaitali Chakrabarti and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Extending energy-saving voltage scaling in ultra low voltage integrated
                  circuit designs},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232880},
  doi          = {10.1109/ICICDT.2012.6232880},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/SeokJCBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/SerovLGLEBXKP12,
  author       = {Andrey Y. Serov and
                  Zuanyi Li and
                  Kyle L. Grosse and
                  Albert D. Liao and
                  David Estrada and
                  Myung{-}Ho Bae and
                  Feng Xiong and
                  William P. King and
                  Eric Pop},
  title        = {Nanoscale power and heat management in electronics},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232852},
  doi          = {10.1109/ICICDT.2012.6232852},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/SerovLGLEBXKP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ShamannaGRMK12,
  author       = {Gururaj Shamanna and
                  Raja Gaurav and
                  Y. K. Raghavendra and
                  Percy Marfatia and
                  Bhunesh S. Kshatri},
  title        = {Using {ECC} and redundancy to minimize vmin induced yield loss in
                  6T {SRAM} arrays},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232861},
  doi          = {10.1109/ICICDT.2012.6232861},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/ShamannaGRMK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/SoussanVMB12,
  author       = {Dimitri Soussan and
                  Alexandre Valentian and
                  Sylvain Majcherczak and
                  Marc Belleville},
  title        = {A mixed {LPDDR2} impedance calibration technique exploiting 28nm Fully-Depleted
                  {SOI} Back-Biasing},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232877},
  doi          = {10.1109/ICICDT.2012.6232877},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/SoussanVMB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/TriyosoJSMHSL12,
  author       = {D. H. Triyoso and
                  V. Jaschke and
                  Jeff Shu and
                  S. Mutas and
                  Klaus Hempel and
                  Jamie K. Schaeffer and
                  Markus Lenski},
  title        = {Robust {PEALD} SiN spacer for gate first high-k metal gate integration},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232870},
  doi          = {10.1109/ICICDT.2012.6232870},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/TriyosoJSMHSL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/VinetHTMPGW12,
  author       = {Maud Vinet and
                  T. Hook and
                  Yannick Le Tiec and
                  R. Murphy and
                  Shom Ponoth and
                  Laurent Grenouillet and
                  Romain Wacquez},
  title        = {Variability in Fully Depleted MOSFETs},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232868},
  doi          = {10.1109/ICICDT.2012.6232868},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/VinetHTMPGW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/YaterKHMKLSWGMH12,
  author       = {Jane Yater and
                  S.{-}T. Kang and
                  C. M. Hong and
                  B. Min and
                  D. Kolar and
                  K. Loiko and
                  J. Shen and
                  B. Winstead and
                  H. Gasquet and
                  S. Mohammed and
                  A. Hardell and
                  W. Malloch and
                  B. Cook and
                  R. Syzdek and
                  A. Jarrar and
                  J. Feddeler and
                  K. Baker and
                  K. M. Chang and
                  S. Herrin and
                  R. Parks and
                  G. Chindalore},
  title        = {First-ever high-performance, low-power 32-bit microcontrollers with
                  embedded nanocrystal flash and enhanced {EEPROM} memories},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232858},
  doi          = {10.1109/ICICDT.2012.6232858},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/YaterKHMKLSWGMH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ZhangB0BALR12,
  author       = {Wangyang Zhang and
                  Karthik Balakrishnan and
                  Xin Li and
                  Duane S. Boning and
                  Emrah Acar and
                  Frank Liu and
                  Rob A. Rutenbar},
  title        = {Spatial variation decomposition via sparse regression},
  booktitle    = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICICDT.2012.6232875},
  doi          = {10.1109/ICICDT.2012.6232875},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/ZhangB0BALR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/icicdt/2012,
  title        = {{IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6225515/proceeding},
  isbn         = {978-1-4673-0146-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics