Search dblp for Publications

export results for "toc:db/conf/iccd/iccd2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccd/AboutalebiD17,
  author       = {Armin Haj Aboutalebi and
                  Lide Duan},
  title        = {{RAPS:} Restore-Aware Policy Selection for STT-MRAM-Based Main Memory
                  under Read Disturbance},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {625--632},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.110},
  doi          = {10.1109/ICCD.2017.110},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AboutalebiD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AhmedM17,
  author       = {Alif Ahmed and
                  Prabhat Mishra},
  title        = {{QUEBS:} Qualifying Event Based Search in Concolic Testing for Validation
                  of {RTL} Models},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {185--192},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.36},
  doi          = {10.1109/ICCD.2017.36},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/AhmedM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AlasadY17,
  author       = {Qutaiba Alasad and
                  Jiann{-}Shiun Yuan},
  title        = {Logic Obfuscation against {IC} Reverse Engineering Attacks Using PLGs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {341--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.59},
  doi          = {10.1109/ICCD.2017.59},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AlasadY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BashirS17,
  author       = {Janibul Bashir and
                  Smruti R. Sarangi},
  title        = {NUPLet: {A} Photonic Based Multi-Chip {NUCA} Architecture},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {617--624},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.109},
  doi          = {10.1109/ICCD.2017.109},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BashirS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BertranBBBBCCCE17,
  author       = {Ramon Bertran and
                  Pradip Bose and
                  David M. Brooks and
                  Jeff Burns and
                  Alper Buyuktosunoglu and
                  Nandhini Chandramoorthy and
                  Eric Cheng and
                  Martin Cochet and
                  Schuyler Eldridge and
                  Daniel Friedman and
                  Hans M. Jacobson and
                  Rajiv V. Joshi and
                  Subhasish Mitra and
                  Robert K. Montoye and
                  Arun Paidimarri and
                  Pritish Parida and
                  Kevin Skadron and
                  Mircea Stan and
                  Karthik Swaminathan and
                  Augusto Vega and
                  Swagath Venkataramani and
                  Christos Vezyrtzis and
                  Gu{-}Yeon Wei and
                  John{-}David Wellman and
                  Matthew M. Ziegler},
  title        = {Very Low Voltage {(VLV)} Design},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {601--604},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.105},
  doi          = {10.1109/ICCD.2017.105},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BertranBBBBCCCE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BlottPFGOUL17,
  author       = {Michaela Blott and
                  Thomas B. Preu{\ss}er and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Kenneth O'Brien and
                  Yaman Umuroglu and
                  Miriam Leeser},
  title        = {Scaling Neural Network Performance through Customized Hardware Architectures
                  on Reconfigurable Logic},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {419--422},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.73},
  doi          = {10.1109/ICCD.2017.73},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BlottPFGOUL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CaiRWPW17,
  author       = {Ruizhe Cai and
                  Ao Ren and
                  Luhao Wang and
                  Massoud Pedram and
                  Yanzhi Wang},
  title        = {Hardware Acceleration of Bayesian Neural Networks Using {RAM} Based
                  Linear Feedback Gaussian Random Number Generators},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {289--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.51},
  doi          = {10.1109/ICCD.2017.51},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CaiRWPW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CaoZPRY17,
  author       = {Yuting Cao and
                  Hao Zheng and
                  Hernan M. Palombo and
                  Sandip Ray and
                  Jin Yang},
  title        = {A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol
                  Debug},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {177--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.35},
  doi          = {10.1109/ICCD.2017.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CaoZPRY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChakrabortyXS17,
  author       = {Abhishek Chakraborty and
                  Yang Xie and
                  Ankur Srivastava},
  title        = {Template Attack Based Deobfuscation of Integrated Circuits},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {41--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.15},
  doi          = {10.1109/ICCD.2017.15},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChakrabortyXS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChanCK17,
  author       = {Tuck{-}Boon Chan and
                  Wei{-}Ting Jonas Chan and
                  Andrew B. Kahng},
  title        = {ILP-Based Identification of Redundant Logic Insertions for Opportunistic
                  Yield Improvement during Early Process Learning},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {269--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.48},
  doi          = {10.1109/ICCD.2017.48},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChanCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenEK17,
  author       = {Xiaoliang Chen and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  title        = {Low Latency Approximate Adder for Highly Correlated Input Streams},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {121--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.26},
  doi          = {10.1109/ICCD.2017.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenEK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenL17,
  author       = {Jing Chen and
                  Xue Liu},
  title        = {A High-Performance Deeply Pipelined Architecture for Elementary Transcendental
                  Function Evaluation},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {209--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.39},
  doi          = {10.1109/ICCD.2017.39},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenPK17,
  author       = {Huili Chen and
                  Seetal Potluri and
                  Farinaz Koushanfar},
  title        = {BioChipWork: Reverse Engineering of Microfluidic Biochips},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {9--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.11},
  doi          = {10.1109/ICCD.2017.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChengABBCCCCLLM17,
  author       = {Eric Cheng and
                  Jacob A. Abraham and
                  Pradip Bose and
                  Alper Buyuktosunoglu and
                  Keith A. Campbell and
                  Deming Chen and
                  Chen{-}Yong Cher and
                  Hyungmin Cho and
                  Binh Q. Le and
                  Klas Lilja and
                  Shahrzad Mirkhani and
                  Kevin Skadron and
                  Mircea Stan and
                  Lukasz G. Szafaryn and
                  Christos Vezyrtzis and
                  Subhasish Mitra},
  title        = {Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {593--596},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.103},
  doi          = {10.1109/ICCD.2017.103},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChengABBCCCCLLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChengHKC17,
  author       = {Chia{-}Yuan Cheng and
                  Shi{-}Yu Huang and
                  Ding{-}Ming Kwai and
                  Yung{-}Fa Chou},
  title        = {DLL-Assisted Clock Synchronization Method for Multi-Die ICs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {473--476},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.83},
  doi          = {10.1109/ICCD.2017.83},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChengHKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChoiJKD17,
  author       = {Wonil Choi and
                  Myoungsoo Jung and
                  Mahmut T. Kandemir and
                  Chita R. Das},
  title        = {A Scale-Out Enterprise Storage Architecture},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {549--556},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.96},
  doi          = {10.1109/ICCD.2017.96},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChoiJKD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DalakotiMB17,
  author       = {Aditya Dalakoti and
                  Merritt Miller and
                  Forrest Brewer},
  title        = {Pulse Ring Oscillator Tuning via Pulse Dynamics},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {469--472},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.82},
  doi          = {10.1109/ICCD.2017.82},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DalakotiMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DasDPC17,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Monolithic 3D-Enabled High Performance and Energy Efficient Network-on-Chip},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {233--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.43},
  doi          = {10.1109/ICCD.2017.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DasDPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DasT17,
  author       = {Abhishek Das and
                  Nur A. Touba},
  title        = {Limited Magnitude Error Correction Using {OLS} Codes for Memories
                  with Multilevel Cells},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {391--394},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.67},
  doi          = {10.1109/ICCD.2017.67},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DasT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DietrichPPC17,
  author       = {Benedikt Dietrich and
                  Nadja Peters and
                  Sangyoung Park and
                  Samarjit Chakraborty},
  title        = {Estimating the Limits of {CPU} Power Management for Mobile Games},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.10},
  doi          = {10.1109/ICCD.2017.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DietrichPPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DouglassK17,
  author       = {Andrew J. Douglass and
                  Sunil P. Khatri},
  title        = {Fast, Ring-Based Design of 3D Stacked {DRAM}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {665--672},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.116},
  doi          = {10.1109/ICCD.2017.116},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DouglassK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DridiRLFSD17,
  author       = {Mourad Dridi and
                  St{\'{e}}phane Rubini and
                  Mounir Lallali and
                  Martha Johanna Sep{\'{u}}lveda Fl{\'{o}}rez and
                  Frank Singhoff and
                  Jean{-}Philippe Diguet},
  title        = {{DAS:} An Efficient NoC Router for Mixed-Criticality Real-Time Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {229--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.42},
  doi          = {10.1109/ICCD.2017.42},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/DridiRLFSD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FairouzK17,
  author       = {Abbas A. Fairouz and
                  Sunil P. Khatri},
  title        = {An FPGA-Based Coprocessor for Hash Unit Acceleration},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {301--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.53},
  doi          = {10.1109/ICCD.2017.53},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FairouzK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FanA17,
  author       = {Deliang Fan and
                  Shaahin Angizi},
  title        = {Energy Efficient In-Memory Binary Deep Neural Network Accelerator
                  with Dual-Mode {SOT-MRAM}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {609--612},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.107},
  doi          = {10.1109/ICCD.2017.107},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FanA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FarahmandiM17,
  author       = {Farimah Farahmandi and
                  Prabhat Mishra},
  title        = {Automated Debugging of Arithmetic Circuits Using Incremental Gr{\"{o}}bner
                  Basis Reduction},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {193--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.37},
  doi          = {10.1109/ICCD.2017.37},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/FarahmandiM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FarahmandiM17a,
  author       = {Farimah Farahmandi and
                  Prabhat Mishra},
  title        = {{FSM} Anomaly Detection Using Formal Analysis},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {313--320},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.55},
  doi          = {10.1109/ICCD.2017.55},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/FarahmandiM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FarazmandK17,
  author       = {Navid Farazmand and
                  David R. Kaeli},
  title        = {Quality of Service-Aware Dynamic Voltage and Frequency Scaling for
                  Mobile 3D Graphics Applications},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {513--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.89},
  doi          = {10.1109/ICCD.2017.89},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FarazmandK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FengFTJL17,
  author       = {Yazhi Feng and
                  Dan Feng and
                  Wei Tong and
                  Yu Jiang and
                  Chuanqi Liu},
  title        = {Using Disturbance Compensation and Data Clustering {(DC)2} to Improve
                  Reliability and Performance of 3D {MLC} Flash Memory},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {565--572},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.98},
  doi          = {10.1109/ICCD.2017.98},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FengFTJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GilesH17,
  author       = {Christopher E. Giles and
                  Mark A. Heinrich},
  title        = {{M2S-CGM:} {A} Detailed Architectural Simulator for Coherent {CPU-GPU}
                  Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {477--484},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.84},
  doi          = {10.1109/ICCD.2017.84},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GilesH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GoliSD17,
  author       = {Mehran Goli and
                  Jannis Stoppe and
                  Rolf Drechsler},
  title        = {Automatic Protocol Compliance Checking of SystemC {TLM-2.0} Simulation
                  Behavior Using Timed Automata},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {377--384},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.65},
  doi          = {10.1109/ICCD.2017.65},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/GoliSD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HeAF17,
  author       = {Zhezhi He and
                  Shaahin Angizi and
                  Deliang Fan},
  title        = {Exploring {STT-MRAM} Based In-Memory Computing Paradigm with Application
                  of Image Edge Extraction},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {439--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.78},
  doi          = {10.1109/ICCD.2017.78},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HeAF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Hoover17,
  author       = {Steven F. Hoover},
  title        = {Timing-Abstract Circuit Design in Transaction-Level Verilog},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {525--532},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.91},
  doi          = {10.1109/ICCD.2017.91},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Hoover17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangM17,
  author       = {Yuanwen Huang and
                  Prabhat Mishra},
  title        = {Vulnerability-Aware Energy Optimization Using Reconfigurable Caches
                  in Multicore Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {241--248},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.44},
  doi          = {10.1109/ICCD.2017.44},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/IlievT17,
  author       = {Nick Iliev and
                  Amit Ranjan Trivedi},
  title        = {Low Power Spatial Localization of Mobile Sensors with Recurrent Neural
                  Network},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {297--300},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.52},
  doi          = {10.1109/ICCD.2017.52},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/IlievT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/IshakWT17,
  author       = {Suhaimi Abd Ishak and
                  Hui Wu and
                  Umair Ullah Tariq},
  title        = {Energy-Aware Task Scheduling on Heterogeneous NoC-Based MPSoCs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {165--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.33},
  doi          = {10.1109/ICCD.2017.33},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/IshakWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JoannouWKMBXWCR17,
  author       = {Alexandre Joannou and
                  Jonathan Woodruff and
                  Robert Kovacsics and
                  Simon W. Moore and
                  Alex Bradbury and
                  Hongyan Xia and
                  Robert N. M. Watson and
                  David Chisnall and
                  Michael Roe and
                  Brooks Davis and
                  Edward Napierala and
                  John Baldwin and
                  Khilan Gudka and
                  Peter G. Neumann and
                  Alfredo Mazzinghi and
                  Alex Richardson and
                  Stacey D. Son and
                  A. Theodore Markettos},
  title        = {Efficient Tagged Memory},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {641--648},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.112},
  doi          = {10.1109/ICCD.2017.112},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/JoannouWKMBXWCR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JyothiKKK17,
  author       = {Vinayaka Jyothi and
                  Prashanth Krishnamurthy and
                  Farshad Khorrami and
                  Ramesh Karri},
  title        = {{TAINT:} Tool for Automated INsertion of Trojans},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {545--548},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.95},
  doi          = {10.1109/ICCD.2017.95},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JyothiKKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JyothiPSK17,
  author       = {Vinayaka Jyothi and
                  Ashik Poojari and
                  Richard Stern and
                  Ramesh Karri},
  title        = {Fingerprinting Field Programmable Gate Arrays},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {337--340},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.58},
  doi          = {10.1109/ICCD.2017.58},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JyothiPSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KangZOZZ17,
  author       = {Wang Kang and
                  He Zhang and
                  Peng Ouyang and
                  Youguang Zhang and
                  Weisheng Zhao},
  title        = {Programmable Stateful In-Memory Computing Paradigm via a Single Resistive
                  Device},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {613--616},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.108},
  doi          = {10.1109/ICCD.2017.108},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KangZOZZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhanBYCG17,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Shivam Bhasin and
                  Alex Yuan and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  title        = {Side-Channel Attack on {STTRAM} Based Cache for Cryptographic Application},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.14},
  doi          = {10.1109/ICCD.2017.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhanBYCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhanWMK17,
  author       = {Ahmed Waheed Khan and
                  Tanya Wanchoo and
                  Gokhan Mumcu and
                  Sel{\c{c}}uk K{\"{o}}se},
  title        = {Implications of Distributed On-Chip Power Delivery on {EM} Side-Channel
                  Attacks},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {329--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.57},
  doi          = {10.1109/ICCD.2017.57},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhanWMK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KodaliHMWBW17,
  author       = {Sreela Kodali and
                  Patrick Hansen and
                  Niamh Mulholland and
                  Paul N. Whatmough and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {Applications of Deep Neural Networks for Ultra Low Power IoT},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {589--592},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.102},
  doi          = {10.1109/ICCD.2017.102},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KodaliHMWBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KondguliH17,
  author       = {Sushant Kondguli and
                  Michael C. Huang},
  title        = {{T2:} {A} Highly Accurate and Energy Efficient Stride Prefetcher},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {373--376},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.64},
  doi          = {10.1109/ICCD.2017.64},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KondguliH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KoneruKC17,
  author       = {Abhishek Koneru and
                  Sukeshwar Kannan and
                  Krishnendu Chakrabarty},
  title        = {A Design-for-Test Solution for Monolithic 3D Integrated Circuits},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {685--688},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.119},
  doi          = {10.1109/ICCD.2017.119},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KoneruKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LahiryK17,
  author       = {Akshay Lahiry and
                  David R. Kaeli},
  title        = {Dual Dictionary Compression for the Last Level Cache},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {353--360},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.61},
  doi          = {10.1109/ICCD.2017.61},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LahiryK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LefterVMSC17,
  author       = {Mihai Lefter and
                  George Razvan Voicu and
                  Thomas Marconi and
                  Valentin Savin and
                  Sorin Dan Cotofana},
  title        = {LDPC-Based Adaptive Multi-Error Correction for 3D Memories},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {265--268},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.47},
  doi          = {10.1109/ICCD.2017.47},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LefterVMSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiQYL17,
  author       = {Bingzhe Li and
                  Yaobin Qin and
                  Bo Yuan and
                  David J. Lilja},
  title        = {Neural Network Classifiers Using Stochastic Computing with a Hardware-Oriented
                  Approximate Activation Function},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {97--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.23},
  doi          = {10.1109/ICCD.2017.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiQYL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiSDDXS17,
  author       = {Qiao Li and
                  Liang Shi and
                  Yejia Di and
                  Yajuan Du and
                  Chun Jason Xue and
                  Edwin Hsing{-}Mean Sha},
  title        = {Exploiting Process Variation for Read Performance Improvement on {LDPC}
                  Based Flash Memory Storage Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {681--684},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.118},
  doi          = {10.1109/ICCD.2017.118},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiSDDXS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinDTKRP17,
  author       = {Yibo Lin and
                  Peter Debacker and
                  Darko Trivkovic and
                  Ryoung{-}Han Kim and
                  Praveen Raghavan and
                  David Z. Pan},
  title        = {Patterning Aware Design Optimization of Selective Etching in {N5}
                  and Beyond},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {415--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.72},
  doi          = {10.1109/ICCD.2017.72},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinDTKRP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuV17,
  author       = {Xiaobang Liu and
                  Ranga Vemuri},
  title        = {Effective Signal Restoration in Post-Silicon Validation},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {169--176},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.34},
  doi          = {10.1109/ICCD.2017.34},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuXS17,
  author       = {Yuntao Liu and
                  Yang Xie and
                  Ankur Srivastava},
  title        = {Neural Trojans},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {45--48},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.16},
  doi          = {10.1109/ICCD.2017.16},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuXS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuZJJXH17,
  author       = {Ke Liu and
                  Mengying Zhao and
                  Lei Ju and
                  Zhiping Jia and
                  Chun Jason Xue and
                  Jingtong Hu},
  title        = {Design Exploration for Multiple Level Cell Based Non-Volatile FPGAs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {257--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.46},
  doi          = {10.1109/ICCD.2017.46},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuZJJXH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuZYWWLE17,
  author       = {Yuxi Liu and
                  Xia Zhao and
                  Zhibin Yu and
                  Zhenlin Wang and
                  Xiaolin Wang and
                  Yingwei Luo and
                  Lieven Eeckhout},
  title        = {{BACM:} Barrier-Aware Cache Management for Irregular Memory-Intensive
                  {GPGPU} Workloads},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {633--640},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.111},
  doi          = {10.1109/ICCD.2017.111},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuZYWWLE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LoSLC17,
  author       = {Chi Lo and
                  Yu{-}Yi Su and
                  Chun{-}Yi Lee and
                  Shih{-}Chieh Chang},
  title        = {A Dynamic Deep Neural Network Design for Efficient Workload Allocation
                  in Edge Computing},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {273--280},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.49},
  doi          = {10.1109/ICCD.2017.49},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LoSLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LotfiG17,
  author       = {Atieh Lotfi and
                  Rajesh K. Gupta},
  title        = {ReHLS: Resource-Aware Program Transformation Workflow for High-Level
                  Synthesis},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {533--536},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.92},
  doi          = {10.1109/ICCD.2017.92},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LotfiG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LuLPC17,
  author       = {Tianyue Lu and
                  Yuhang Liu and
                  Haiyang Pan and
                  Mingyu Chen},
  title        = {{TDV} Cache: Organizing Off-Chip {DRAM} Cache of {NVMM} from a Fusion
                  Perspective},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {65--72},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.19},
  doi          = {10.1109/ICCD.2017.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LuLPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LuLR17,
  author       = {Sixing Lu and
                  Roman Lysecky and
                  Jerzy W. Rozenblit},
  title        = {Subcomponent Timing-Based Detection of Malware in Embedded Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {17--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.12},
  doi          = {10.1109/ICCD.2017.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LuLR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LuoAZJFDW17,
  author       = {Pei Luo and
                  Konstantinos Athanasiou and
                  Liwei Zhang and
                  Zhen Hang Jiang and
                  Yunsi Fei and
                  A. Adam Ding and
                  Thomas Wahl},
  title        = {Compiler-Assisted Threshold Implementation against Power Analysis
                  Attacks},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {541--544},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.94},
  doi          = {10.1109/ICCD.2017.94},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LuoAZJFDW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MappourasVCHS17,
  author       = {Georgios Mappouras and
                  Alireza Vahid and
                  A. Robert Calderbank and
                  Derek R. Hower and
                  Daniel J. Sorin},
  title        = {Jenga: Efficient Fault Tolerance for Stacked {DRAM}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {361--368},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.62},
  doi          = {10.1109/ICCD.2017.62},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MappourasVCHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MaruyamaKA17,
  author       = {Yuya Maruyama and
                  Shinpei Kato and
                  Takuya Azumi},
  title        = {Exploring Scalable Data Allocation and Parallel Computing on NoC-Based
                  Embedded Many Cores},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {225--228},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.41},
  doi          = {10.1109/ICCD.2017.41},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MaruyamaKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MoKS17,
  author       = {Lei Mo and
                  Angeliki Kritikakou and
                  Olivier Sentieys},
  title        = {Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time
                  Multicores},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {493--500},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.86},
  doi          = {10.1109/ICCD.2017.86},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MoKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NajafiLRB17,
  author       = {M. Hassan Najafi and
                  David J. Lilja and
                  Marc D. Riedel and
                  Kia Bazargan},
  title        = {Power and Area Efficient Sorting Networks Using Unary Processing},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {125--128},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.27},
  doi          = {10.1109/ICCD.2017.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NajafiLRB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NeumanM0D17,
  author       = {Sabrina M. Neuman and
                  Jason E. Miller and
                  Daniel S{\'{a}}nchez and
                  Srinivas Devadas},
  title        = {Using Application-Level Thread Progress Information to Manage Power
                  and Performance},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {501--508},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.87},
  doi          = {10.1109/ICCD.2017.87},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NeumanM0D17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NewtonMPS17,
  author       = {Newton and
                  Sujit Kr Mahto and
                  Suhit Pai and
                  Virendra Singh},
  title        = {{DAAIP:} Deadblock Aware Adaptive Insertion Policy for High Performance
                  Caching},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {345--352},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.60},
  doi          = {10.1109/ICCD.2017.60},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NewtonMPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OmarAK17,
  author       = {Hamza Omar and
                  Masab Ahmad and
                  Omer Khan},
  title        = {GraphTuner: An Input Dependence Aware Loop Perforation Scheme for
                  Efficient Execution of Approximated Graph Algorithms},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {201--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.38},
  doi          = {10.1109/ICCD.2017.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OmarAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OuXCYP17,
  author       = {Jiaojiao Ou and
                  Xiaoqing Xu and
                  Brian Cline and
                  Greg Yeric and
                  David Z. Pan},
  title        = {{DTCO} for {DSA-MP} Hybrid Lithography with Double-BCP Materials in
                  Sub-7nm Node},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {403--410},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.70},
  doi          = {10.1109/ICCD.2017.70},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OuXCYP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PanL17,
  author       = {Peitian Pan and
                  Chao Li},
  title        = {Congra: Towards Efficient Processing of Concurrent Graph Queries on
                  Shared-Memory Machines},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {217--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.40},
  doi          = {10.1109/ICCD.2017.40},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PanL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PaulBS17,
  author       = {Sudipta Paul and
                  Pritha Banerjee and
                  Susmita Sur{-}Kolay},
  title        = {Post-Layout Perturbation towards Stitch Friendly Layout for Multiple
                  E-Beam Lithography},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {411--414},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.71},
  doi          = {10.1109/ICCD.2017.71},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/PaulBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PintoH17,
  author       = {Sonal Pinto and
                  Michael S. Hsiao},
  title        = {Fast Search-Based {RTL} Test Generation Using Control-Flow Path Guidance},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {399--402},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.69},
  doi          = {10.1109/ICCD.2017.69},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PintoH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RoelkeZMWSS17,
  author       = {Alec Roelke and
                  Runjie Zhang and
                  Kaushik Mazumdar and
                  Ke Wang and
                  Kevin Skadron and
                  Mircea R. Stan},
  title        = {Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged
                  Multicore Chips},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {597--600},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.104},
  doi          = {10.1109/ICCD.2017.104},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RoelkeZMWSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SaeedMZWK17,
  author       = {Samah Mohamed Saeed and
                  Nithin Mahendran and
                  Alwin Zulehner and
                  Robert Wille and
                  Ramesh Karri},
  title        = {Identifying Reversible Circuit Synthesis Approaches to Enable {IP}
                  Piracy Attacks},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {537--540},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.93},
  doi          = {10.1109/ICCD.2017.93},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SaeedMZWK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SayadiPSH17,
  author       = {Hossein Sayadi and
                  Nisarg Patel and
                  Avesta Sasan and
                  Houman Homayoun},
  title        = {Machine Learning-Based Approaches for Energy-Efficiency Prediction
                  and Scheduling in Composite Cores Architectures},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {129--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.28},
  doi          = {10.1109/ICCD.2017.28},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SayadiPSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ScolariLWI17,
  author       = {Alberto Scolari and
                  Yunseong Lee and
                  Markus Weimer and
                  Matteo Interlandi},
  title        = {Towards Accelerating Generic Machine Learning Prediction Pipelines},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {431--434},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.76},
  doi          = {10.1109/ICCD.2017.76},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ScolariLWI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShenXL17,
  author       = {Minghua Shen and
                  Nong Xiao and
                  Guojie Luo},
  title        = {Dependency-Aware Parallel Routing for Large-Scale FPGAs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {249--256},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.45},
  doi          = {10.1109/ICCD.2017.45},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShenXL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShimJ017,
  author       = {Yong Shim and
                  Akhilesh Jaiswal and
                  Kaushik Roy},
  title        = {Stochastic Switching of {SHE-MTJ} as a Natural Annealer for Efficient
                  Combinatorial Optimization},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {605--608},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.106},
  doi          = {10.1109/ICCD.2017.106},
  timestamp    = {Mon, 19 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ShimJ017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShuklaC17,
  author       = {Sudhanshu Shukla and
                  Mainak Chaudhuri},
  title        = {Sharing-Aware Efficient Private Caching in Many-Core Server Processors},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {485--492},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.85},
  doi          = {10.1109/ICCD.2017.85},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShuklaC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SoleimaniPSBK17,
  author       = {Kimia Soleimani and
                  Ahmad Patooghy and
                  Nasim Soltani and
                  Lake Bu and
                  Michel A. Kinsy},
  title        = {Crosstalk Free Coding Systems to Protect NoC Channels against Crosstalk
                  Faults},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {385--390},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.66},
  doi          = {10.1109/ICCD.2017.66},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SoleimaniPSBK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SozzoBAS17,
  author       = {Emanuele Del Sozzo and
                  Riyadh Baghdadi and
                  Saman P. Amarasinghe and
                  Marco D. Santambrogio},
  title        = {A Common Backend for Hardware Acceleration on {FPGA}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {427--430},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.75},
  doi          = {10.1109/ICCD.2017.75},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SozzoBAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SrinivasanCFWZS17,
  author       = {Vinesh Srinivasan and
                  Rangeen Basu Roy Chowdhury and
                  Elliott Forbes and
                  Randy Widialaksono and
                  Zhenqian Zhang and
                  Joshua Schabel and
                  Sungkwan Ku and
                  Steve Lipa and
                  Eric Rotenberg and
                  W. Rhett Davis and
                  Paul D. Franzon},
  title        = {{H3} (Heterogeneity in 3D): {A} Logic-on-Logic 3D-Stacked Heterogeneous
                  Multi-Core Processor},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {145--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.30},
  doi          = {10.1109/ICCD.2017.30},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SrinivasanCFWZS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/StefflR17,
  author       = {Samuel Steffl and
                  Sherief Reda},
  title        = {LACore: {A} Supercomputing-Like Linear Algebra Accelerator for SoC-Based
                  Designs},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {137--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.29},
  doi          = {10.1109/ICCD.2017.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/StefflR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/StraubeNAFA17,
  author       = {Kramer Straube and
                  Christopher Nitta and
                  Raj Amirtharajah and
                  Matthew K. Farrens and
                  Venkatesh Akella},
  title        = {Improving Execution Time of Parallel Programs on Large Scale Chip
                  Multiprocessors with Constant Average Power Processing},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {649--652},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.113},
  doi          = {10.1109/ICCD.2017.113},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/StraubeNAFA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TangICK17,
  author       = {Jack Tang and
                  Mohamed Ibrahim and
                  Krishnendu Chakrabarty and
                  Ramesh Karri},
  title        = {Security Trade-Offs in Microfluidic Routing Fabrics},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {25--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.13},
  doi          = {10.1109/ICCD.2017.13},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TangICK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TootaghajF17,
  author       = {Diman Zad Tootaghaj and
                  Farshid Farhat},
  title        = {{CAGE:} {A} Contention-Aware Game-Theoretic Model for Heterogeneous
                  Resource Assignment},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {161--164},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.32},
  doi          = {10.1109/ICCD.2017.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TootaghajF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TsoutsosMM17,
  author       = {Nektarios Georgios Tsoutsos and
                  Oleg Mazonka and
                  Michail Maniatakos},
  title        = {Memory-Bounded Randomness for Hardware-Constrained Encrypted Computation},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {673--680},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.117},
  doi          = {10.1109/ICCD.2017.117},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TsoutsosMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TucciRSS17,
  author       = {Lorenzo Di Tucci and
                  Marco Rabozzi and
                  Luca Stornaiuolo and
                  Marco D. Santambrogio},
  title        = {The Role of {CAD} Frameworks in Heterogeneous FPGA-Based Cloud Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {423--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.74},
  doi          = {10.1109/ICCD.2017.74},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TucciRSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VarkeyPM17,
  author       = {Dennis Antony Varkey and
                  Biswabandan Panda and
                  Madhu Mutyam},
  title        = {{RCTP:} Region Correlated Temporal Prefetcher},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.20},
  doi          = {10.1109/ICCD.2017.20},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VarkeyPM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Villalba-Moreno17,
  author       = {Julio Villalba{-}Moreno and
                  Javier Hormigo},
  title        = {Floating Point Square Root under {HUB} Format},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {447--454},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.79},
  doi          = {10.1109/ICCD.2017.79},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Villalba-Moreno17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VossBMGL17,
  author       = {Nils Voss and
                  Marco Bacis and
                  Oskar Mencer and
                  Georgi Gaydadjiev and
                  Wayne Luk},
  title        = {Convolutional Neural Networks on Dataflow Engines},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {435--438},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.77},
  doi          = {10.1109/ICCD.2017.77},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VossBMGL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangCZ17,
  author       = {Chenguang Wang and
                  Yici Cai and
                  Qiang Zhou},
  title        = {Automatic Security Property Generation for Detecting Information-Leaking
                  Hardware Trojans},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {321--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.56},
  doi          = {10.1109/ICCD.2017.56},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangCZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangFLTWZ17,
  author       = {Chengning Wang and
                  Dan Feng and
                  Jingning Liu and
                  Wei Tong and
                  Bing Wu and
                  Yang Zhang},
  title        = {{DAWS:} Exploiting Crossbar Characteristics for Improving Write Performance
                  of High Density Resistive Memory},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {281--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.50},
  doi          = {10.1109/ICCD.2017.50},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangFLTWZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangPJ17,
  author       = {Jiajun Wang and
                  Reena Panda and
                  Lizy Kurian John},
  title        = {SelSMaP: {A} Selective Stride Masking Prefetching Scheme},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {369--372},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.63},
  doi          = {10.1109/ICCD.2017.63},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangWW17,
  author       = {Ling Wang and
                  Xiaohang Wang and
                  Yadong Wang},
  title        = {{ABDTR:} Approximation-Based Dynamic Traffic Regulation for Networks-on-Chip
                  Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {153--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.31},
  doi          = {10.1109/ICCD.2017.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangYCZY17,
  author       = {Chenguang Wang and
                  Ming Yan and
                  Yici Cai and
                  Qiang Zhou and
                  Jianlei Yang},
  title        = {Power Profile Equalizer: {A} Lightweight Countermeasure against Side-Channel
                  Attack},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {305--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.54},
  doi          = {10.1109/ICCD.2017.54},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangYCZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenZY17,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Read Error Resilient {MLC} {STT-MRAM} Based Last Level Cache},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {455--462},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.80},
  doi          = {10.1109/ICCD.2017.80},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WenZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuFHTLLZ17,
  author       = {Jie Xu and
                  Dan Feng and
                  Yu Hua and
                  Wei Tong and
                  Jingning Liu and
                  Chunyan Li and
                  Wen Zhou},
  title        = {Improving Performance of {TLC} {RRAM} with Compression-Ratio-Aware
                  Data Encoding},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {573--580},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.99},
  doi          = {10.1109/ICCD.2017.99},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuFHTLLZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuFTLZ17,
  author       = {Jie Xu and
                  Dan Feng and
                  Wei Tong and
                  Jingning Liu and
                  Wen Zhou},
  title        = {Encoding Separately: An Energy-Efficient Write Scheme for {MLC} {STT-RAM}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {581--584},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.100},
  doi          = {10.1109/ICCD.2017.100},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuFTLZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuS17,
  author       = {Siyuan Xu and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Approximate Reconfigurable Hardware Accelerator: Adapting the Micro-Architecture
                  to Dynamic Workloads},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {113--120},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.25},
  doi          = {10.1109/ICCD.2017.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuSL17,
  author       = {Siyuan Xu and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Yidi Liu},
  title        = {Configurable SoC In-Situ Hardware/Software Co-Design Design Space
                  Exploration},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {509--512},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.88},
  doi          = {10.1109/ICCD.2017.88},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangUS17,
  author       = {Tongxin Yang and
                  Tomoaki Ukezono and
                  Toshinori Sato},
  title        = {Low-Power and High-Speed Approximate Multiplier Design with a Tree
                  Compressor},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {89--96},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.22},
  doi          = {10.1109/ICCD.2017.22},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YangUS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangWHZ17,
  author       = {Tianming Yang and
                  Haitao Wu and
                  Ping Huang and
                  Fei Zhang},
  title        = {A Shingle-Aware Persistent Cache Management Scheme for {DM-SMR} Disks},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {81--88},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.21},
  doi          = {10.1109/ICCD.2017.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YangWHZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YaoHWWQ17,
  author       = {Yue Yao and
                  Shuyang Huang and
                  Chen Wang and
                  Yi Wu and
                  Weikang Qian},
  title        = {Approximate Disjoint Bi-Decomposition and Its Application to Approximate
                  Logic Synthesis},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {517--524},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.90},
  doi          = {10.1109/ICCD.2017.90},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YaoHWWQ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YuKLC17,
  author       = {Joonsang Yu and
                  Kyounghoon Kim and
                  Jongeun Lee and
                  Kiyoung Choi},
  title        = {Accurate and Efficient Stochastic Computing Hardware for Convolutional
                  Neural Networks},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {105--112},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.24},
  doi          = {10.1109/ICCD.2017.24},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YuKLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZeinaliMRM17,
  author       = {Behzad Zeinali and
                  Jens Kargaard Madsen and
                  Praveen Raghavan and
                  Farshad Moradi},
  title        = {Ultra-Fast {SOT-MRAM} Cell with {STT} Current for Deterministic Switching},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {463--468},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.81},
  doi          = {10.1109/ICCD.2017.81},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZeinaliMRM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangFHC17,
  author       = {Xiaoyi Zhang and
                  Dan Feng and
                  Yu Hua and
                  Jianxi Chen},
  title        = {A Cost-Efficient NVM-Based Journaling Scheme for File Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {57--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.18},
  doi          = {10.1109/ICCD.2017.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangFHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangKFMJ17,
  author       = {Jiangwei Zhang and
                  Donald Kline Jr. and
                  Liang Fang and
                  Rami G. Melhem and
                  Alex K. Jones},
  title        = {Yoda: Judge Me by My Size, Do You?},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {395--398},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.68},
  doi          = {10.1109/ICCD.2017.68},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangKFMJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangWDYXW17,
  author       = {Meng Zhang and
                  Fei Wu and
                  Yajuan Du and
                  Chengmo Yang and
                  Changsheng Xie and
                  Jiguang Wan},
  title        = {CooECC: {A} Cooperative Error Correction Scheme to Reduce {LDPC} Decoding
                  Latency in {NAND} Flash},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {657--664},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.115},
  doi          = {10.1109/ICCD.2017.115},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangWDYXW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangXBL17,
  author       = {Tianwei Zhang and
                  Yuan Xu and
                  Yungang Bao and
                  Ruby B. Lee},
  title        = {CloudShelter: Protecting Virtual Machines' Memory Resource Availability
                  in Clouds},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {557--564},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.97},
  doi          = {10.1109/ICCD.2017.97},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangXBL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangZJCL17,
  author       = {Mingzhe Zhang and
                  Lunkai Zhang and
                  Lei Jiang and
                  Frederic T. Chong and
                  Zhiyong Liu},
  title        = {Quick-and-Dirty: Improving Performance of {MLC} {PCM} by Using Temporary
                  Short Writes},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {585--588},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.101},
  doi          = {10.1109/ICCD.2017.101},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangZJCL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhengMHSZ17,
  author       = {Shengan Zheng and
                  Hong Mei and
                  Linpeng Huang and
                  Yanyan Shen and
                  Yanmin Zhu},
  title        = {Adaptive Prefetching for Accelerating Read and Write in NVM-Based
                  File Systems},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {49--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.17},
  doi          = {10.1109/ICCD.2017.17},
  timestamp    = {Tue, 09 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhengMHSZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhouHZWZD17,
  author       = {Chaobing Zhou and
                  Libo Huang and
                  Tan Zhang and
                  Yongwen Wang and
                  Chengyi Zhang and
                  Qiang Dou},
  title        = {Effective Optimization of Branch Predictors through Lightweight Simulation},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {653--656},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.114},
  doi          = {10.1109/ICCD.2017.114},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhouHZWZD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccd/2017,
  title        = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8118206/proceeding},
  isbn         = {978-1-5386-2254-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics