Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccd/iccd2016.bht:"
@inproceedings{DBLP:conf/iccd/0001S16, author = {Majid Jalili and Hamid Sarbazi{-}Azad}, title = {Tolerating more hard errors in {MLC} PCMs using compression}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {304--311}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753294}, doi = {10.1109/ICCD.2016.7753294}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0001S16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0015MWWZG16, author = {Chen Li and Sheng Ma and Lu Wang and Zicong Wang and Xia Zhao and Yang Guo}, title = {{DLL:} {A} dynamic latency-aware load-balancing strategy in 2.5D NoC architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {646--653}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753352}, doi = {10.1109/ICCD.2016.7753352}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0015MWWZG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbusultanK16, author = {Monther Abusultan and Sunil P. Khatri}, title = {Implementing low power digital circuits using flash devices}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {109--116}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753268}, doi = {10.1109/ICCD.2016.7753268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbusultanK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbusultanK16a, author = {Monther Abusultan and Sunil P. Khatri}, title = {Exploring static and dynamic flash-based {FPGA} design topologies}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {416--419}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753317}, doi = {10.1109/ICCD.2016.7753317}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbusultanK16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AghaaliakbariHA16, author = {Fatemeh Aghaaliakbari and Mohaddeseh Hoveida and Mohammad Arjomand and Majid Jalili and Hamid Sarbazi{-}Azad}, title = {Efficient processor allocation in a reconfigurable {CMP} architecture for dark silicon era}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {336--343}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753298}, doi = {10.1109/ICCD.2016.7753298}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AghaaliakbariHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhmedM16, author = {Khondker Zakir Ahmed and Saibal Mukhopadhyay}, title = {A single-inductor-cascaded-stage topology for high conversion ratio boost regulator}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {487--491}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753331}, doi = {10.1109/ICCD.2016.7753331}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AhmedM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AkgunZW016, author = {Itir Akgun and Jia Zhan and Yuangang Wang and Yuan Xie}, title = {Scalable memory fabric for silicon interposer-based multi-core systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753258}, doi = {10.1109/ICCD.2016.7753258}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AkgunZW016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AkramS16, author = {Ayaz Akram and Lina Sawalha}, title = {{\texttimes}86 computer architecture simulators: {A} comparative study}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {638--645}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753351}, doi = {10.1109/ICCD.2016.7753351}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AkramS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AndradeGS16, author = {Gabriel A. G. Andrade and Marleson Graf and Luiz C. V. dos Santos}, title = {Chain-based pseudorandom tests for pre-silicon verification of {CMP} memory systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {552--559}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753340}, doi = {10.1109/ICCD.2016.7753340}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AndradeGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AngladaCA016, author = {Mart{\'{\i}} Anglada and Ramon Canal and Juan L. Arag{\'{o}}n and Antonio Gonz{\'{a}}lez}, title = {MASkIt: Soft error rate estimation for combinational circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {614--621}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753348}, doi = {10.1109/ICCD.2016.7753348}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AngladaCA016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AssareG16, author = {Omid Assare and Rajesh K. Gupta}, title = {Strategies for optimal operating point selection in timing speculative processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {584--591}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753344}, doi = {10.1109/ICCD.2016.7753344}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AssareG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AtaeiSG16, author = {Samira Ataei and James E. Stine and Matthew R. Guthaus}, title = {A 64 kb differential single-port 12T {SRAM} design with a bit-interleaving scheme for low-voltage operation in 32 nm {SOI} {CMOS}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {499--506}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753333}, doi = {10.1109/ICCD.2016.7753333}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AtaeiSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AttaranSHM16, author = {Aliyar Attaran and Hassan Salmani and Houman Homayoun and Hamid Mahmoodi}, title = {Dynamic single and Dual Rail spin transfer torque look up tables with enhanced robustness under {CMOS} and {MTJ} process variations}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {348--351}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753300}, doi = {10.1109/ICCD.2016.7753300}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AttaranSHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AzimF16, author = {Akramul Azim and Sebastian Fischmeister}, title = {Efficient mode changes in multi-mode systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {592--599}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753345}, doi = {10.1109/ICCD.2016.7753345}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AzimF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BegumHSC16, author = {Rizwana Begum and Mark Hempstead and Guru Prasad Srinivasa and Geoffrey Challen}, title = {Algorithms for {CPU} and {DRAM} {DVFS} under inefficiency constraints}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {161--168}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753276}, doi = {10.1109/ICCD.2016.7753276}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BegumHSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BeigiM16, author = {Majed Valad Beigi and Gokhan Memik}, title = {{TESLA:} Using microfluidics to thermally stabilize 3D stacked {STT-RAM} caches}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753299}, doi = {10.1109/ICCD.2016.7753299}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BeigiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BidmeshkiRZRM16, author = {Mohammad{-}Mahdi Bidmeshki and Gaurav Rajavendra Reddy and Liwei Zhou and Jeyavijayan Rajendran and Yiorgos Makris}, title = {Hardware-based attacks to compromise the cryptographic security of an election system}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {153--156}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753274}, doi = {10.1109/ICCD.2016.7753274}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BidmeshkiRZRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BockCMM16, author = {Santiago Bock and Bruce R. Childers and Rami G. Melhem and Daniel Moss{\'{e}}}, title = {Concurrent Migration of Multiple Pages in software-managed hybrid main memory}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {420--423}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753318}, doi = {10.1109/ICCD.2016.7753318}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BockCMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanDKNS16, author = {Wei{-}Ting Jonas Chan and Yang Du and Andrew B. Kahng and Siddhartha Nath and Kambiz Samadi}, title = {{BEOL} stack-aware routability prediction from placement using data mining techniques}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753259}, doi = {10.1109/ICCD.2016.7753259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanDKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChandraJB16, author = {Saumya Chandra and Ramkumar Jayaseelan and Ravi Bhargava}, title = {Speculative path power estimation using trace-driven simulations during high-level design phase}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {630--637}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753350}, doi = {10.1109/ICCD.2016.7753350}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChandraJB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangZMZCRX16, author = {Yisong Chang and Ke Zhang and Sally A. McKee and Lixin Zhang and Mingyu Chen and Liqiang Ren and Zhiwei Xu}, title = {Extending On-chip Interconnects for rack-level remote resource access}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {56--63}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753261}, doi = {10.1109/ICCD.2016.7753261}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangZMZCRX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DebFSMBS16, author = {Arjun Deb and Paolo Faraboschi and Ali Shafiee and Naveen Muralimanohar and Rajeev Balasubramonian and Robert Schreiber}, title = {Enabling technologies for memory compression: Metadata, mapping, and prediction}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753256}, doi = {10.1109/ICCD.2016.7753256}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DebFSMBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DingLHLW16, author = {Caiwen Ding and Hongjia Li and Jingtong Hu and Yongpan Liu and Yanzhi Wang}, title = {Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {289--295}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753292}, doi = {10.1109/ICCD.2016.7753292}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DingLHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DingLZWCL16, author = {Caiwen Ding and Hongjia Li and Weiwei Zheng and Yanzhi Wang and Naehyuck Chang and Xue Lin}, title = {Luminescent solar concentrator-based photovoltaic reconfiguration for hybrid and plug-in electric vehicles}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753291}, doi = {10.1109/ICCD.2016.7753291}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DingLZWCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FairouzAK16, author = {Abbas A. Fairouz and Monther Abusultan and Sunil P. Khatri}, title = {A novel hardware hash unit design for modern microprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {412--415}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753316}, doi = {10.1109/ICCD.2016.7753316}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FairouzAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FallahzadehG16, author = {Ramin Fallahzadeh and Hassan Ghasemzadeh}, title = {CyHOP: {A} generic framework for real-time power-performance optimization in networked wearable motion sensors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {428--431}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753320}, doi = {10.1109/ICCD.2016.7753320}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FallahzadehG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FleischerVBJ16, author = {Bruce M. Fleischer and Christos Vezyrtzis and Karthik Balakrishnan and Keith A. Jenkins}, title = {A statistical critical path monitor in 14nm {CMOS}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {507--511}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753334}, doi = {10.1109/ICCD.2016.7753334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FleischerVBJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ForbesR16, author = {Elliott Forbes and Eric Rotenberg}, title = {Fast register consolidation and migration for heterogeneous multi-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753254}, doi = {10.1109/ICCD.2016.7753254}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ForbesR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GoliSD16, author = {Mehran Goli and Jannis Stoppe and Rolf Drechsler}, title = {{AIBA:} An Automated Intra-cycle Behavioral Analysis for SystemC-based design exploration}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {360--363}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753303}, doi = {10.1109/ICCD.2016.7753303}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GoliSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GongECW16, author = {Na Gong and Jonathon Edstrom and Dongliang Chen and Jinhui Wang}, title = {Data-Pattern enabled Self-Recovery multimedia storage system for near-threshold computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {492--498}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753332}, doi = {10.1109/ICCD.2016.7753332}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GongECW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GovindarajG16, author = {Rekha Govindaraj and Swaroop Ghosh}, title = {A strong arbiter {PUF} using resistive {RAM} within 1T-1R memory architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {141--148}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753272}, doi = {10.1109/ICCD.2016.7753272}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GovindarajG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GrosseLHD16, author = {Daniel Gro{\ss}e and Hoang M. Le and Muhammad Hassan and Rolf Drechsler}, title = {Guided lightweight Software test qualification for {IP} integration using Virtual Prototypes}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {606--613}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753347}, doi = {10.1109/ICCD.2016.7753347}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GrosseLHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuSBK016, author = {Peng Gu and Dylan C. Stow and Russell Barnes and Eren Kursun and Yuan Xie}, title = {Thermal-aware 3D design for side-channel information leakage}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {520--527}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753336}, doi = {10.1109/ICCD.2016.7753336}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuSBK016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HanZWK16, author = {Xushen Han and Dajiang Zhou and Shihao Wang and Shinji Kimura}, title = {{CNN-MERP:} An FPGA-based memory-efficient reconfigurable processor for forward and backward propagation of convolutional neural networks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {320--327}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753296}, doi = {10.1109/ICCD.2016.7753296}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HanZWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HollisMM16, author = {Simon J. Hollis and Edward Ma and Radu Marculescu}, title = {nOS: {A} nano-sized distributed operating system for many-core embedded systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753278}, doi = {10.1109/ICCD.2016.7753278}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HollisMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HongKAK16, author = {Byungchul Hong and Yongkee Kwon and Jung Ho Ahn and John Kim}, title = {Adaptive and flexible key-value stores through soft data partitioning}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {296--303}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753293}, doi = {10.1109/ICCD.2016.7753293}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HongKAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HsiehKVCBGM16, author = {Kevin Hsieh and Samira Manabi Khan and Nandita Vijaykumar and Kevin K. Chang and Amirali Boroumand and Saugata Ghose and Onur Mutlu}, title = {Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753257}, doi = {10.1109/ICCD.2016.7753257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HsiehKVCBGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuemerLS16, author = {Florian Huemer and Jakob Lechner and Andreas Steininger}, title = {A new coding scheme for fault tolerant 4-phase delay-insensitive codes}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753311}, doi = {10.1109/ICCD.2016.7753311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuemerLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaZ16, author = {Qi Jia and Huiyang Zhou}, title = {Tuning Stencil codes in OpenCL for FPGAs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {249--256}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753287}, doi = {10.1109/ICCD.2016.7753287}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangWLG16, author = {Yanbing Jiang and Chentao Wu and Jie Li and Minyi Guo}, title = {{BDR:} {A} Balanced Data Redistribution scheme to accelerate the scaling process of XOR-based Triple Disk Failure Tolerant arrays}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {72--79}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753263}, doi = {10.1109/ICCD.2016.7753263}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangWLG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaoJRG16, author = {Xun Jiao and Yu Jiang and Abbas Rahimi and Rajesh K. Gupta}, title = {{WILD:} {A} workload-based learning model to predict dynamic delay of functional units}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {185--192}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753279}, doi = {10.1109/ICCD.2016.7753279}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaoJRG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JostNC16, author = {Tiago T. Jost and Gabriel L. Nazar and Luigi Carro}, title = {Scalable memory architecture for soft-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {396--399}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753312}, doi = {10.1109/ICCD.2016.7753312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JostNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JyothiTSK16, author = {Vinayaka Jyothi and Manasa Thoonoli and Richard Stern and Ramesh Karri}, title = {{FPGA} Trust Zone: Incorporating trust and reliability into {FPGA} designs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {600--605}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753346}, doi = {10.1109/ICCD.2016.7753346}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JyothiTSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangKK16, author = {Yesung Kang and Jaewoo Kim and Seokhyeong Kang}, title = {Novel approximate synthesis flow for energy-efficient {FIR} filter}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {96--102}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753266}, doi = {10.1109/ICCD.2016.7753266}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KarSRDM16, author = {Monodeep Kar and Arvind Singh and Anand Rajan and Vivek De and Saibal Mukhopadhyay}, title = {What does ultra low power requirements mean for side-channel secure cryptography?}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {686--689}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753359}, doi = {10.1109/ICCD.2016.7753359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KarSRDM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhatamifardRKK16, author = {S. Karen Khatamifard and Michael Resch and Nam Sung Kim and Ulya R. Karpuzcu}, title = {{VARIUS-TC:} {A} modular architecture-level model of parametric variation for thin-channel switches}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {654--661}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753353}, doi = {10.1109/ICCD.2016.7753353}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhatamifardRKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimPB16, author = {Kyu Yeun Kim and Jinsu Park and Woongki Baek}, title = {{IACM:} Integrated adaptive cache management for high-performance and energy-efficient {GPGPU} computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {380--383}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753308}, doi = {10.1109/ICCD.2016.7753308}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimPB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KomariV16, author = {Prabanjan Komari and Ranga Vemuri}, title = {A novel simulation based approach for trace signal selection in silicon debug}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753280}, doi = {10.1109/ICCD.2016.7753280}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KomariV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KumarG0B16, author = {Satendra Kumar and Ankur Gupta and Sudip Roy and Bhargab B. Bhattacharya}, title = {Design automation of multiple-demand mixture preparation using a K-array rotary mixer on digital microfluidic biochips}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {273--280}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753290}, doi = {10.1109/ICCD.2016.7753290}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KumarG0B16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKH16, author = {Junghoon Lee and Taehoon Kim and Jaehyuk Huh}, title = {Dynamic prefetcher reconfiguration for diverse memory architectures}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753270}, doi = {10.1109/ICCD.2016.7753270}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKPKCJY16, author = {Seil Lee and Hanjoo Kim and Seongsik Park and Sei Joon Kim and Hyeokjun Choe and Chang{-}Sung Jeong and Sungroh Yoon}, title = {CloudSocket: Smart grid platform for datacenters}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {436--439}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753322}, doi = {10.1109/ICCD.2016.7753322}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeKPKCJY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeW16, author = {Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {Ctrl-C: Instruction-Aware Control Loop Based Adaptive Cache Bypassing for GPUs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753271}, doi = {10.1109/ICCD.2016.7753271}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDLLW16, author = {Cunlu Li and Dezun Dong and Xiangke Liao and Fei Lei and Ji Wu}, title = {{CCAS:} Contention and congestion aware switch allocation for network-on-chips}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {444--447}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753324}, doi = {10.1109/ICCD.2016.7753324}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDLLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDLPC16, author = {Yongjian Li and Kaiqiang Duan and Yi Lv and Jun Pang and Shaowei Cai}, title = {A novel approach to parameterized verification of cache coherence protocols}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {560--567}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753341}, doi = {10.1109/ICCD.2016.7753341}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDLPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiJJKL16, author = {Tianjian Li and Li Jiang and Naifeng Jing and Nam Sung Kim and Xiaoyao Liang}, title = {CNFET-based high throughput register file architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {662--669}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753354}, doi = {10.1109/ICCD.2016.7753354}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiJJKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiRLQWY16, author = {Zhe Li and Ao Ren and Ji Li and Qinru Qiu and Yanzhi Wang and Bo Yuan}, title = {{DSCNN:} Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {678--681}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753357}, doi = {10.1109/ICCD.2016.7753357}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiRLQWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiSH16, author = {Changgong Li and Alexander Schwarz and Christian Hochberger}, title = {A readback based general debugging framework for soft-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {568--575}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753342}, doi = {10.1109/ICCD.2016.7753342}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinXBWGP16, author = {Xue Lin and Yuankun Xue and Paul Bogdan and Yanzhi Wang and Siddharth Garg and Massoud Pedram}, title = {Power-aware virtual machine mapping in the data-center-on-a-chip paradigm}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {241--248}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753286}, doi = {10.1109/ICCD.2016.7753286}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LinXBWGP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuCWM16, author = {Taizhi Liu and Chang{-}Chih Chen and Jiadong Wu and Linda S. Milor}, title = {{SRAM} stability analysis for different cache configurations due to Bias Temperature Instability and Hot Carrier Injection}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {225--232}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753284}, doi = {10.1109/ICCD.2016.7753284}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuCWM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuTGLJS16, author = {Yang Liu and Hung{-}Wei Tseng and Mark Gahagan and Jing Li and Yanqin Jin and Steven Swanson}, title = {Hippogriff: Efficiently moving data in heterogeneous computing systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {376--379}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753307}, doi = {10.1109/ICCD.2016.7753307}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuTGLJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuTS16, author = {Yang Liu and Hung{-}Wei Tseng and Steven Swanson}, title = {SPMario: Scale up MapReduce with I/O-Oriented Scheduling for the {GPU}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {384--387}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753309}, doi = {10.1109/ICCD.2016.7753309}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuVZP16, author = {Yin Liu and Hariharasudhan Venkataraman and Zisheng Zhang and Keshab K. Parhi}, title = {Machine learning classifiers using stochastic logic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {408--411}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753315}, doi = {10.1109/ICCD.2016.7753315}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiuVZP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuYLXLW16, author = {Lei Liu and Hao Yang and Yong Li and Mengyao Xie and Lian Li and Chenggang Wu}, title = {Memos: {A} full hierarchy hybrid memory management framework}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {368--371}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753305}, doi = {10.1109/ICCD.2016.7753305}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuYLXLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LyAWHSJ16, author = {Kelvin Ly and Orlando Arias and Jacob Wurm and Khoa Hoang and Kaveh Shamsi and Yier Jin}, title = {Voting system design pitfalls: Vulnerability analysis and exploitation of a model platform}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {149--152}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753273}, doi = {10.1109/ICCD.2016.7753273}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LyAWHSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MirhosseiniSZS16, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Maryam Zare and Hamid Sarbazi{-}Azad}, title = {Quantifying the difference in resource demand among classic and modern NoC workloads}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {404--407}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753314}, doi = {10.1109/ICCD.2016.7753314}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MirhosseiniSZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MomeniTSK16, author = {Amir Momeni and Hamed Tabkhi and Gunar Schirner and David R. Kaeli}, title = {Hardware thread reordering to boost OpenCL throughput on FPGAs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {257--264}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753288}, doi = {10.1109/ICCD.2016.7753288}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MomeniTSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Neftci16, author = {Emre Neftci}, title = {Stochastic neuromorphic learning machines for weakly labeled data}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {670--673}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753355}, doi = {10.1109/ICCD.2016.7753355}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Neftci16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NejatHB16, author = {Arash Nejat and David H{\'{e}}ly and Vincent Beroulle}, title = {How logic masking can improve path delay analysis for Hardware Trojan detection}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {424--427}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753319}, doi = {10.1109/ICCD.2016.7753319}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NejatHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NomuraKIS16, author = {Hayato Nomura and Hiroyuki Katchi and Hidetsugu Irie and Shuichi Sakai}, title = {"Stubborn" strategy to mitigate remaining cache misses}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {388--391}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753310}, doi = {10.1109/ICCD.2016.7753310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NomuraKIS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanoYLTD16, author = {Vasil Pano and Isikcan Yilmaz and Yuqiao Liu and Baris Taskin and Kapil R. Dandekar}, title = {Wireless Network-on-Chip analysis of propagation technique for on-chip communication}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {400--403}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753313}, doi = {10.1109/ICCD.2016.7753313}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanoYLTD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanoYMT16, author = {Vasil Pano and Isikcan Yilmaz and Ankit More and Baris Taskin}, title = {Energy aware routing of multi-level Network-on-Chip traffic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {480--486}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753330}, doi = {10.1109/ICCD.2016.7753330}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanoYMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PapadimitriouGC16, author = {George Papadimitriou and Dimitris Gizopoulos and Athanasios Chatzidimitriou and Tom Kolan and Anatoly Koyfman and Ronny Morad and Vitali Sokhin}, title = {Unveiling difficult bugs in address translation caching arrays for effective post-silicon validation}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {544--551}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753339}, doi = {10.1109/ICCD.2016.7753339}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PapadimitriouGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PatranabisRVMG16, author = {Sikhar Patranabis and Debapriya Basu Roy and Praveen Kumar Vadnala and Debdeep Mukhopadhyay and Santosh Ghosh}, title = {Shuffling across rounds: {A} lightweight strategy to counter side-channel attacks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {440--443}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753323}, doi = {10.1109/ICCD.2016.7753323}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PatranabisRVMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PeterG16, author = {Steffen Peter and Tony Givargis}, title = {Towards a timing attack aware high-level synthesis of integrated circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {452--455}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753326}, doi = {10.1109/ICCD.2016.7753326}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PeterG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PetersFPC16, author = {Nadja Peters and Dominik Fuss and Sangyoung Park and Samarjit Chakraborty}, title = {Frame-based and thread-based power management for mobile games on {HMP} platforms}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {169--176}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753277}, doi = {10.1109/ICCD.2016.7753277}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PetersFPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PonugotiM16, author = {Mounika Ponugoti and Aleksandar Milenkovic}, title = {Exploiting cache coherence for effective on-the-fly data tracing in multicores}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {312--319}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753295}, doi = {10.1109/ICCD.2016.7753295}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PonugotiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PossignoloESR16, author = {Rafael Trapani Possignolo and Elnaz Ebrahimi and Haven Blake Skinner and Jose Renau}, title = {Fluid Pipelines: Elastic circuitry meets Out-of-Order execution}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {233--240}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753285}, doi = {10.1109/ICCD.2016.7753285}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PossignoloESR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiuLGZWXLX16, author = {Keni Qiu and Junpeng Luo and Zhiyao Gong and Weigong Zhang and Jing Wang and Yuanchao Xu and Tao Li and Chun Jason Xue}, title = {Refresh-aware loop scheduling for high performance low power volatile {STT-RAM}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {209--216}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753282}, doi = {10.1109/ICCD.2016.7753282}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiuLGZWXLX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiuNZWWXL16, author = {Keni Qiu and Yuanhui Ni and Weigong Zhang and Jing Wang and Xiaoqiang Wu and Chun Jason Xue and Tao Li}, title = {An adaptive Non-Uniform Loop Tiling for DMA-based bulk data transfers on many-core processor}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753255}, doi = {10.1109/ICCD.2016.7753255}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiuNZWWXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanaCHC16, author = {Manish Rana and Ramon Canal and Jie Han and Bruce F. Cockburn}, title = {{SRAM} memory margin probability failure estimation using Gaussian Process regression}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {448--451}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753325}, doi = {10.1109/ICCD.2016.7753325}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanaCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RapartiKP16, author = {Venkata Yaswanth Raparti and Nishit Ashok Kapadia and Sudeep Pasricha}, title = {{CHARM:} {A} checkpoint-based resource management framework for reliable multicore computing in the dark silicon era}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {201--208}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753281}, doi = {10.1109/ICCD.2016.7753281}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RapartiKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RayHBB16, author = {Sandip Ray and Tamzidul Hoque and Abhishek Basak and Swarup Bhunia}, title = {The power play: Security-energy trade-offs in the IoT regime}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {690--693}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753360}, doi = {10.1109/ICCD.2016.7753360}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RayHBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SatpathyMSK16, author = {Sudhir Satpathy and Sanu Mathew and Vikram B. Suresh and Ram Krishnamurthy}, title = {Ultra-low energy security circuits for IoT applications}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {682--685}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753358}, doi = {10.1109/ICCD.2016.7753358}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SatpathyMSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SchlaisL16, author = {David J. Schlais and Mikko H. Lipasti}, title = {{BADGR:} {A} practical {GHR} implementation for {TAGE} branch predictors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {536--543}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753338}, doi = {10.1109/ICCD.2016.7753338}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SchlaisL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SembrantHB16, author = {Andreas Sembrant and Erik Hagersten and David Black{-}Schaffer}, title = {Data placement across the cache hierarchy: Minimizing data movement with reuse-aware placement}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753269}, doi = {10.1109/ICCD.2016.7753269}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SembrantHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShaoWW16, author = {Airan Shao and Dongsheng Wang and Haixia Wang}, title = {Pull-off buffer: Borrowing cache space to avoid deadlock for fault-tolerant NoC routing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {464--471}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753328}, doi = {10.1109/ICCD.2016.7753328}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShaoWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShiWGX16, author = {Xin Shi and Fei Wu and Xidong Guan and Changsheng Xie}, title = {Error behaviors testing with temperature and magnetism dependency for {MRAM}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {356--359}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753302}, doi = {10.1109/ICCD.2016.7753302}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShiWGX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShuT16, author = {Wei Shu and Nian{-}Feng Tzeng}, title = {Relinquishment coherence for enhancing directory efficiency in chip multiprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {372--375}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753306}, doi = {10.1109/ICCD.2016.7753306}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShuT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongLLLC16, author = {Chang Song and Beiye Liu and Chenchen Liu and Hai Li and Yiran Chen}, title = {Design techniques of eNVM-enabled neuromorphic computing systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {674--677}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753356}, doi = {10.1109/ICCD.2016.7753356}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanKK16, author = {Sudarshan Srinivasan and Israel Koren and Sandip Kundu}, title = {Improving performance per Watt of non-monotonic Multicore Processors via bottleneck-based online program phase classification}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {528--535}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753337}, doi = {10.1109/ICCD.2016.7753337}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SuiYTLC16, author = {Yan Sui and Chun Yang and Dong Tong and Xianhua Liu and Xu Cheng}, title = {{MFAP:} Fair Allocation between fully backlogged and non-fully backlogged applications}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {576--583}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753343}, doi = {10.1109/ICCD.2016.7753343}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SuiYTLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TaassoriSB16, author = {Meysam Taassori and Ali Shafiee and Rajeev Balasubramonian}, title = {Understanding and alleviating intra-die and intra-DIMM parameter variation in the memory system}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {217--224}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753283}, doi = {10.1109/ICCD.2016.7753283}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TaassoriSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TariqW16, author = {Umair Ullah Tariq and Hui Wu}, title = {Energy-aware scheduling of conditional task graphs with deadlines on MPSoCs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {265--272}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753289}, doi = {10.1109/ICCD.2016.7753289}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TariqW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TingH16, author = {Pai{-}Shun Ting and John P. Hayes}, title = {Isolation-based decorrelation of stochastic circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753265}, doi = {10.1109/ICCD.2016.7753265}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TingH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TsoutsosM16, author = {Nektarios Georgios Tsoutsos and Michail Maniatakos}, title = {Cryptographic vote-stealing attacks against a partially homomorphic e-voting architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {157--160}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753275}, doi = {10.1109/ICCD.2016.7753275}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TsoutsosM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WaddenBWERSS16, author = {Jack Wadden and Nathan Brunelle and Ke Wang and Mohamed El{-}Hadedy and Gabriel Robins and Mircea Stan and Kevin Skadron}, title = {Generating efficient and high-quality pseudo-random behavior on Automata Processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {622--629}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753349}, doi = {10.1109/ICCD.2016.7753349}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WaddenBWERSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangS16, author = {Liang Wang and Kevin Skadron}, title = {Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753297}, doi = {10.1109/ICCD.2016.7753297}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZ16, author = {Xinying Wang and Joseph Zambreno}, title = {Parallelizing Latent Semantic Indexing using an FPGA-based architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {432--435}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753321}, doi = {10.1109/ICCD.2016.7753321}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuDQ16, author = {Guojin Wu and Yuhui Deng and Xiao Qin}, title = {Using Provenance to boost the Metadata Prefetching in distributed storage systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {80--87}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753264}, doi = {10.1109/ICCD.2016.7753264}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuDQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiangGMT16, author = {Xi{-}Yue Xiang and Saugata Ghose and Onur Mutlu and Nian{-}Feng Tzeng}, title = {A model for Application Slowdown Estimation in on-chip networks and its use for improving system fairness and performance}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {456--463}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753327}, doi = {10.1109/ICCD.2016.7753327}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiangGMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaoDMLZ16, author = {Wenjian Xiao and Huanqing Dong and Liuying Ma and Zhenjun Liu and Qiang Zhang}, title = {{HS-BAS:} {A} hybrid storage system based on band awareness of Shingled Write Disk}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753262}, doi = {10.1109/ICCD.2016.7753262}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiaoDMLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangM16, author = {Ping{-}Lin Yang and Malgorzata Marek{-}Sadowska}, title = {A fast, fully verifiable, and hardware predictable {ASIC} design methodology}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {364--367}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753304}, doi = {10.1109/ICCD.2016.7753304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YantirFEK16, author = {Hasan Erdem Yantir and Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Process variations-aware resistive associative processor design}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {49--55}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753260}, doi = {10.1109/ICCD.2016.7753260}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YantirFEK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoM0EW16, author = {Xia Zhao and Sheng Ma and Chen Li and Lieven Eeckhout and Zhiying Wang}, title = {A heterogeneous low-cost and low-latency Ring-Chain network for GPGPUs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {472--479}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753329}, doi = {10.1109/ICCD.2016.7753329}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoM0EW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouLLFFC16, author = {Ching Zhou and Yu{-}Shiang Lin and Pong{-}Fei Lu and Bruce M. Fleischer and David J. Frank and Leland Chang}, title = {Synthesis design strategies for energy-efficient microprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753267}, doi = {10.1109/ICCD.2016.7753267}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouLLFFC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhuARZ16, author = {Xian Zhu and Mihir Awatramani and Diane T. Rover and Joseph Zambreno}, title = {{ONAC:} Optimal number of active cores detector for energy efficient {GPU} computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {512--519}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753335}, doi = {10.1109/ICCD.2016.7753335}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhuARZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZongX16, author = {Wen Zong and Qiang Xu}, title = {{DOART:} {A} low-power and low-latency Network-on-Chip}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {352--355}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753301}, doi = {10.1109/ICCD.2016.7753301}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZongX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2016, title = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7742853/proceeding}, isbn = {978-1-5090-5142-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.