Search dblp for Publications

export results for "toc:db/conf/iccd/iccd2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccd/AbdullaNMA09,
  author       = {Shakeel S. Abdulla and
                  Haewoon Nam and
                  Mark McDermot and
                  Jacob A. Abraham},
  title        = {A high throughput {FFT} processor with no multipliers},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {485--490},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413113},
  doi          = {10.1109/ICCD.2009.5413113},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AbdullaNMA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AhmedM09,
  author       = {Fahad Ahmed and
                  Linda S. Milor},
  title        = {Reliable cache design with detection of gate oxide breakdown using
                  {BIST}},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {366--371},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413131},
  doi          = {10.1109/ICCD.2009.5413131},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AhmedM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AhnYB09,
  author       = {Youngwoo Ahn and
                  Inchoon Yeo and
                  Riccardo Bettati},
  title        = {Efficient calibration of thermal models based on application behavior},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {41--46},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413179},
  doi          = {10.1109/ICCD.2009.5413179},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AhnYB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Al-MaashriSDNX09,
  author       = {Ahmed Al{-}Maashri and
                  Guangyu Sun and
                  Xiangyu Dong and
                  Vijay Narayanan and
                  Yuan Xie},
  title        = {3D {GPU} architecture using cache stacking: Performance, cost, power
                  and thermal analysis},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {254--259},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413147},
  doi          = {10.1109/ICCD.2009.5413147},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Al-MaashriSDNX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AminTA09,
  author       = {Nada Amin and
                  William Thies and
                  Saman P. Amarasinghe},
  title        = {Computer-aided design for microfluidic chips based on multilayer soft
                  lithography},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {2--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413185},
  doi          = {10.1109/ICCD.2009.5413185},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AminTA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AndersonTWCS09,
  author       = {Michael J. Anderson and
                  Chuck Tsen and
                  Liang{-}Kai Wang and
                  Katherine Compton and
                  Michael J. Schulte},
  title        = {Performance analysis of decimal floating-point libraries and its impact
                  on decimal hardware and software solutions},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {465--471},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413114},
  doi          = {10.1109/ICCD.2009.5413114},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AndersonTWCS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AraniHZCECP09,
  author       = {Amirali Shayan Arani and
                  Xiang Hu and
                  Wanping Zhang and
                  Chung{-}Kuan Cheng and
                  A. Ege Engin and
                  Xiaoming Chen and
                  Mikhail Popovich},
  title        = {3D stacked power distribution considering substrate coupling},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {225--230},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413151},
  doi          = {10.1109/ICCD.2009.5413151},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AraniHZCECP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BabaK09,
  author       = {A. Hakan Baba and
                  Kee Sup Kim},
  title        = {Framework for massively parallel testing at wafer and package test},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {328--334},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413134},
  doi          = {10.1109/ICCD.2009.5413134},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BabaK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BermanK09,
  author       = {Amit Berman and
                  Idit Keidar},
  title        = {Low-overhead error detection for Networks-on-Chip},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {219--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413150},
  doi          = {10.1109/ICCD.2009.5413150},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BermanK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BhojJ09,
  author       = {Ajay N. Bhoj and
                  Niraj K. Jha},
  title        = {Pragmatic design of gated-diode FinFET DRAMs},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {390--397},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413127},
  doi          = {10.1109/ICCD.2009.5413127},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BhojJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BollapalliGGK09,
  author       = {Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {On-chip bidirectional wiring for heavily pipelined systems using network
                  coding},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {131--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413165},
  doi          = {10.1109/ICCD.2009.5413165},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BollapalliGGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChablozH09,
  author       = {Jean{-}Michel Chabloz and
                  Ahmed Hemani},
  title        = {A flexible communication scheme for rationally-related clock frequencies},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {109--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413166},
  doi          = {10.1109/ICCD.2009.5413166},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChablozH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Chakrabarty09,
  author       = {Krishnendu Chakrabarty},
  title        = {Testing bio-chips},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {327},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413137},
  doi          = {10.1109/ICCD.2009.5413137},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Chakrabarty09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChakrabortyC09,
  author       = {Rupsa Chakraborty and
                  Dipanwita Roy Chowdhury},
  title        = {A hierarchical approach towards system level static timing verification
                  of SoCs},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {201--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413155},
  doi          = {10.1109/ICCD.2009.5413155},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChakrabortyC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChatterjeeHNDSCSBGLS09,
  author       = {Abhijit Chatterjee and
                  Donghoon Han and
                  Vishwanath Natarajan and
                  Shyam Kumar Devarakond and
                  Shreyas Sen and
                  Hyun Woo Choi and
                  Rajarajan Senguttuvan and
                  Soumendu Bhattacharya and
                  Abhilash Goyal and
                  Deuk Lee and
                  Madhavan Swaminathan},
  title        = {Iterative built-in testing and tuning of mixed-signal/RF systems},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {319--326},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413136},
  doi          = {10.1109/ICCD.2009.5413136},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChatterjeeHNDSCSBGLS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChhabraRS09,
  author       = {Siddhartha Chhabra and
                  Brian Rogers and
                  Yan Solihin},
  title        = {{SHIELDSTRAP:} Making secure processors truly secure},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {289--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413140},
  doi          = {10.1109/ICCD.2009.5413140},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChhabraRS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CuiS09,
  author       = {Hanyu Cui and
                  Suleyman Sair},
  title        = {Extending data prefetching to cope with context switch misses},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {260--267},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413144},
  doi          = {10.1109/ICCD.2009.5413144},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CuiS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DubachJO09,
  author       = {Christophe Dubach and
                  Timothy M. Jones and
                  Michael F. P. O'Boyle},
  title        = {Rapid early-stage microarchitecture design using predictive models},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {297--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413141},
  doi          = {10.1109/ICCD.2009.5413141},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DubachJO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuboisSM09,
  author       = {Matthieu Dubois and
                  Haralampos{-}G. D. Stratigopoulos and
                  Salvador Mir},
  title        = {Hierarchical parametric test metrics estimation: {A} {\(\Sigma\)}{\(\Delta\)}
                  converter {BIST} case study},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {78--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413173},
  doi          = {10.1109/ICCD.2009.5413173},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DuboisSM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/EdwardsKLLPS09,
  author       = {Stephen A. Edwards and
                  Sungjun Kim and
                  Edward A. Lee and
                  Isaac Liu and
                  Hiren D. Patel and
                  Martin Schoeberl},
  title        = {A disruptive computer design idea: Architectures with repeatable timing},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {54--59},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413177},
  doi          = {10.1109/ICCD.2009.5413177},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/EdwardsKLLPS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FanKG09,
  author       = {Xin Fan and
                  Milos Krstic and
                  Eckhard Grass},
  title        = {Analysis and optimization of pausible clocking based {GALS} design},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {358--365},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413130},
  doi          = {10.1109/ICCD.2009.5413130},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FanKG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FarazmandT09,
  author       = {Navid Farazmand and
                  Mehdi Baradaran Tahoori},
  title        = {Online multiple error detection in crossbar nano-architectures},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {335--342},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413135},
  doi          = {10.1109/ICCD.2009.5413135},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FarazmandT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FernandesV09,
  author       = {Romana Fernandes and
                  Ranga Vemuri},
  title        = {Accurate estimation of vector dependent leakage power in the presence
                  of process variations},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {451--458},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413116},
  doi          = {10.1109/ICCD.2009.5413116},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FernandesV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FuCLL09,
  author       = {De{-}Shiun Fu and
                  Ying{-}Zhih Chaung and
                  Yen{-}Hung Lin and
                  Yih{-}Lang Li},
  title        = {Topology-driven cell layout migration with collinear constraints},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {439--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413118},
  doi          = {10.1109/ICCD.2009.5413118},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FuCLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GandikotaBS09,
  author       = {Ravikishore Gandikota and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Interconnect performance corners considering crosstalk noise},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {231--237},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413148},
  doi          = {10.1109/ICCD.2009.5413148},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GandikotaBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GargK09,
  author       = {Rajesh Garg and
                  Sunil P. Khatri},
  title        = {3D simulation and analysis of the radiation tolerance of voltage scaled
                  digital circuit},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {498--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413111},
  doi          = {10.1109/ICCD.2009.5413111},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GargK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GharehbaghiF09,
  author       = {Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  title        = {Transaction-based debugging of system-on-chips with patterns},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {186--192},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413157},
  doi          = {10.1109/ICCD.2009.5413157},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GharehbaghiF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GuptaAFM09,
  author       = {Shantanu Gupta and
                  Amin Ansari and
                  Shuguang Feng and
                  Scott A. Mahlke},
  title        = {Adaptive online testing for efficient hard fault detection},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {343--349},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413132},
  doi          = {10.1109/ICCD.2009.5413132},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GuptaAFM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HanFDFIN09,
  author       = {Kyungtae Han and
                  Zhen Fang and
                  Paul Diefenbaugh and
                  Richard Forand and
                  Ravi R. Iyer and
                  Donald Newell},
  title        = {Using checksum to reduce power consumption of display systems for
                  low-motion content},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {47--53},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413176},
  doi          = {10.1109/ICCD.2009.5413176},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/HanFDFIN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HePC09,
  author       = {Chun He and
                  Alexandros Papakonstantinou and
                  Deming Chen},
  title        = {A novel SoC architecture on {FPGA} for ultra fast face detection},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {412--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413122},
  doi          = {10.1109/ICCD.2009.5413122},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HePC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuLWCSL09,
  author       = {Weiwu Hu and
                  Qi Liu and
                  Jian Wang and
                  Songsong Cai and
                  Menghao Su and
                  Xiaoyu Li},
  title        = {Efficient binary translation system with low hardware cost},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {305--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413138},
  doi          = {10.1109/ICCD.2009.5413138},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuLWCSL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangH09,
  author       = {Tsung{-}Wei Huang and
                  Tsung{-}Yi Ho},
  title        = {A fast routability- and performance-driven droplet routing algorithm
                  for digital microfluidic biochips},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {445--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413119},
  doi          = {10.1109/ICCD.2009.5413119},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangL09,
  author       = {Jiawei Huang and
                  John C. Lach},
  title        = {ColSpace: Towards algorithm/implementation co-optimization},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {404--411},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413125},
  doi          = {10.1109/ICCD.2009.5413125},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ImaiYN09,
  author       = {Masashi Imai and
                  Tomohiro Yoneda and
                  Takashi Nanya},
  title        = {N-way ring and square arbiters},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {125--130},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413164},
  doi          = {10.1109/ICCD.2009.5413164},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ImaiYN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JangYKSC09,
  author       = {Hyung Beom Jang and
                  Ikroh Yoon and
                  Cheol Hong Kim and
                  Seungwon Shin and
                  Sung Woo Chung},
  title        = {The impact of liquid cooling on 3D multi-core processors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {472--478},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413115},
  doi          = {10.1109/ICCD.2009.5413115},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JangYKSC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JiangP09,
  author       = {Weirong Jiang and
                  Viktor K. Prasanna},
  title        = {Reducing dynamic power dissipation in pipelined forwarding engines},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {144--149},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413163},
  doi          = {10.1109/ICCD.2009.5413163},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JiangP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JungK09,
  author       = {Jongyoon Jung and
                  Taewhan Kim},
  title        = {Timing variation-aware high-level synthesis considering accurate yield
                  computation},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {207--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413152},
  doi          = {10.1109/ICCD.2009.5413152},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JungK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KarimiMTJM09,
  author       = {Naghmeh Karimi and
                  Michail Maniatakos and
                  Chandra Tirumurti and
                  Abhijit Jas and
                  Yiorgos Makris},
  title        = {Impact analysis of performance faults in modern microprocessors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {91--96},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413171},
  doi          = {10.1109/ICCD.2009.5413171},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KarimiMTJM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KarkalaBGK09,
  author       = {Vinay Karkala and
                  Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Sunil P. Khatri},
  title        = {A {PLL} design based on a standing wave resonant oscillator},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {511--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413109},
  doi          = {10.1109/ICCD.2009.5413109},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KarkalaBGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhireM09,
  author       = {Sourabh Khire and
                  Saibal Mukhopadhyay},
  title        = {On improving the algorithmic robustness of a low-power {FIR} filter},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {384--389},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413126},
  doi          = {10.1109/ICCD.2009.5413126},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhireM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KinsmanN09,
  author       = {Adam B. Kinsman and
                  Nicola Nicolici},
  title        = {Computational bit-width allocation for operations in vector calculus},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {433--438},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413121},
  doi          = {10.1109/ICCD.2009.5413121},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KinsmanN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KohWCL09,
  author       = {Cheng{-}Kok Koh and
                  Weng{-}Fai Wong and
                  Yiran Chen and
                  Hai Li},
  title        = {The salvage cache: {A} fault-tolerant cache architecture for next-generation
                  memory technologies},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {268--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413145},
  doi          = {10.1109/ICCD.2009.5413145},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KohWCL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarBGSK09,
  author       = {Rajesh Kumar and
                  Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Tarun Soni and
                  Sunil P. Khatri},
  title        = {A robust pulsed flip-flop and its use in enhanced scan design},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {97--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413168},
  doi          = {10.1109/ICCD.2009.5413168},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarBGSK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarKGJK09,
  author       = {Rajesh Kumar and
                  Vinay Karkala and
                  Rajesh Garg and
                  Tanuj Jindal and
                  Sunil P. Khatri},
  title        = {A radiation tolerant Phase Locked Loop design for digital electronics},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {505--510},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413108},
  doi          = {10.1109/ICCD.2009.5413108},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarKGJK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeJ09,
  author       = {Chun{-}Yi Lee and
                  Niraj K. Jha},
  title        = {FinFET-based dynamic power management of on-chip interconnection networks
                  through adaptive back-gate biasing},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {350--357},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413133},
  doi          = {10.1109/ICCD.2009.5413133},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeMB09,
  author       = {Jason D. Lee and
                  Rabi N. Mahapatra and
                  Praveen Bhojwani},
  title        = {A distributed concurrent on-line test scheduling protocol for many-core
                  NoC-based systems},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {179--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413156},
  doi          = {10.1109/ICCD.2009.5413156},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeMB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeZFSIN09,
  author       = {Seung Eun Lee and
                  Yong Zhang and
                  Zhen Fang and
                  Sadagopan Srinivasan and
                  Ravi Iyer and
                  Donald Newell},
  title        = {Accelerating mobile augmented reality on a handheld platform},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {419--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413123},
  doi          = {10.1109/ICCD.2009.5413123},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeZFSIN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeinweberPW09,
  author       = {Lawrence Leinweber and
                  Christos A. Papachristou and
                  Francis G. Wolff},
  title        = {Efficient architectures for elliptic curve cryptography processors
                  for {RFID}},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {372--377},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413128},
  doi          = {10.1109/ICCD.2009.5413128},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeinweberPW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiangLWB09,
  author       = {Xiaoyao Liang and
                  Benjamin C. Lee and
                  Gu{-}Yeon Wei and
                  David M. Brooks},
  title        = {Design and test strategies for microarchitectural post-fabrication
                  tuning},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {84--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413170},
  doi          = {10.1109/ICCD.2009.5413170},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiangLWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinF09,
  author       = {Hai Lin and
                  Yunsi Fei},
  title        = {Resource sharing of pipelined custom hardware extension for energy-efficient
                  application-specific instruction set processor design},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {158--165},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413161},
  doi          = {10.1109/ICCD.2009.5413161},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiraMG09,
  author       = {Javier Lira and
                  Carlos Molina and
                  Antonio Gonz{\'{a}}lez},
  title        = {{LRU-PEA:} {A} smart replacement policy for non-uniform cache architectures
                  on chip multiprocessors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {275--281},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413142},
  doi          = {10.1109/ICCD.2009.5413142},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiraMG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LovinLLBW09,
  author       = {Kristen Lovin and
                  Benjamin C. Lee and
                  Xiaoyao Liang and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {Empirical performance models for 3T1D memories},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {398--403},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413124},
  doi          = {10.1109/ICCD.2009.5413124},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LovinLLBW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MazloumanMK09,
  author       = {Shahrzad Jalali Mazlouman and
                  Alireza Mahanfar and
                  Bozena Kaminska},
  title        = {Mid-range wireless energy transfer using inductive resonance for wireless
                  sensors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {517--522},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413106},
  doi          = {10.1109/ICCD.2009.5413106},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MazloumanMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MeenderinckJ09,
  author       = {Cor Meenderinck and
                  Ben H. H. Juurlink},
  title        = {Intra-vector {SIMD} instructions for core specialization},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {479--484},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413112},
  doi          = {10.1109/ICCD.2009.5413112},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MeenderinckJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MengS09,
  author       = {Jiayuan Meng and
                  Kevin Skadron},
  title        = {Avoiding cache thrashing due to private data placement in last-level
                  cache for manycore scaling},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {282--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413143},
  doi          = {10.1109/ICCD.2009.5413143},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MengS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MohyuddinPP09,
  author       = {Nasir Mohyuddin and
                  Kimish Patel and
                  Massoud Pedram},
  title        = {Deterministic clock gating to eliminate wasteful activity due to wrong-path
                  instructions in out-of-order superscalar processors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {166--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413158},
  doi          = {10.1109/ICCD.2009.5413158},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MohyuddinPP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NaharBCW09,
  author       = {Amit Nahar and
                  Kenneth M. Butler and
                  John M. Carulli Jr. and
                  Charles Weinberger},
  title        = {Quality improvement and cost reduction using statistical outlier methods},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {64--69},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413175},
  doi          = {10.1109/ICCD.2009.5413175},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NaharBCW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NalamBRMC09,
  author       = {Satyanand Nalam and
                  Mudit Bhargava and
                  Kyle Ringgenberg and
                  Ken Mai and
                  Benton H. Calhoun},
  title        = {A Technology-Agnostic Simulation Environment {(TASE)} for iterative
                  custom {IC} design across processes},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {523--528},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413107},
  doi          = {10.1109/ICCD.2009.5413107},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NalamBRMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NoiaCX09,
  author       = {Brandon Noia and
                  Krishnendu Chakrabarty and
                  Yuan Xie},
  title        = {Test-wrapper optimization for embedded cores in TSV-based three-dimensional
                  SOCs},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {70--77},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413172},
  doi          = {10.1109/ICCD.2009.5413172},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NoiaCX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PalomarJN09,
  author       = {Oscar Palomar and
                  Toni Juan and
                  Juan J. Navarro},
  title        = {Reusing cached schedules in an out-of-order processor with in-order
                  issue logic},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {246--253},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413146},
  doi          = {10.1109/ICCD.2009.5413146},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PalomarJN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ParkK09,
  author       = {In{-}Cheol Park and
                  Tae{-}Hwan Kim},
  title        = {Multiplier-less and table-less linear approximation for square and
                  square-root},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {378--383},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413129},
  doi          = {10.1109/ICCD.2009.5413129},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ParkK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PatilM09,
  author       = {Nishant Patil and
                  Subhasish Mitra},
  title        = {Imperfection-immune Carbon Nanotube digital {VLSI}},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413184},
  doi          = {10.1109/ICCD.2009.5413184},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PatilM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PetitUSL09,
  author       = {Salvador Petit and
                  Rafael Ubal and
                  Julio Sahuquillo and
                  Pedro L{\'{o}}pez},
  title        = {A power-aware hybrid {RAM-CAM} renaming mechanism for fast recovery},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {150--157},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413160},
  doi          = {10.1109/ICCD.2009.5413160},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PetitUSL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PuticDCL09,
  author       = {Mateja Putic and
                  Liang Di and
                  Benton H. Calhoun and
                  John C. Lach},
  title        = {Panoptic {DVS:} {A} fine-grained dynamic voltage scaling framework
                  for energy scalable {CMOS} design},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {491--497},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413110},
  doi          = {10.1109/ICCD.2009.5413110},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PuticDCL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RodriguesSK09,
  author       = {Rance Rodrigues and
                  Aswin Sreedhar and
                  Sandip Kundu},
  title        = {Optical lithography simulation using wavelet transform},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {427--432},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413120},
  doi          = {10.1109/ICCD.2009.5413120},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RodriguesSK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RoyRK09,
  author       = {Soumyaroop Roy and
                  Nagarajan Ranganathan and
                  Srinivas Katkoori},
  title        = {Compiler-directed leakage reduction in embedded microprocessors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {35--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413178},
  doi          = {10.1109/ICCD.2009.5413178},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RoyRK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Shimizu09,
  author       = {Naohiko Shimizu},
  title        = {Reincarnate historic systems on {FPGA} with novel design methodology},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {10--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413182},
  doi          = {10.1109/ICCD.2009.5413182},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Shimizu09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SinhaXVDBYC09,
  author       = {Saurabh Sinha and
                  Wei Xu and
                  Jyothi Bhaskarr Velamala and
                  Tawab Dastagir and
                  Bertan Bakkaloglu and
                  Hongbin Yu and
                  Yu Cao},
  title        = {Enabling resonant clock distribution with scaled on-chip magnetic
                  inductors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {103--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413169},
  doi          = {10.1109/ICCD.2009.5413169},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/SinhaXVDBYC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SreedharK09,
  author       = {Aswin Sreedhar and
                  Sandip Kundu},
  title        = {Statistical timing analysis based on simulation of lithographic process},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {29--34},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413181},
  doi          = {10.1109/ICCD.2009.5413181},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SreedharK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TanakaA09,
  author       = {Yusuke Tanaka and
                  Hideki Ando},
  title        = {Reducing register file size through instruction pre-execution enhanced
                  by value prediction},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {238--245},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413149},
  doi          = {10.1109/ICCD.2009.5413149},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TanakaA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ThackerMJL09,
  author       = {Robert A. Thacker and
                  Chris J. Myers and
                  Kevin R. Jones and
                  Scott Little},
  title        = {A new verification method for embedded systems},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {193--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413154},
  doi          = {10.1109/ICCD.2009.5413154},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ThackerMJL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ToosizadehZZ09,
  author       = {Navid Toosizadeh and
                  Safwat G. Zaky and
                  Jianwen Zhu},
  title        = {VariPipe: Low-overhead variable-clock synchronous pipelines},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {117--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413167},
  doi          = {10.1109/ICCD.2009.5413167},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ToosizadehZZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/UzelacMMB09,
  author       = {Vladimir Uzelac and
                  Aleksandar Milenkovic and
                  Milena Milenkovic and
                  Martin Burtscher},
  title        = {Real-time, unobtrusive, and efficient program execution tracing with
                  stream caches and last stream predictors},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {173--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413159},
  doi          = {10.1109/ICCD.2009.5413159},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/UzelacMMB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Vishkin09,
  author       = {Uzi Vishkin},
  title        = {Algorithmic approach to designing an easy-to-program system: Can it
                  lead to a HW-enhanced programmer's workflow add-on?},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {60--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413174},
  doi          = {10.1109/ICCD.2009.5413174},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Vishkin09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangTC09,
  author       = {Renshen Wang and
                  Takumi Okamoto and
                  Chung{-}Kuan Cheng},
  title        = {Symmetrical buffer placement in clock trees for minimal skew immune
                  to global on-chip variations},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {23--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413180},
  doi          = {10.1109/ICCD.2009.5413180},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangTC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WeaverM09,
  author       = {Vincent M. Weaver and
                  Sally A. McKee},
  title        = {Code density concerns for new architectures},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {459--464},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413117},
  doi          = {10.1109/ICCD.2009.5413117},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WeaverM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WooG09,
  author       = {Keven L. Woo and
                  Matthew R. Guthaus},
  title        = {Fault-tolerant synthesis using non-uniform redundancy},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {213--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413153},
  doi          = {10.1109/ICCD.2009.5413153},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WooG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XieTC09,
  author       = {Zichao Xie and
                  Dong Tong and
                  Xu Cheng},
  title        = {{WHOLE:} {A} low energy I-Cache with separate way history},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {137--143},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413162},
  doi          = {10.1109/ICCD.2009.5413162},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XieTC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuS09,
  author       = {Yang Xu and
                  Ken S. Stevens},
  title        = {Automatic synthesis of computation interference constraints for relative
                  timing verification},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {16--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413183},
  doi          = {10.1109/ICCD.2009.5413183},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YilmazO09,
  author       = {Ender Yilmaz and
                  Sule Ozev},
  title        = {Defect-based test optimization for analog/RF circuits for near-zero
                  {DPPM} applications},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {313--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413139},
  doi          = {10.1109/ICCD.2009.5413139},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YilmazO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccd/2009,
  title        = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5406656/proceeding},
  isbn         = {978-1-4244-5029-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics