Search dblp for Publications

export results for "toc:db/conf/cases/cases2003.bht:"

 download as .bib file

@inproceedings{DBLP:conf/cases/AngioliniBC03,
  author       = {Federico Angiolini and
                  Luca Benini and
                  Alberto Caprara},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Polynomial-time algorithm for on-chip scratchpad memory partitioning},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {318--326},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951751},
  doi          = {10.1145/951710.951751},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/AngioliniBC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BaleaniFMSPP03,
  author       = {Massimo Baleani and
                  Alberto Ferrari and
                  Leonardo Mangeruca and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Maurizio Peri and
                  Saverio Pezzini},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Fault-tolerant platforms for automotive safety-critical applications},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {170--177},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951734},
  doi          = {10.1145/951710.951734},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/BaleaniFMSPP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BiswasD03,
  author       = {Partha Biswas and
                  Nikil D. Dutt},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Reducing code size for heterogeneous-connectivity-based {VLIW} DSPs
                  through synthesis of instruction set extensions},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {104--112},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951726},
  doi          = {10.1145/951710.951726},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/BiswasD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ChenKSI03,
  author       = {Guilin Chen and
                  Mahmut T. Kandemir and
                  Hendra Saputra and
                  Mary Jane Irwin},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Exploiting bank locality in multi-bank memories},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {287--297},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951748},
  doi          = {10.1145/951710.951748},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ChenKSI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ColavinR03,
  author       = {Osvaldo Colavin and
                  Davide Rizzo},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {A scalable wide-issue clustered {VLIW} with a reconfigurable interconnect},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {148--158},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951731},
  doi          = {10.1145/951710.951731},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ColavinR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/DarteSV03,
  author       = {Alain Darte and
                  Robert Schreiber and
                  Gilles Villard},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Lattice-based memory allocation},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {298--308},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951749},
  doi          = {10.1145/951710.951749},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/DarteSV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ErmedahlSE03,
  author       = {Andreas Ermedahl and
                  Friedhelm Stappert and
                  Jakob Engblom},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Clustered calculation of worst-case execution times},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {51--62},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951720},
  doi          = {10.1145/951710.951720},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ErmedahlSE03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/Fisher03,
  author       = {Joseph A. Fisher},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Moving from embedded systems to embedded computing},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951711},
  doi          = {10.1145/951710.951711},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/Fisher03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/GoodwinP03,
  author       = {David Goodwin and
                  Darin Petkov},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Automatic generation of application specific processors},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {137--147},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951730},
  doi          = {10.1145/951710.951730},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/GoodwinP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/Gordon-RossV03,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Frequent loop detection using efficient non-intrusive on-chip hardware},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {117--124},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951728},
  doi          = {10.1145/951710.951728},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/Gordon-RossV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/HunterM03,
  author       = {Hillery C. Hunter and
                  Jaime H. Moreno},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {A new look at exploiting data parallelism in embedded systems},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {159--169},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951733},
  doi          = {10.1145/951710.951733},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/HunterM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/KrishnaMA03,
  author       = {Rajeev Krishna and
                  Scott A. Mahlke and
                  Todd M. Austin},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Architectural optimizations for low-power, real-time speech recognition},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {220--231},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951740},
  doi          = {10.1145/951710.951740},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/KrishnaMA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/KulkarniGSK03,
  author       = {Chidamber Kulkarni and
                  Matthias Gries and
                  Christian Sauer and
                  Kurt Keutzer},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Programming challenges in network processor deployment},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {178--187},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951735},
  doi          = {10.1145/951710.951735},
  timestamp    = {Thu, 19 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/KulkarniGSK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/LauSSC03,
  author       = {Jeremy Lau and
                  Stefan Schoenmackers and
                  Timothy Sherwood and
                  Brad Calder},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Reducing code size with echo instructions},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {84--94},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951724},
  doi          = {10.1145/951710.951724},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/LauSSC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/LiG03,
  author       = {Bengu Li and
                  Rajiv Gupta},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Simple offset assignment in presence of subword data},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {12--23},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951715},
  doi          = {10.1145/951710.951715},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/LiG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/MathewDF03,
  author       = {Binu K. Mathew and
                  Al Davis and
                  Zhen Fang},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {A low-power accelerator for the {SPHINX} 3 speech recognition system},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {210--219},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951739},
  doi          = {10.1145/951710.951739},
  timestamp    = {Wed, 30 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/MathewDF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/MohantyP03,
  author       = {Sumit Mohanty and
                  Viktor K. Prasanna},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {A hierarchical approach for energy efficient application design using
                  heterogeneous embedded systems},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {243--254},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951743},
  doi          = {10.1145/951710.951743},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/MohantyP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/NaishlosBBZ03,
  author       = {Dorit Naishlos and
                  Marina Biberstein and
                  Shay Ben{-}David and
                  Ayal Zaks},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Vectorizing for a SIMdD {DSP} architecture},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {2--11},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951714},
  doi          = {10.1145/951710.951714},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/NaishlosBBZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/NandivadaP03,
  author       = {V. Krishna Nandivada and
                  Jens Palsberg},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Efficient spill code for {SDRAM}},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {24--31},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951716},
  doi          = {10.1145/951710.951716},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/NandivadaP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/NogueraB03,
  author       = {Juanjo Noguera and
                  Rosa M. Badia},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {System-level power-performance trade-offs in task scheduling for dynamically
                  reconfigurable architectures},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {73--83},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951722},
  doi          = {10.1145/951710.951722},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/NogueraB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/OlivaBH03,
  author       = {Dino Oliva and
                  Rainer Buchty and
                  Nevin Heintze},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {{AES} and the cryptonite crypto processor},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {198--209},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951738},
  doi          = {10.1145/951710.951738},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/OlivaBH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/Palem03,
  author       = {Krishna V. Palem},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Energy aware algorithm design via probabilistic computing: from algorithms
                  and models to Moore's law and novel (semiconductor) devices},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {113--116},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951712},
  doi          = {10.1145/951710.951712},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/Palem03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/PoplavkoBBMM03,
  author       = {Peter Poplavko and
                  Twan Basten and
                  Marco Bekooij and
                  Jef L. van Meerbergen and
                  Bart Mesman},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Task-level timing models for guaranteed performance in multiprocessor
                  networks-on-chip},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {63--72},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951721},
  doi          = {10.1145/951710.951721},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/PoplavkoBBMM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/RavindranSMDGMB03,
  author       = {Rajiv A. Ravindran and
                  Robert M. Senger and
                  Eric D. Marsman and
                  Ganesh S. Dasika and
                  Matthew R. Guthaus and
                  Scott A. Mahlke and
                  Richard B. Brown},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Increasing the number of effective registers in a low-power processor
                  using a windowed register file},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {125--136},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951729},
  doi          = {10.1145/951710.951729},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/RavindranSMDGMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/RosS03,
  author       = {Montserrat Ros and
                  Peter Sutton},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Compiler optimization and ordering effects on {VLIW} code compression},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {95--103},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951725},
  doi          = {10.1145/951710.951725},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/RosS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/SureshAYNB03,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar and
                  Laxmi N. Bhuyan},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Power efficient encoding techniques for off-chip data buses},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {267--275},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951745},
  doi          = {10.1145/951710.951745},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/SureshAYNB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/TerechkoTC03,
  author       = {Andrei Sergeevich Terechko and
                  Erwan Le Thenaff and
                  Henk Corporaal},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Cluster assignment of global values for clustered {VLIW} processors},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {32--40},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951717},
  doi          = {10.1145/951710.951717},
  timestamp    = {Fri, 26 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/TerechkoTC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/UdayakumaranB03,
  author       = {Sumesh Udayakumaran and
                  Rajeev Barua},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Compiler-decided dynamic memory allocation for scratch-pad based embedded
                  systems},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {276--286},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951747},
  doi          = {10.1145/951710.951747},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/UdayakumaranB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/VarmaGSCSB03,
  author       = {Ankush Varma and
                  Brinda Ganesh and
                  Mainak Sen and
                  Suchismita Roy Choudhury and
                  Lakshmi Srinivasan and
                  Bruce L. Jacob},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {A control-theoretic approach to dynamic voltage scheduling},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {255--266},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951744},
  doi          = {10.1145/951710.951744},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/VarmaGSCSB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/VenugopalanGPDMS03,
  author       = {Ramnath Venugopalan and
                  Prasanth Ganesan and
                  Pushkin Peddabachagari and
                  Alexander G. Dean and
                  Frank Mueller and
                  Mihail L. Sichitiu},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Encryption overhead in embedded systems and sensor network nodes:
                  modeling and analysis},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {188--197},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951737},
  doi          = {10.1145/951710.951737},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/VenugopalanGPDMS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/WelchKSTD03,
  author       = {Benjamin J. Welch and
                  Shobhit O. Kanaujia and
                  Adarsh Seetharam and
                  Deepaksrivats Thirumalai and
                  Alexander G. Dean},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Extending {STI} for demanding hard-real-time systems},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {41--50},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951719},
  doi          = {10.1145/951710.951719},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/WelchKSTD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ZhangKSI03,
  author       = {Wei Zhang and
                  Mahmut T. Kandemir and
                  Anand Sivasubramaniam and
                  Mary Jane Irwin},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Performance, energy, and reliability tradeoffs in replicating hot
                  cache lines},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {309--317},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951750},
  doi          = {10.1145/951710.951750},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ZhangKSI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ZhongJ03,
  author       = {Lin Zhong and
                  Niraj K. Jha},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Graphical user interface energy characterization for handheld computers},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {232--242},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951742},
  doi          = {10.1145/951710.951742},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ZhongJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/cases/2003,
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  publisher    = {{ACM}},
  year         = {2003},
  isbn         = {1-58113-676-5},
  timestamp    = {Thu, 22 Apr 2004 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics