Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:streams/conf/ispass:"
@inproceedings{DBLP:conf/ispass/AdilettaTFGABKHLPWB23, author = {Matthew Joseph Adiletta and Jesmin Jahan Tithi and Emmanouil{-}Ioannis Farsarakis and Gerasimos Gerogiannis and Robert Adolf and Robert Benke and Sidharth Kashyap and Samuel Hsia and Kartik Lakhotia and Fabrizio Petrini and Gu{-}Yeon Wei and David Brooks}, title = {Characterizing the Scalability of Graph Convolutional Networks on Intel\({}^{\mbox{{\textregistered}}}\) {PIUMA}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {168--177}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00025}, doi = {10.1109/ISPASS57527.2023.00025}, timestamp = {Wed, 28 Jun 2023 15:42:21 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AdilettaTFGABKHLPWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AmiraskiWHSVH23, author = {Maziar Amiraski and David Werner and Alexander Hankin and Julien Sebot and Kaushik Vaidyanathan and Mark Hempstead}, title = {Boreas: {A} Cost-Effective Mitigation Method for Advanced Hotspots using Machine Learning and Hardware Telemetry}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {295--305}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00036}, doi = {10.1109/ISPASS57527.2023.00036}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AmiraskiWHSVH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BabaieAL23, author = {Maryam Babaie and Ayaz Akram and Jason Lowe{-}Power}, title = {Enabling Design Space Exploration of {DRAM} Caches for Emerging Memory Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {340--342}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00046}, doi = {10.1109/ISPASS57527.2023.00046}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BabaieAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BalajiCJK23, author = {Vignesh Balaji and Neal Clayton Crago and Aamer Jaleel and Stephen W. Keckler}, title = {Community-based Matrix Reordering for Sparse Linear Algebra Optimization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {214--223}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00029}, doi = {10.1109/ISPASS57527.2023.00029}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BalajiCJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BiernackiTDARA23, author = {Lauren Biernacki and Biniyam Mengist Tiruye and Meron Zerihun Demissie and Fitsum Assamnew Andargie and Brandon Reagen and Todd M. Austin}, title = {Exploring the Efficiency of Data-Oblivious Programs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {189--200}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00027}, doi = {10.1109/ISPASS57527.2023.00027}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BiernackiTDARA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChaconGNGJ23, author = {Gino Chacon and Nathan Gober and Krishnendra Nathella and Paul V. Gratz and Daniel A. Jim{\'{e}}nez}, title = {A Characterization of the Effects of Software Instruction Prefetching on an Aggressive Front-end}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {61--70}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00015}, doi = {10.1109/ISPASS57527.2023.00015}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChaconGNGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ColuzziBCL23, author = {Massimo Coluzzi and Amos Brocco and Patrizio Contu and Tiziano Leidi}, title = {A survey and comparison of consistent hashing algorithms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {346--348}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00048}, doi = {10.1109/ISPASS57527.2023.00048}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ColuzziBCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DominguezSanchezR23, author = {Emilio Dom{\'{\i}}nguez{-}S{\'{a}}nchez and Alberto Ros}, title = {MBPlib: Modular Branch Prediction Library}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {71--80}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00016}, doi = {10.1109/ISPASS57527.2023.00016}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DominguezSanchezR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanSHH23, author = {Stijn Eyerman and Sam Van den Steen and Wim Heirman and Ibrahim Hur}, title = {Simulating Wrong-Path Instructions in Decoupled Functional-First Simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {124--133}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00021}, doi = {10.1109/ISPASS57527.2023.00021}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EyermanSHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GhasemiLJ23, author = {Fatemeh Ghasemi and Lukas Liedtke and Magnus Jahre}, title = {{PES:} An Energy and Throughput Model for Energy Harvesting IoT Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {13--23}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00011}, doi = {10.1109/ISPASS57527.2023.00011}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GhasemiLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GilbertWPSE23, author = {Michael Gilbert and Yannan Nellie Wu and Angshuman Parashar and Vivienne Sze and Joel S. Emer}, title = {LoopTree: Enabling Exploration of Fused-layer Dataflow Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {316--318}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00038}, doi = {10.1109/ISPASS57527.2023.00038}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GilbertWPSE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GlintGGSPCMM23, author = {Tom Glint and Aryan Gupta and Daniel Giftson and Gaurav Shah and Vrajesh Patel and Ruchit Chudasama and Sukanya More and Joycee Mekie}, title = {Impact of Optimal Design Point on Performance Metrics of {DNN} accelerators in {FPGA}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {328--330}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00042}, doi = {10.1109/ISPASS57527.2023.00042}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GlintGGSPCMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GlintJAM23, author = {Tom Glint and Chandan Kumar Jha and Manu Awasthi and Joycee Mekie}, title = {Analysis of Conventional, Near-Memory, and In-Memory {DNN} Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {349--351}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00049}, doi = {10.1109/ISPASS57527.2023.00049}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GlintJAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GoLKLYR23, author = {Seokjin Go and Hyunwuk Lee and Junsung Kim and Jiwon Lee and Myung Kuk Yoon and Won Woo Ro}, title = {Early-Adaptor: An Adaptive Framework forProactive {UVM} Memory Management}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {248--258}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00032}, doi = {10.1109/ISPASS57527.2023.00032}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GoLKLYR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GomezLunaGBLCOSM23, author = {Juan G{\'{o}}mez{-}Luna and Yuxin Guo and Sylvan Brocard and Julien Legriel and Remy Cimadomo and Geraldo F. Oliveira and Gagandeep Singh and Onur Mutlu}, title = {Evaluating Machine LearningWorkloads on Memory-Centric Computing Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {35--49}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00013}, doi = {10.1109/ISPASS57527.2023.00013}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GomezLunaGBLCOSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HankinPMBW23, author = {Alexander Hankin and Lillian Pentecost and Dongmoon Min and David Brooks and Gu{-}Yeon Wei}, title = {Is the Future Cold or Tall? Design Space Exploration of Cryogenic and 3D Embedded Cache Memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {134--144}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00022}, doi = {10.1109/ISPASS57527.2023.00022}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HankinPMBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangLSWLK23, author = {Jinghan Huang and Jiaqi Lou and Yan Sun and Tianchen Wang and Eun Kyung Lee and Nam Sung Kim}, title = {Analyzing Energy Efficiency of a Server with a SmartNIC under {SLO} Constraints}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {334--336}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00044}, doi = {10.1109/ISPASS57527.2023.00044}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HuangLSWLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ItemOGSGM23, author = {Maurus Item and Geraldo F. Oliveira and Juan G{\'{o}}mez{-}Luna and Mohammad Sadrosadati and Yuxin Guo and Onur Mutlu}, title = {TransPimLib: Efficient Transcendental Functions for Processing-in-Memory Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {235--247}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00031}, doi = {10.1109/ISPASS57527.2023.00031}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ItemOGSGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JeongSTDZAKK23, author = {Geonhwa Jeong and Bikash Sharma and Nick Terrell and Abhishek Dhanotia and Zhiwei Zhao and Niket Agarwal and Arun Kejariwal and Tushar Krishna}, title = {Characterization of Data Compression in Datacenters}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {1--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00010}, doi = {10.1109/ISPASS57527.2023.00010}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JeongSTDZAKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JinV23, author = {Zheming Jin and Jeffrey S. Vetter}, title = {A Benchmark Suite for Improving Performance Portability of the {SYCL} Programming Model}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {325--327}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00041}, doi = {10.1109/ISPASS57527.2023.00041}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JinV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KordelasSVMD23, author = {Athanasios Kordelas and Thanasis Spyrou and Spyros Voulgaris and Vasileios Megalooikonomou and Nikos Deligiannis}, title = {{KORDI:} {A} Framework for Real-Time Performance and Cost Optimization of Apache Spark Streaming}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {337--339}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00045}, doi = {10.1109/ISPASS57527.2023.00045}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KordelasSVMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KresselCGL23, author = {John Alistair Kressel and Guillermo Callaghan and Cosmin Gorgovan and Mikel Luj{\'{a}}n}, title = {Evaluating the Impact of Optimizations for Dynamic Binary Modification on 64-bit {RISC-V}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {81--91}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00017}, doi = {10.1109/ISPASS57527.2023.00017}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KresselCGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KynigosNPL23, author = {Markos Kynigos and Javier Navaridas and Jose Antonio Pascual and Mikel Luj{\'{a}}n}, title = {A Novel Simulation Methodology for Silicon Photonic Switching Fabrics}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {114--123}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00020}, doi = {10.1109/ISPASS57527.2023.00020}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KynigosNPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiSJ23, author = {Ying Li and Yifan Sun and Adwait Jog}, title = {A Regression-based Model for End-to-End Latency Prediction for {DNN} Execution on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {343--345}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00047}, doi = {10.1109/ISPASS57527.2023.00047}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuZGZ23, author = {Zhuren Liu and Shouzhe Zhang and Justin Garrigus and Hui Zhao}, title = {Genomics-GPU: {A} Benchmark Suite for GPU-accelerated Genome Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {178--188}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00026}, doi = {10.1109/ISPASS57527.2023.00026}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiuZGZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MaXW23, author = {Jiaao Ma and Ceyu Xu and Lisa Wu Wills}, title = {PyTFHE: An End-to-End Compilation and Execution Framework for Fully Homomorphic Encryption Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {24--34}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00012}, doi = {10.1109/ISPASS57527.2023.00012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MaXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MoolchandaniKRVEP23, author = {Diksha Moolchandani and Joyjit Kundu and Frederik Ruelens and Peter Vrancx and Timon Evenblij and Manu Perumkunnil}, title = {AMPeD: An Analytical Model for Performance in Distributed Training of Transformers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {306--315}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00037}, doi = {10.1109/ISPASS57527.2023.00037}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MoolchandaniKRVEP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NaderanTahanSE23, author = {Mahmood Naderan{-}Tahan and Hossein SeyyedAghaei and Lieven Eeckhout}, title = {Sieve: Stratified GPU-Compute Workload Sampling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {224--234}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00030}, doi = {10.1109/ISPASS57527.2023.00030}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NaderanTahanSE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NagabhiruB23, author = {Mahita Nagabhiru and Greg Byrd}, title = {lfbench: a lock-free microbenchmark suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {322--324}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00040}, doi = {10.1109/ISPASS57527.2023.00040}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NagabhiruB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NarayanaTKYSKO23, author = {Shruti Yadav Narayana and Jie Tong and Anish Krishnakumar and Nuriye Yildirim and Emily Shriver and Mahesh Ketkar and {\"{U}}mit Y. Ogras}, title = {{MQL:} ML-Assisted Queuing Latency Analysis for Data Center Networks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {50--60}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00014}, doi = {10.1109/ISPASS57527.2023.00014}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NarayanaTKYSKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OlyaiyNFL23, author = {MohammadHossein Olyaiy and Christopher Ng and Alexandra (Sasha) Fedorova and Mieszko Lis}, title = {Sunstone: {A} Scalable and Versatile Scheduler for Mapping Tensor Algebra on Spatial Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {259--271}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00033}, doi = {10.1109/ISPASS57527.2023.00033}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/OlyaiyNFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PrakashCBBGWAR23, author = {Shvetank Prakash and Tim Callahan and Joseph Bushagour and Colby R. Banbury and Alan V. Green and Pete Warden and Tim Ansell and Vijay Janapa Reddi}, title = {{CFU} Playground: Full-Stack Open-Source Framework for Tiny Machine Learning (TinyML) Acceleration on FPGAs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {157--167}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00024}, doi = {10.1109/ISPASS57527.2023.00024}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/PrakashCBBGWAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SawalhaD23, author = {Lina Sawalha and Grant Deljevic}, title = {Workload Characterization Using Hierarchical {PCA}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {331--333}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00043}, doi = {10.1109/ISPASS57527.2023.00043}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SawalhaD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShanGNDK23, author = {Mohsin Shan and Deniz Gurevin and Jared Nye and Caiwen Ding and Omer Khan}, title = {MergePath-SpMM: Parallel Sparse Matrix-Matrix Algorithm for Graph Neural Network Acceleration}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {145--156}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00023}, doi = {10.1109/ISPASS57527.2023.00023}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ShanGNDK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SoniNZRGHNBPCPMCFFSR23, author = {Deepraj Soni and Negar Neda and Naifeng Zhang and Benedict Reynwar and Homer Gamil and Benjamin Heyman and Mohammed Nabeel and Ahmad Al Badawi and Yuriy Polyakov and Kellie Canida and Massoud Pedram and Michail Maniatakos and David Bruce Cousins and Franz Franchetti and Matthew French and Andrew G. Schmidt and Brandon Reagen}, title = {{RPU:} The Ring Processing Unit}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {272--282}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00034}, doi = {10.1109/ISPASS57527.2023.00034}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SoniNZRGHNBPCPMCFFSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SrivastavaS23, author = {Sanya Srivastava and Tyler Sorensen}, title = {Degree-Aware Kernel Mapping for Graph Processing on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {319--321}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00039}, doi = {10.1109/ISPASS57527.2023.00039}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SrivastavaS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SymonsMCHKV23, author = {Arne Symons and Linyan Mei and Steven Colleman and Pouya Houshmand and Sebastian Karl and Marian Verhelst}, title = {Stream: {A} Modeling Framework for Fine-grained Layer Fusion on Multi-core {DNN} Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {355--357}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00051}, doi = {10.1109/ISPASS57527.2023.00051}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SymonsMCHKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/UmeikePMMYA23, author = {Johnson Umeike and Neel Patel and Alex Manley and Amin Mamandipoor and Heechul Yun and Mohammad Alian}, title = {Profiling gem5 Simulator}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {103--113}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00019}, doi = {10.1109/ISPASS57527.2023.00019}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/UmeikePMMYA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WonHRSSK23, author = {William Won and Taekyung Heo and Saeed Rashidi and Srinivas Sridharan and Sudarshan Srinivasan and Tushar Krishna}, title = {ASTRA-sim2.0: Modeling Hierarchical Networks and Disaggregated Systems for Large-model Training at Scale}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {283--294}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00035}, doi = {10.1109/ISPASS57527.2023.00035}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WonHRSSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XuLS23, author = {Yanwen Xu and Ang Li and Tyler Sorensen}, title = {Redwood: Flexible and Portable Heterogeneous Tree Traversal Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {201--213}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00028}, doi = {10.1109/ISPASS57527.2023.00028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/XuLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YueM23, author = {Anna Yue and Sanyam Mehta}, title = {An Application-Oriented Approach to Designing Hybrid {CPU} Architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {92--102}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00018}, doi = {10.1109/ISPASS57527.2023.00018}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/YueM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZouzoulaAT23, author = {Stavroula Zouzoula and Muhammad Waqar Azhar and Pedro Trancoso}, title = {{RAINBOW:} Multi-Dimensional Hardware-Software Co-Design for {DL} Accelerator On-Chip Memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, pages = {352--354}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023.00050}, doi = {10.1109/ISPASS57527.2023.00050}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZouzoulaAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2023, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2023, Raleigh, NC, USA, April 23-25, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPASS57527.2023}, doi = {10.1109/ISPASS57527.2023}, isbn = {979-8-3503-9739-0}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AcostaDG22, author = {Jorge Sierra Acosta and Andreas Diavastos and Antonio Gonz{\'{a}}lez}, title = {XFeatur: Hardware Feature Extraction for {DNN} Auto-tuning}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {132--134}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00013}, doi = {10.1109/ISPASS55109.2022.00013}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AcostaDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AhmadJCGGPC22, author = {Zafar Ahmad and Mohammad Mahdi Javanmard and Gregory Thomas Croisdale and Aaron Gregory and Pramod Ganapathi and Louis{-}No{\"{e}}l Pouchet and Rezaul Chowdhury}, title = {{FOURST:} {A} code generator for FFT-based fast stencil computations}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {99--108}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00010}, doi = {10.1109/ISPASS55109.2022.00010}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AhmadJCGGPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlawnehKR22, author = {Ahmad Alawneh and Mahmoud Khairy and Timothy G. Rogers}, title = {A {SIMT} Analyzer for Multi-Threaded {CPU} Applications}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {248--250}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00037}, doi = {10.1109/ISPASS55109.2022.00037}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AlawnehKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BakhshalipourLG22, author = {Mohammad Bakhshalipour and Maxim Likhachev and Phillip B. Gibbons}, title = {RTRBench: {A} Benchmark Suite for Real-Time Robotics}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {175--186}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00024}, doi = {10.1109/ISPASS55109.2022.00024}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BakhshalipourLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BenmezianeNOM22, author = {Hadjer Benmeziane and Sma{\"{\i}}l Niar and Hamza Ouarnoughi and Kaoutar El Maghraoui}, title = {Pareto Rank Surrogate Model for Hardware-aware Neural Architecture Search}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {267--276}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00040}, doi = {10.1109/ISPASS55109.2022.00040}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BenmezianeNOM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BhardwajDKG22, author = {Kshitij Bhardwaj and James Diffenderfer and Bhavya Kailkhura and Maya B. Gokhale}, title = {Benchmarking Test-Time Unsupervised Deep Neural Network Adaptation on Edge Devices}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {236--238}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00033}, doi = {10.1109/ISPASS55109.2022.00033}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BhardwajDKG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CaiBBM22, author = {Zixian Cai and Stephen M. Blackburn and Michael D. Bond and Martin Maas}, title = {Distilling the Real Cost of Production Garbage Collectors}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {46--57}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00005}, doi = {10.1109/ISPASS55109.2022.00005}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CaiBBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChetouiSAGHR22, author = {Sofiane Chetoui and Rahul Shahi and Seif Abdelaziz and Abhinav Golas and Farrukh Hijaz and Sherief Reda}, title = {ARBench: Augmented Reality Benchmark For Mobile Devices}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {242--244}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00035}, doi = {10.1109/ISPASS55109.2022.00035}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChetouiSAGHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChowJBTW22, author = {Marcus Chow and Ali Jahanshahi and Ana Cardenas Beltran and Sheldon X.{-}D. Tan and Daniel Wong}, title = {GPUCalorie: Floorplan Estimation for {GPU} Thermal Evaluation}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {239--241}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00034}, doi = {10.1109/ISPASS55109.2022.00034}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChowJBTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EickhoffDI22, author = {Jerrit Eickhoff and Jesse Donkervliet and Alexandru Iosup}, title = {Meterstick: Benchmarking Performance Variability in Cloud and Self-hosted Minecraft-like Games}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {147--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00018}, doi = {10.1109/ISPASS55109.2022.00018}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EickhoffDI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EsfahaniKV22, author = {Mohsen Koohi Esfahani and Peter Kilpatrick and Hans Vandierendonck}, title = {SAPCo Sort: optimizing Degree-Ordering for Power-Law Graphs}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00015}, doi = {10.1109/ISPASS55109.2022.00015}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EsfahaniKV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanHH22, author = {Stijn Eyerman and Wim Heirman and Ibrahim Hur}, title = {{DRAM} Bandwidth and Latency Stacks: Visualizing {DRAM} Bottlenecks}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {322--331}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00045}, doi = {10.1109/ISPASS55109.2022.00045}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EyermanHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GaoTSJJ22, author = {Bin Gao and Hao{-}Wei Tee and Alireza Sanaee and Soh Boon Jun and Djordje Jevdjic}, title = {OS-level Implications of Using {DRAM} Caches in Memory Disaggregation}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {153--155}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00020}, doi = {10.1109/ISPASS55109.2022.00020}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GaoTSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HoreniTTPEJ22, author = {Mark Horeni and Pooria Taheri and Po{-}An Tsai and Angshuman Parashar and Joel S. Emer and Siddharth Joshi}, title = {Ruby: Improving Hardware Efficiency for Tensor Algebra Accelerators Through Imperfect Factorization}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {254--266}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00039}, doi = {10.1109/ISPASS55109.2022.00039}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HoreniTTPEJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HorroPRT22, author = {Marcos Horro and Louis{-}No{\"{e}}l Pouchet and Gabriel Rodr{\'{\i}}guez and Juan Touri{\~{n}}o}, title = {{MARTA:} Multi-configuration Assembly pRofiler and Toolkit for performance Analysis}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {79--89}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00008}, doi = {10.1109/ISPASS55109.2022.00008}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HorroPRT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangHWSS22, author = {Qijing Huang and Charles Hong and John Wawrzynek and Mahesh Subedar and Yakun Sophia Shao}, title = {Learning {A} Continuous and Reconstructible Latent Space for Hardware Accelerator Design}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {277--287}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00041}, doi = {10.1109/ISPASS55109.2022.00041}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HuangHWSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JainAVU22, author = {Shalini Jain and Yashas Andaluri and S. VenkataKeerthy and Ramakrishna Upadrasta}, title = {{POSET-RL:} Phase ordering for Optimizing Size and Execution Time using Reinforcement Learning}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {121--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00012}, doi = {10.1109/ISPASS55109.2022.00012}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JainAVU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JensenLSHJ22, author = {Steffen Jensen and Jaekyu Lee and Dam Sunwoo and Matthew J. Horsnell and Lizy K. John}, title = {Microarchitectural Performance Evaluation of {AV1} Video Encoding Workloads}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {251--253}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00038}, doi = {10.1109/ISPASS55109.2022.00038}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JensenLSHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JeongSTDZAKK22, author = {Geonhwa Jeong and Bikash Sharma and Nick Terrell and Abhishek Dhanotia and Zhiwei Zhao and Niket Agarwal and Arun Kejariwal and Tushar Krishna}, title = {Understanding Data Compression in Warehouse-Scale Datacenter Services}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {221--223}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00028}, doi = {10.1109/ISPASS55109.2022.00028}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JeongSTDZAKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KeoliyaSJ22, author = {Mayank Keoliya and Puru Sharma and Djordje Jevdjic}, title = {Simulating Noisy Channels in {DNA} Storage}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {150--152}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00019}, doi = {10.1109/ISPASS55109.2022.00019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KeoliyaSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KrishnanWBJFR22, author = {Srivatsan Krishnan and Zishen Wan and Kshitij Bhardwaj and Ninad Jadhav and Aleksandra Faust and Vijay Janapa Reddi}, title = {Roofline Model for UAVs: {A} Bottleneck Analysis Tool for Onboard Compute Characterization of Autonomous Unmanned Aerial Vehicles}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {162--174}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00023}, doi = {10.1109/ISPASS55109.2022.00023}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KrishnanWBJFR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KumarPS22, author = {Sandeep Kumar and Abhisek Panda and Smruti R. Sarangi}, title = {SGXGauge: {A} Comprehensive Benchmark Suite for Intel {SGX}}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {135--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00014}, doi = {10.1109/ISPASS55109.2022.00014}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KumarPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeKSLHLK22, author = {Hyokeun Lee and Hyungsuk Kim and Seokbo Shim and Seungyong Lee and Do{-}sun Hong and Hyuk{-}Jae Lee and Hyun Kim}, title = {PCMCsim: An Accurate Phase-Change Memory Controller Simulator and its Performance Analysis}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {300--310}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00043}, doi = {10.1109/ISPASS55109.2022.00043}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeKSLHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Levit-GurevichS22, author = {Konstantin Levit{-}Gurevich and Alex Skaletsky and Michael Berezalsky and Yulia Kuznetcova and Hila Yakov}, title = {Profiling Intel Graphics Architecture with Long Instruction Traces}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {1--11}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00001}, doi = {10.1109/ISPASS55109.2022.00001}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Levit-GurevichS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiWFSC22, author = {Yuhang Li and Mei Wen and Jiawei Fei and Junzhong Shen and Yasong Cao}, title = {{TILE-SIM:} {A} Systematic Approach to Systolic Array-based Accelerator Evaluation}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {141--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00016}, doi = {10.1109/ISPASS55109.2022.00016}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiWFSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LinFALLKKO22, author = {Zhongyi Lin and Louis Feng and Ehsan K. Ardestani and Jaewon Lee and John Lundell and Changkyu Kim and Arun Kejariwal and John D. Owens}, title = {Building a Performance Model for Deep Learning Recommendation Model Training on GPUs}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {227--229}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00030}, doi = {10.1109/ISPASS55109.2022.00030}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LinFALLKKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuAWB22, author = {Yiqian Liu and Noushin Azami and Corbin Walters and Martin Burtscher}, title = {The Indigo Program-Verification Microbenchmark Suite of Irregular Parallel Code Patterns}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {24--34}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00003}, doi = {10.1109/ISPASS55109.2022.00003}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiuAWB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuHEAE22, author = {Wenjie Liu and Wim Heirman and Stijn Eyerman and Shoaib Akram and Lieven Eeckhout}, title = {Scale-Model Architectural Simulation}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {58--68}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00006}, doi = {10.1109/ISPASS55109.2022.00006}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiuHEAE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MehrabiSL22, author = {Atefeh Mehrabi and Daniel J. Sorin and Benjamin C. Lee}, title = {Spatiotemporal Strategies for Long-Term {FPGA} Resource Management}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {198--209}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00026}, doi = {10.1109/ISPASS55109.2022.00026}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MehrabiSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Mehta22, author = {Sanyam Mehta}, title = {Performance Analysis and Optimization with Little's Law}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {12--23}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00002}, doi = {10.1109/ISPASS55109.2022.00002}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Mehta22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NemaKAAFRMA22, author = {Shubham Nema and Justin Kirschner and Debpratim Adak and Sapan Agarwal and Ben Feinberg and Arun F. Rodrigues and Matthew J. Marinella and Amro Awad}, title = {Eris: Fault Injection and Tracking Framework for Reliability Analysis of Open-Source Hardware}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {210--220}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00027}, doi = {10.1109/ISPASS55109.2022.00027}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NemaKAAFRMA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OrtizCAG22, author = {Jorge Ortiz and David Corbal{\'{a}}n{-}Navarro and Juan L. Arag{\'{o}}n and Antonio Gonz{\'{a}}lez}, title = {MEGsim: {A} Novel Methodology for Efficient Simulation of Graphics Workloads in GPUs}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {69--78}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00007}, doi = {10.1109/ISPASS55109.2022.00007}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/OrtizCAG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OsorioAPHC22, author = {John Osorio R{\'{\i}}os and Adri{\`{a}} Armejach and Eric Petit and Greg Henry and Marc Casas}, title = {{FASE:} {A} Fast, Accurate and Seamless Emulator for Custom Numerical Formats}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {144--146}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00017}, doi = {10.1109/ISPASS55109.2022.00017}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/OsorioAPHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SantosKMSA22, author = {Sairo R. dos Santos and Tiago Rodrigo Kepe and Francis B. Moreira and Paulo C. Santos and Marco A. Z. Alves}, title = {Advancing Near-Data Processing with Precise Exceptions and Efficient Data Fetching}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {230--232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00031}, doi = {10.1109/ISPASS55109.2022.00031}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SantosKMSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SartzetakisPG22, author = {Dimitris Sartzetakis and George Papadimitriou and Dimitris Gizopoulos}, title = {gpuFI-4: {A} Microarchitecture-Level Framework for Assessing the Cross-Layer Resilience of Nvidia GPUs}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {35--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00004}, doi = {10.1109/ISPASS55109.2022.00004}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SartzetakisPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SatoIYN22, author = {Shigeyuki Sato and Kota Iizuka and Naoki Yoshifuji and Masaki Natsume}, title = {{VIPP:} Validation-Included Precision-Parametric N-Body Benchmark Suite}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {156--158}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00021}, doi = {10.1109/ISPASS55109.2022.00021}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SatoIYN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SkaletskyLBKY22, author = {Alex Skaletsky and Konstantin Levit{-}Gurevich and Michael Berezalsky and Yulia Kuznetcova and Hila Yakov}, title = {Flexible Binary Instrumentation Framework to Profile Code Running on Intel GPUs}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {109--120}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00011}, doi = {10.1109/ISPASS55109.2022.00011}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SkaletskyLBKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/StjerngrenGC22, author = {Axel Stjerngren and Perry Gibson and Jos{\'{e}} Cano}, title = {Bifrost: End-to-End Evaluation and optimization of Reconfigurable {DNN} Accelerators}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {288--299}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00042}, doi = {10.1109/ISPASS55109.2022.00042}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/StjerngrenGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SumeetRN22, author = {Nupur Sumeet and Karan Rawat and Manoj Nambiar}, title = {High-Performance Deployment of Text Detection Model: Compression and Hardware Platform considerations}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {159--161}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00022}, doi = {10.1109/ISPASS55109.2022.00022}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SumeetRN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TaheriMPA22, author = {Nedasadat Taheri and Alexander Manely and Ahmni R. Pang and Mohammad Alian}, title = {Profiling an Architectural Simulator}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {233--235}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00032}, doi = {10.1109/ISPASS55109.2022.00032}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/TaheriMPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TangP22, author = {Li Tang and Scott Pakin}, title = {Cross-Level Characterization of Program Behavior : (Extended Poster Abstract)}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {245--247}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00036}, doi = {10.1109/ISPASS55109.2022.00036}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/TangP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ThomasVSGGBMT22, author = {Tessil Thomas and Bharath Venkatasubramanian and Dinesh Sthapit and Christopher Gray and Atresh Gummadavelly and Janick Bergeron and Pankaj Mehta and Prabu Thangamuthu}, title = {Left-shifter: {A} pre-silicon framework for usage model based performance verification of the PCIe interface in server processor system on chips}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {90--98}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00009}, doi = {10.1109/ISPASS55109.2022.00009}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ThomasVSGGBMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VasudhaP22, author = {Vasudha and Biswabandan Panda}, title = {Address Translation Conscious Caching and Prefetching for High Performance Cache Hierarchy}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {311--321}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00044}, doi = {10.1109/ISPASS55109.2022.00044}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/VasudhaP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VengalamSH22, author = {Uday Kumar Reddy Vengalam and Anshujit Sharma and Michael C. Huang}, title = {LoopIn: {A} Loop-Based Simulation Sampling Mechanism}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {224--226}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00029}, doi = {10.1109/ISPASS55109.2022.00029}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/VengalamSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangSXLKAL22, author = {Yongqin Wang and G. Edward Suh and Wenjie Xiong and Benjamin Lefaudeux and Brian Knott and Murali Annavaram and Hsien{-}Hsin S. Lee}, title = {Characterization of MPC-based Private Inference for Transformer-based Models}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {187--197}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00025}, doi = {10.1109/ISPASS55109.2022.00025}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangSXLKAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2022, title = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022}, doi = {10.1109/ISPASS55109.2022}, isbn = {978-1-6654-5954-9}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/0001GDPVMBND21, author = {Arun Subramaniyan and Yufeng Gu and Timothy Dunn and Somnath Paul and Md. Vasimuddin and Sanchit Misra and David T. Blaauw and Satish Narayanasamy and Reetuparna Das}, title = {GenomicsBench: {A} Benchmark Suite for Genomics}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00012}, doi = {10.1109/ISPASS51385.2021.00012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/0001GDPVMBND21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AnastasiadisPGK21, author = {Petros Anastasiadis and Nikela Papadopoulou and Georgios I. Goumas and Nectarios Koziris}, title = {CoCoPeLia: Communication-Computation Overlap Prediction for Efficient Linear Algebra on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {36--47}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00015}, doi = {10.1109/ISPASS51385.2021.00015}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AnastasiadisPGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AzadSPJ21, author = {Zahra Azad and Rathijit Sen and Kwanghyun Park and Ajay Joshi}, title = {Hardware Acceleration for {DBMS} Machine Learning Scoring: Is It Worth the Overheads?}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {243--253}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00047}, doi = {10.1109/ISPASS51385.2021.00047}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AzadSPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BakshiJ21, author = {Suyash Bakshi and S. Lennart Johnsson}, title = {Analysis of Factors Affecting Power Consumption and Energy Efficiency of {SGEMM} on the Low-Power Myriad-2 {VPU}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {76--78}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00020}, doi = {10.1109/ISPASS51385.2021.00020}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BakshiJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BaruahSDSMJAUJK21, author = {Trinayan Baruah and Kaustubh Shivdikar and Shi Dong and Yifan Sun and Saiful A. Mojumder and Kihoon Jung and Jos{\'{e}} L. Abell{\'{a}}n and Yash Ukidave and Ajay Joshi and John Kim and David R. Kaeli}, title = {GNNMark: {A} Benchmark Suite to Characterize Graph Neural Network Training on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {13--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00013}, doi = {10.1109/ISPASS51385.2021.00013}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BaruahSDSMJAUJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Bodmann0GR21, author = {Pablo Bodmann and George Papadimitriou and Dimitris Gizopoulos and Paolo Rech}, title = {The Impact of SoC Integration and {OS} Deployment on the Reliability of Arm Processors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {223--225}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00040}, doi = {10.1109/ISPASS51385.2021.00040}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Bodmann0GR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BruceANRSFRSL21, author = {Bobby R. Bruce and Ayaz Akram and Hoa Nguyen and Kyle Roarty and Mahyar Samani and Marjan Fariborz and Trivikram Reddy and Matthew D. Sinclair and Jason Lowe{-}Power}, title = {Enabling Reproducible and Agile Full-System Simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {183--193}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00035}, doi = {10.1109/ISPASS51385.2021.00035}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BruceANRSFRSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BuchAJR21, author = {Michael Buch and Zahra Azad and Ajay Joshi and Vijay Janapa Reddi}, title = {{AI} Tax in Mobile SoCs: End-to-end Performance Analysis of Machine Learning in Smartphones}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {96--106}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00027}, doi = {10.1109/ISPASS51385.2021.00027}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/BuchAJR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DeshmukhLSHBG21, author = {Aniket Anand Deshmukh and Ruihao Li and Rathijit Sen and Robert R. Henry and Monica Beckwith and Gagan Gupta}, title = {Performance Characterization of .NET Benchmarks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {107--117}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00028}, doi = {10.1109/ISPASS51385.2021.00028}, timestamp = {Sun, 03 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DeshmukhLSHBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EhrettBSBKSBA21, author = {Pete Ehrett and Nathan Block and Bing Schaefer and Adrian Berding and John Paul Koenig and Pranav Srinivasan and Valeria Bertacco and Todd M. Austin}, title = {A Defense-Inspired Benchmark Suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {79--80}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00021}, doi = {10.1109/ISPASS51385.2021.00021}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EhrettBSBKSBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EsfahaniKV21, author = {Mohsen Koohi Esfahani and Peter Kilpatrick and Hans Vandierendonck}, title = {How Do Graph Relabeling Algorithms Improve Memory Locality?}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {84--86}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00023}, doi = {10.1109/ISPASS51385.2021.00023}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/EsfahaniKV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FukuokaST21, author = {Takuya Fukuoka and Shigeyuki Sato and Kenjiro Taura}, title = {Pitfalls of InfiniBand with On-Demand Paging}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {265--275}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00049}, doi = {10.1109/ISPASS51385.2021.00049}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FukuokaST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GadeGPAS21, author = {Sri Harsha Gade and Anup Gangwar and Ambica Prasad and Nitin Kumar Agarwal and Ravishankar Sreedharan}, title = {An Automated Traffic Generation Framework for Performance Evaluation of Networks-on-Chip for Real World Use Cases}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {81--83}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00022}, doi = {10.1109/ISPASS51385.2021.00022}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GadeGPAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Gomez-Hernandez21, author = {Eduardo Jos{\'{e}} G{\'{o}}mez{-}Hern{\'{a}}ndez and Ruixiang Shao and Christos Sakalis and Stefanos Kaxiras and Alberto Ros}, title = {Splash-4: Improving Scalability with Lock-Free Constructs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {235--236}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00044}, doi = {10.1109/ISPASS51385.2021.00044}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Gomez-Hernandez21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HarrisMA21, author = {Bryan Harris and Michael Marzullo and Nihat Altiparmak}, title = {Real-Time Characterization of Data Access Correlations}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {139--150}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00031}, doi = {10.1109/ISPASS51385.2021.00031}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HarrisMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HildebrandALA21, author = {Mark Hildebrand and Julian T. Angeles and Jason Lowe{-}Power and Venkatesh Akella}, title = {A Case Against Hardware Managed {DRAM} Caches for {NVRAM} Based Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {194--204}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00036}, doi = {10.1109/ISPASS51385.2021.00036}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HildebrandALA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/IshiiLNS21, author = {Yasuo Ishii and Jaekyu Lee and Krishnendra Nathella and Dam Sunwoo}, title = {Re-establishing Fetch-Directed Instruction Prefetching: An Industry Perspective}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {172--182}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00034}, doi = {10.1109/ISPASS51385.2021.00034}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/IshiiLNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JungLKKLMCA21, author = {Wonkyung Jung and Eojin Lee and Sangpyo Kim and Namhoon Kim and Keewoo Lee and Chohong Min and Jung Hee Cheon and Jung Ho Ahn}, title = {Accelerating Fully Homomorphic Encryption Through Microarchitecture-Aware Analysis and Optimization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {237--239}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00045}, doi = {10.1109/ISPASS51385.2021.00045}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JungLKKLMCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KaoK21, author = {Sheng{-}Chun Kao and Tushar Krishna}, title = {{E3:} {A} {HW/SW} Co-design Neuroevolution Platform for Autonomous Learning in Edge Device}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {288--298}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00051}, doi = {10.1109/ISPASS51385.2021.00051}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KaoK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KeCYH21, author = {Zhi{-}Lin Ke and Hsiang{-}Yun Cheng and Chia{-}Lin Yang and Han{-}Wei Huang}, title = {Analyzing the Interplay Between Random Shuffling and Storage Devices for Efficient Machine Learning}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {276--287}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00050}, doi = {10.1109/ISPASS51385.2021.00050}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KeCYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiDLZWCYYJC0021, author = {Jiansong Li and Xiao Dong and Guangli Li and Peng Zhao and Xueying Wang and Xiaobing Chen and Xianzhi Yu and Yongxin Yang and Zihan Jiang and Wei Cao and Lei Liu and Xiaobing Feng}, title = {Pinpointing the Memory Behaviors of {DNN} Training}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {217--219}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00038}, doi = {10.1109/ISPASS51385.2021.00038}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiDLZWCYYJC0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuiYOZTWH21, author = {Michael Lui and Yavuz Yetim and {\"{O}}zg{\"{u}}r {\"{O}}zkan and Zhuoran Zhao and Shin{-}Yeh Tsai and Carole{-}Jean Wu and Mark Hempstead}, title = {Understanding Capacity-Driven Scale-Out Neural Recommendation Inference}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {162--171}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00033}, doi = {10.1109/ISPASS51385.2021.00033}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LuiYOZTWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MarangozKS21, author = {Emir C. Marangoz and Kyoung{-}Don Kang and Seunghee Shin}, title = {Designing {GPU} Architecture for Memory Bandwidth Reservation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {87--89}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00024}, doi = {10.1109/ISPASS51385.2021.00024}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MarangozKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MehrabiLCSLO21, author = {Atefeh Mehrabi and Donghyuk Lee and Niladrish Chatterjee and Daniel J. Sorin and Benjamin C. Lee and Mike O'Connor}, title = {Learning Sparse Matrix Row Permutations for Efficient SpMM on {GPU} Architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {48--58}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00016}, doi = {10.1109/ISPASS51385.2021.00016}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MehrabiLCSLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PalVSG21, author = {Subhankar Pal and Swagath Venkataramani and Viji Srinivasan and Kailash Gopalakrishnan}, title = {Efficient Management of Scratch-Pad Memories in Deep Learning Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {240--242}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00046}, doi = {10.1109/ISPASS51385.2021.00046}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/PalVSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PeiS21, author = {Qi Pei and Seunghee Shin}, title = {Efficient Split Counter Mode Encryption for {NVM}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {93--95}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00026}, doi = {10.1109/ISPASS51385.2021.00026}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/PeiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PembertonA21, author = {Nathan Pemberton and Alon Amid}, title = {FireMarshal: Making {HW/SW} Co-Design Reproducible and Reliable}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {299--309}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00052}, doi = {10.1109/ISPASS51385.2021.00052}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/PembertonA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RajuKOK21, author = {Navneet Raju and Rahul M. Koushik and Hari Om and Subramaniam Kalambur}, title = {ViStA: Video Streaming and Analytics Benchmark}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {73--75}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00019}, doi = {10.1109/ISPASS51385.2021.00019}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/RajuKOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RamadanIPPT21, author = {Tarek Ramadan and Tanzima Z. Islam and Chase Phelps and Nathan Pinnow and Jayaraman J. Thiagarajan}, title = {Comparative Code Structure Analysis using Deep Learning for Performance Prediction}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {151--161}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00032}, doi = {10.1109/ISPASS51385.2021.00032}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/RamadanIPPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RaviBBL21, author = {Gokul Subramanian Ravi and Ramon Bertran and Pradip Bose and Mikko H. Lipasti}, title = {MicroGrad: {A} Centralized Framework for Workload Cloning and Stress Testing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {70--72}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00018}, doi = {10.1109/ISPASS51385.2021.00018}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/RaviBBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SrinivasaWHC21, author = {Guru Prasad Srinivasa and David Werner and Mark Hempstead and Geoffrey Challen}, title = {Thermal-Aware Overclocking for Smartphones}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {220--222}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00039}, doi = {10.1109/ISPASS51385.2021.00039}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SrinivasaWHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TangGZLWWLCXJHF21, author = {Fei Tang and Wanling Gao and Jianfeng Zhan and Chuanxin Lan and Xu Wen and Lei Wang and Chunjie Luo and Zheng Cao and Xingwang Xiong and Zihan Jiang and Tianshu Hao and Fanda Fan and Fan Zhang and Yunyou Huang and Jianan Chen and Mengjia Du and Rui Ren and Chen Zheng and Daoyi Zheng and Haoning Tang and Kunlin Zhan and Biao Wang and Defei Kong and Minghe Yu and Chongkang Tan and Huan Li and Xinhui Tian and Yatao Li and Junchao Shao and Zhenyu Wang and Xiaoyu Wang and Jiahui Dai and Hainan Ye}, title = {AIBench Training: Balanced Industry-Standard {AI} Training Benchmarking}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {24--35}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00014}, doi = {10.1109/ISPASS51385.2021.00014}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/TangGZLWWLCXJHF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangWWES21, author = {Francis Wang and Yannan Nellie Wu and Matthew E. Woicik and Joel S. Emer and Vivienne Sze}, title = {Architecture-Level Energy Estimation for Heterogeneous Computing Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {229--231}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00042}, doi = {10.1109/ISPASS51385.2021.00042}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangWWES21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WuSSS21, author = {Junwei Wu and Jingwei Sun and Hao Sun and Guangzhong Sun}, title = {Performance Analysis of Graph Neural Network Frameworks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {118--127}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00029}, doi = {10.1109/ISPASS51385.2021.00029}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WuSSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WuTPSE21, author = {Yannan Nellie Wu and Po{-}An Tsai and Angshuman Parashar and Vivienne Sze and Joel S. Emer}, title = {Sparseloop: An Analytical, Energy-Focused Design Space Exploration Methodology for Sparse Tensor Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {232--234}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00043}, doi = {10.1109/ISPASS51385.2021.00043}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WuTPSE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Wudenhe021, author = {Abenezer Wudenhe and Hung{-}Wei Tseng}, title = {TPUPoint: Automatic Characterization of Hardware-Accelerated Machine-Learning Behavior for Cloud Computing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {254--264}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00048}, doi = {10.1109/ISPASS51385.2021.00048}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Wudenhe021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XuKNS21, author = {Jingyi Xu and Sehoon Kim and Borivoje Nikolic and Yakun Sophia Shao}, title = {Memory-Efficient Hardware Performance Counters with Approximate-Counting Algorithms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {226--228}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00041}, doi = {10.1109/ISPASS51385.2021.00041}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/XuKNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YuanYSZ21, author = {Shougang Yuan and Ardhi Wiratama Baskara Yudha and Yan Solihin and Huiyang Zhou}, title = {Analyzing Secure Memory Architecture for GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {59--69}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00017}, doi = {10.1109/ISPASS51385.2021.00017}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YuanYSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZaidiILG21, author = {Ali Mustafa Zaidi and Konstantinos Iordanou and Mikel Luj{\'{a}}n and Giacomo Gabrielli}, title = {Loopapalooza: Investigating Limits of Loop-Level Parallelism with a Compiler-Driven Approach}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {128--138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00030}, doi = {10.1109/ISPASS51385.2021.00030}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZaidiILG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangAR21, author = {Mengchi Zhang and Ahmad Alawneh and Timothy G. Rogers}, title = {Characterizing Massively Parallel Polymorphism}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {205--216}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00037}, doi = {10.1109/ISPASS51385.2021.00037}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhangAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangWWJC21, author = {Wei Zhang and Wei Wei and Wen Wang and Lingling Jin and Zheng Cao}, title = {Reducing {BERT} Computation by Padding Removal and Curriculum Learning}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {90--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00025}, doi = {10.1109/ISPASS51385.2021.00025}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhangWWJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhaoGAKA21, author = {Jerry Zhao and Abraham Gonzalez and Alon Amid and Sagar Karandikar and Krste Asanovic}, title = {{COBRA:} {A} Framework for Evaluating Compositions of Hardware Branch Predictors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {310--320}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00053}, doi = {10.1109/ISPASS51385.2021.00053}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhaoGAKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2021, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021}, doi = {10.1109/ISPASS51385.2021}, isbn = {978-1-7281-8643-6}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/0002R20, author = {Andreas Abel and Jan Reineke}, title = {nanoBench: {A} Low-Overhead Tool for Running Microbenchmarks on x86 Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {34--46}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00014}, doi = {10.1109/ISPASS48437.2020.00014}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/0002R20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/00040MB20, author = {Ivan Perez and Enrique Vallejo and Miquel Moret{\'{o}} and Ram{\'{o}}n Beivide}, title = {{BST:} {A} BookSim-Based Toolset to Simulate NoCs with Single- and Multi-Hop Bypass}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {47--57}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00015}, doi = {10.1109/ISPASS48437.2020.00015}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/00040MB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlianY00JK20, author = {Mohammad Alian and Yifan Yuan and Jie Zhang and Ren Wang and Myoungsoo Jung and Nam Sung Kim}, title = {Data Direct {I/O} Characterization for Future {I/O} System Exploration}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {160--169}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00031}, doi = {10.1109/ISPASS48437.2020.00031}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AlianY00JK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AntoniouHS20, author = {Georgia Antoniou and Zacharias Hadjilambrou and Yiannakis Sazeides}, title = {Performance Characterization of Simultaneous Multi-Threading and Index Partitioning for an Online Document Search Application}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {231--240}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00043}, doi = {10.1109/ISPASS48437.2020.00043}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AntoniouHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ArafaEEAEBCES20, author = {Yehia Arafa and Ammar ElWazir and Abdelrahman Elkanishy and Youssef Aly and Ayatelrahman Elsayed and Abdel{-}Hameed A. Badawy and Gopinath Chennupati and Stephan J. Eidenbenz and Nandakishore Santhi}, title = {{NVIDIA} GPGPUs Instructions Energy Consumption}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {110--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00022}, doi = {10.1109/ISPASS48437.2020.00022}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ArafaEEAEBCES20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BasakLLXCA020, author = {Abanti Basak and Jilan Lin and Ryan Lorica and Xinfeng Xie and Zeshan Chishti and Alaa R. Alameldeen and Yuan Xie}, title = {SAGA-Bench: Software and Hardware Characterization of Streaming Graph Analytics Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {12--23}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00012}, doi = {10.1109/ISPASS48437.2020.00012}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BasakLLXCA020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BelCTDMNL20, author = {Oceane Bel and Kenneth Chang and Nathan R. Tallent and Dirk D{\"{u}}llmann and Ethan L. Miller and Faisal Nawab and Darrell D. E. Long}, title = {Geomancy: Automated Performance Enhancement through Data Layout Optimization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {119--120}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00025}, doi = {10.1109/ISPASS48437.2020.00025}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BelCTDMNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BorgeDZ20, author = {Mar{\'{\i}}a F. Borge and Florin Dinu and Willy Zwaenepoel}, title = {On the Application Level Impact of {SSD} Performance Anomalies}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {170--179}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00032}, doi = {10.1109/ISPASS48437.2020.00032}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BorgeDZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ElhelwP20, author = {Amr S. Elhelw and Sreepathi Pai}, title = {Horus: {A} Modular {GPU} Emulator Framework}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00020}, doi = {10.1109/ISPASS48437.2020.00020}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ElhelwP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FlolidSSTJ20, author = {Steven Flolid and Emily Shriver and Zachary Susskind and Benjamin Thorell and Lizy K. John}, title = {SimTrace: Capturing over Time Program Phase Behavior}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {226--228}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00041}, doi = {10.1109/ISPASS48437.2020.00041}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FlolidSSTJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GangulyBNSS20, author = {Antara Ganguly and Shankar Balachandran and Anant V. Nori and Virendra Singh and Sreenivas Subramoney}, title = {Characterization of Data Generating Neural Network Applications on x86 {CPU} Architecture}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {121--122}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00026}, doi = {10.1109/ISPASS48437.2020.00026}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GangulyBNSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GibsonC20, author = {Perry Gibson and Jos{\'{e}} Cano}, title = {Orpheus: {A} New Deep Learning Framework for Easy Deployment and Evaluation of Edge Inference}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {229--230}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00042}, doi = {10.1109/ISPASS48437.2020.00042}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GibsonC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GomesH20, author = {Cesar Gomes and Mark Hempstead}, title = {{C2AFE:} Capacity Curve Annotation and Feature Extraction for Shared Cache Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {113--115}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00023}, doi = {10.1109/ISPASS48437.2020.00023}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GomesH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GuBB20, author = {Ruidong Gu and Paul Beata and Michela Becchi}, title = {A Loop-Aware Autotuner for High-Precision Floating-Point Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {285--295}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00048}, doi = {10.1109/ISPASS48437.2020.00048}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GuBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Hassan0B20, author = {Muhammad Hassan and Chang Hyun Park and David Black{-}Schaffer}, title = {Architecturally-Independent and Time-Based Characterization of {SPEC} {CPU} 2017}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {107--109}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00021}, doi = {10.1109/ISPASS48437.2020.00021}, timestamp = {Tue, 22 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Hassan0B20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuR20, author = {Bodun Hu and Christopher J. Rossbach}, title = {Altis: Modernizing {GPGPU} Benchmarks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {1--11}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00011}, doi = {10.1109/ISPASS48437.2020.00011}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HuR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Jia020, author = {Yichen Jia and Feng Chen}, title = {From Flash to 3D XPoint: Performance Bottlenecks and Potentials in RocksDB with Storage Evolution}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {192--201}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00034}, doi = {10.1109/ISPASS48437.2020.00034}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Jia020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JijinaAGHK20, author = {Sam Jijina and Adriana Amyette and Nima Shoghi Ghaleshahi and Ramyad Hadidi and Hyesoon Kim}, title = {Understanding the Software and Hardware Stacks of a General-Purpose Cognitive Drone}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {212--214}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00036}, doi = {10.1109/ISPASS48437.2020.00036}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JijinaAGHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KatebzadehCG20, author = {M. R. Siavash Katebzadeh and Paolo Costa and Boris Grot}, title = {Evaluation of an InfiniBand Switch: Choose Latency or Bandwidth, but Not Both}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {180--191}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00033}, doi = {10.1109/ISPASS48437.2020.00033}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KatebzadehCG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KilicTF20, author = {Ozgur O. Kilic and Nathan R. Tallent and Ryan D. Friese}, title = {Rapid Memory Footprint Access Diagnostics}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {273--284}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00047}, doi = {10.1109/ISPASS48437.2020.00047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KilicTF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Koteshwara0P20, author = {Sandhya Koteshwara and Manoj Kumar and Pratap Pattnaik}, title = {Performance Optimization of Lattice Post-Quantum Cryptographic Algorithms on Many-Core Processors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {223--225}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00040}, doi = {10.1109/ISPASS48437.2020.00040}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Koteshwara0P20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MannanSK20, author = {Parth Mannan and Ananda Samajdar and Tushar Krishna}, title = {{CLAN:} Continuous Learning using Asynchronous Neuroevolution on Commodity Edge Devices}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {93--103}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00019}, doi = {10.1109/ISPASS48437.2020.00019}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MannanSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MatthewsMGOT0HA20, author = {Opeoluwa Matthews and Aninda Manocha and Davide Giri and Marcelo Orenes{-}Vera and Esin Tureci and Tyler Sorensen and Tae Jun Ham and Juan L. Arag{\'{o}}n and Luca P. Carloni and Margaret Martonosi}, title = {MosaicSim: {A} Lightweight, Modular Simulator for Heterogeneous Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {136--148}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00029}, doi = {10.1109/ISPASS48437.2020.00029}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MatthewsMGOT0HA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MoolchandaniGKS20, author = {Diksha Moolchandani and Sudhanshu Gupta and Anshul Kumar and Smruti R. Sarangi}, title = {Performance Prediction for Multi-Application Concurrency on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {306--315}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00050}, doi = {10.1109/ISPASS48437.2020.00050}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MoolchandaniGKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NarayananS20, author = {Iyswarya Narayanan and Anand Sivasubramaniam}, title = {Mediating Power Struggles on a Shared Server}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {149--159}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00030}, doi = {10.1109/ISPASS48437.2020.00030}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NarayananS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NatarajanD20, author = {Deepika Natarajan and Ronald G. Dreslinski}, title = {Performance Characterization of Lattice-Based Cryptography Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {220--222}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00039}, doi = {10.1109/ISPASS48437.2020.00039}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NatarajanD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NikolaouS20, author = {Panagiota Nikolaou and Yiannakis Sazeides}, title = {Identification of an Entire Workload's CPU-Vmin from the n-First Seconds of its Execution Based on Performance Counters}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {296--305}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00049}, doi = {10.1109/ISPASS48437.2020.00049}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NikolaouS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PatiASJ20, author = {Suchita Pati and Shaizeen Aga and Matthew D. Sinclair and Nuwan Jayasena}, title = {SeqPoint: Identifying Representative Iterations of Sequence-Based Neural Networks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {69--80}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00017}, doi = {10.1109/ISPASS48437.2020.00017}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PatiASJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/QianZKZ20, author = {Cheng Qian and Ming Zhang and Xiaohui Kuang and Gang Zhao}, title = {A Study on Mesh Hybrid Memory Cube Network}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {218--219}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00038}, doi = {10.1109/ISPASS48437.2020.00038}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/QianZKZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Rashidi0SK20, author = {Saeed Rashidi and Srinivas Sridharan and Sudarshan Srinivasan and Tushar Krishna}, title = {{ASTRA-SIM:} Enabling {SW/HW} Co-Design Exploration for Distributed {DL} Training Platforms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {81--92}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00018}, doi = {10.1109/ISPASS48437.2020.00018}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Rashidi0SK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SalvadorDHASA20, author = {Giordano Salvador and Wesley H. Darvin and Muhammad Huzaifa and Johnathan Alsop and Matthew D. Sinclair and Sarita V. Adve}, title = {Specializing Coherence, Consistency, and Push/Pull for {GPU} Graph Analytics}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {123--125}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00027}, doi = {10.1109/ISPASS48437.2020.00027}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SalvadorDHASA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SamajdarJ0WMK20, author = {Ananda Samajdar and Jan Moritz Joseph and Yuhao Zhu and Paul N. Whatmough and Matthew Mattina and Tushar Krishna}, title = {A Systematic Methodology for Characterizing Scalability of {DNN} Accelerators using SCALE-Sim}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {58--68}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00016}, doi = {10.1109/ISPASS48437.2020.00016}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SamajdarJ0WMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SchlaisZL20, author = {David J. Schlais and Heng Zhuo and Mikko H. Lipasti}, title = {Modeling Architectural Support for Tightly-Coupled Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {253--262}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00045}, doi = {10.1109/ISPASS48437.2020.00045}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SchlaisZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SkinnerPWR20, author = {Haven Blake Skinner and Rafael Trapani Possignolo and Sheng{-}Hong Wang and Jose Renau}, title = {LiveSim: {A} Fast Hot Reload Simulator for HDLs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {126--135}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00028}, doi = {10.1109/ISPASS48437.2020.00028}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SkinnerPWR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SliperWNWM20, author = {Sivert T. Sliper and William Wang and Nikos Nikoleris and Alex S. Weddell and Geoff V. Merrett}, title = {Fused: Closed-Loop Performance and Energy Simulation of Embedded Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {263--272}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00046}, doi = {10.1109/ISPASS48437.2020.00046}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SliperWNWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VermaWHJJRJ20, author = {Snehil Verma and Qinzhe Wu and Bagus Hanindhito and Gunjan Jha and Eugene B. John and Ramesh Radhakrishnan and Lizy K. John}, title = {Demystifying the MLPerf Training Benchmark Suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {24--33}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00013}, doi = {10.1109/ISPASS48437.2020.00013}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/VermaWHJJRJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WaddingtonDXJTK20, author = {Daniel G. Waddington and Clem Dickey and Luna Xu and Travis Janssen and Jantz Tran and Kshitij A. Doshi}, title = {Evaluating Intel 3D-Xpoint {NVDIMM} Persistent Memory in the Context of a Key-Value Store}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {202--211}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00035}, doi = {10.1109/ISPASS48437.2020.00035}, timestamp = {Sun, 29 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WaddingtonDXJTK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Wang020, author = {Jianda Wang and Yang Hu}, title = {Performance Analysis of 5G {NR} vRAN Platform and its Implications on Edge Computing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {215--217}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00037}, doi = {10.1109/ISPASS48437.2020.00037}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Wang020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WuSE20, author = {Yannan Nellie Wu and Vivienne Sze and Joel S. Emer}, title = {An Architecture-Level Energy and Area Estimator for Processing-In-Memory Accelerator Designs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {116--118}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00024}, doi = {10.1109/ISPASS48437.2020.00024}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WuSE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangZJCLCL20, author = {Xiaoyu Zhang and Ying Zhang and Xiaowei Jiang and Jian Chen and Xin Long and Zheng Cao and Qiang Liu}, title = {{CETUS:} Towards Proportional Capacity Provisioning and Cost-Effectiveness in Frontend Servers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {241--252}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00044}, doi = {10.1109/ISPASS48437.2020.00044}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhangZJCLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2020, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9222421/proceeding}, isbn = {978-1-7281-4798-7}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/0003CHQW19, author = {Qi Yu and Bruce R. Childers and Libo Huang and Cheng Qian and Zhiying Wang}, title = {Hierarchical Page Eviction Policy for Unified Memory in GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {149--150}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00027}, doi = {10.1109/ISPASS.2019.00027}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/0003CHQW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AdilehGRE19, author = {Almutaz Adileh and Cecilia Gonz{\'{a}}lez{-}Alvarez and Juan Miguel De Haro Ruiz and Lieven Eeckhout}, title = {Racing to Hardware-Validated Simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {58--67}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00014}, doi = {10.1109/ISPASS.2019.00014}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/AdilehGRE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AhmadDMK19, author = {Masab Ahmad and Halit Dogan and Christopher J. Michael and Omer Khan}, title = {HeteroMap: {A} Runtime Performance Predictor for Efficient Processing of Graph Analytics on Heterogeneous Multi-Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {268--281}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00039}, doi = {10.1109/ISPASS.2019.00039}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AhmadDMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AkramSME19, author = {Shoaib Akram and Jennifer B. Sartor and Kathryn S. McKinley and Lieven Eeckhout}, title = {Emulating and Evaluating Hybrid Memory for Managed Languages on {NUMA} Hardware}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {93--105}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00017}, doi = {10.1109/ISPASS.2019.00017}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AkramSME19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChaeC19, author = {Suk{-}Joo Chae and Tae{-}Sun Chung}, title = {{DSMM:} {A} Dynamic Setting for Memory Management in Apache Spark}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {143--144}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00024}, doi = {10.1109/ISPASS.2019.00024}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChaeC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Chatzidimitriou19, author = {Athanasios Chatzidimitriou and George Papadimitriou and Dimitris Gizopoulos and Shrikanth Ganapathy and John Kalamatianos}, title = {Assessing the Effects of Low Voltage in Branch Prediction Units}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {127--136}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00020}, doi = {10.1109/ISPASS.2019.00020}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Chatzidimitriou19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DeianaC19, author = {Enrico Armenio Deiana and Simone Campanoni}, title = {Workload Characterization of Nondeterministic Programs Parallelized by {STATS}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {190--201}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00032}, doi = {10.1109/ISPASS.2019.00032}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/DeianaC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FengPYD19, author = {Siying Feng and Subhankar Pal and Yichen Yang and Ronald G. Dreslinski}, title = {Parallelism Analysis of Prominent Desktop Applications: An 18- Year Perspective}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {202--211}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00033}, doi = {10.1109/ISPASS.2019.00033}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/FengPYD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GeL19, author = {Jiancong Ge and Ming Ling}, title = {Fast Modeling of the {L2} Cache Reuse Distance Histograms from Software Traces}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {145--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00025}, doi = {10.1109/ISPASS.2019.00025}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GeL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GolestaniMN19, author = {Hossein Golestani and Scott A. Mahlke and Satish Narayanasamy}, title = {Characterization of Unnecessary Computations in Web Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {11--21}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00010}, doi = {10.1109/ISPASS.2019.00010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/GolestaniMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HadjilambrouDWB19, author = {Zacharias Hadjilambrou and Shidhartha Das and Paul N. Whatmough and David M. Bull and Yiannakis Sazeides}, title = {GeST: An Automatic Framework For Generating {CPU} Stress-Tests}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00009}, doi = {10.1109/ISPASS.2019.00009}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HadjilambrouDWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HalpernBMDR19, author = {Matthew Halpern and Behzad Boroujerdian and Todd W. Mummert and Evelyn Duesterwald and Vijay Janapa Reddi}, title = {One Size Does Not Fit All: Quantifying and Exposing the Accuracy-Latency Trade-Off in Machine Learning Cloud Service APIs via Tolerance Tiers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {34--47}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00012}, doi = {10.1109/ISPASS.2019.00012}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HalpernBMDR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HanFK19, author = {Runchao Han and Nikos Foutris and Christos Kotselidis}, title = {Demystifying Crypto-Mining: Analysis and Optimizations of Memory-Hard PoW Algorithms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {22--33}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00011}, doi = {10.1109/ISPASS.2019.00011}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HanFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KarkiKSSHJ19, author = {Aajna Karki and Chethan Palangotu Keshava and Spoorthi Mysore Shivakumar and Joshua Skow and Goutam Madhukeshwar Hegde and Hyeran Jeon}, title = {Tango: {A} Deep Neural Network Benchmark Suite for Various Accelerators}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {137--138}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00021}, doi = {10.1109/ISPASS.2019.00021}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KarkiKSSHJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KaszykWSFOBU19, author = {Kuba Kaszyk and Harry Wagstaff and Tom Spink and Bj{\"{o}}rn Franke and Michael F. P. O'Boyle and Bruno Bodin and Henrik Uhrenholt}, title = {Full-System Simulation of Mobile {CPU/GPU} Platforms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {68--78}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00015}, doi = {10.1109/ISPASS.2019.00015}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KaszykWSFOBU19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KhairyJAR19, author = {Mahmoud Khairy and Akshay Jain and Tor M. Aamodt and Timothy G. Rogers}, title = {A Detailed Model for Contemporary {GPU} Memory Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {141--142}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00023}, doi = {10.1109/ISPASS.2019.00023}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KhairyJAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeK19, author = {Joo Hwan Lee and Hyesoon Kim}, title = {Empirical Investigation of Stale Value Tolerance on Parallel {RNN} Training}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {153--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00029}, doi = {10.1109/ISPASS.2019.00029}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LeeK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LewSPCZSNGSRA19, author = {Jonathan S. Lew and Deval A. Shah and Suchita Pati and Shaylin Cattell and Mengchi Zhang and Amruth Sandhupatla and Christopher Ng and Negar Goli and Matthew D. Sinclair and Timothy G. Rogers and Tor M. Aamodt}, title = {Analyzing Machine Learning Workloads Using a Detailed {GPU} Simulator}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {151--152}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00028}, doi = {10.1109/ISPASS.2019.00028}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LewSPCZSNGSRA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LymLOCE19, author = {Sangkug Lym and Donghyuk Lee and Mike O'Connor and Niladrish Chatterjee and Mattan Erez}, title = {DeLTA: {GPU} Performance Model for Deep Learning Applications with In-Depth Memory System Traffic Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {293--303}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00041}, doi = {10.1109/ISPASS.2019.00041}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LymLOCE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NikolicMMZM19, author = {Milos Nikolic and Mostafa Mahmoud and Andreas Moshovos and Yiren Zhao and Robert D. Mullins}, title = {Characterizing Sources of Ineffectual Computations in Deep Learning Networks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {165--176}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00030}, doi = {10.1109/ISPASS.2019.00030}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/NikolicMMZM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ParasharRSCYMVK19, author = {Angshuman Parashar and Priyanka Raina and Yakun Sophia Shao and Yu{-}Hsin Chen and Victor A. Ying and Anurag Mukkara and Rangharajan Venkatesan and Brucek Khailany and Stephen W. Keckler and Joel S. Emer}, title = {Timeloop: {A} Systematic Approach to {DNN} Accelerator Evaluation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {304--315}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00042}, doi = {10.1109/ISPASS.2019.00042}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ParasharRSCYMVK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PestelSAE19, author = {Sander De Pestel and Sam Van den Steen and Shoaib Akram and Lieven Eeckhout}, title = {{RPPM:} Rapid Performance Prediction of Multithreaded Workloads on Multicore Processors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {257--267}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00038}, doi = {10.1109/ISPASS.2019.00038}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PestelSAE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RaihanGA19, author = {Md Aamir Raihan and Negar Goli and Tor M. Aamodt}, title = {Modeling Deep Learning Accelerator Enabled GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {79--92}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00016}, doi = {10.1109/ISPASS.2019.00016}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/RaihanGA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ReeserTC19, author = {Paul Reeser and Guilhem Tesseyre and Marcus Callaway}, title = {Distributed Software Defined Networking Controller Failure Mode and Availability Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {223--232}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00035}, doi = {10.1109/ISPASS.2019.00035}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ReeserTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SharifyLCBHKA19, author = {Sahel Sharify and Alan W. Lu and Jin Chen and Arnamoy Bhattacharyya and Ali B. Hashemi and Nick Koudas and Cristiana Amza}, title = {An Improved Dynamic Vertical Partitioning Technique for Semi-Structured Data}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {243--256}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00037}, doi = {10.1109/ISPASS.2019.00037}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SharifyLCBHKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SrinivasaHCH19, author = {Guru Prasad Srinivasa and Scott Haseley and Geoffrey Challen and Mark Hempstead}, title = {Quantifying Process Variations and Its Impacts on Smartphones}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {117--126}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00019}, doi = {10.1109/ISPASS.2019.00019}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SrinivasaHCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TahtGB19, author = {Karl Taht and James Greensky and Rajeev Balasubramonian}, title = {The {POP} Detector: {A} Lightweight Online Program Phase Detection Framework}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {48--57}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00013}, doi = {10.1109/ISPASS.2019.00013}, timestamp = {Sat, 04 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/TahtGB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VasudevanMDS19, author = {Dilip P. Vasudevan and George Michelogiannakis and David Donofrio and John Shalf}, title = {{PARADISE} - Post-Moore Architecture and Accelerator Design Space Exploration Using Device Level Simulation and Experiments}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {139--140}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00022}, doi = {10.1109/ISPASS.2019.00022}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/VasudevanMDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangAL19, author = {Bradley Wang and Ayaz Akram and Jason Lowe{-}Power}, title = {FlexCPU: {A} Configurable Out-of-Order {CPU} Abstraction}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {147--148}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00026}, doi = {10.1109/ISPASS.2019.00026}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangAL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangKNG19, author = {Kewen Wang and Mohammad Maifi Hasan Khan and Nhan Nguyen and Swapna S. Gokhale}, title = {A Model Driven Approach Towards Improving the Performance of Apache Spark Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {233--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00036}, doi = {10.1109/ISPASS.2019.00036}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangKNG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangZKRW019, author = {Yu Emma Wang and Yuhao Zhu and Glenn G. Ko and Brandon Reagen and Gu{-}Yeon Wei and David Brooks}, title = {Demystifying Bayesian Inference Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {177--189}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00031}, doi = {10.1109/ISPASS.2019.00031}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangZKRW019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangGD19, author = {Yanqi Zhang and Yu Gan and Christina Delimitrou}, title = {{\(\mathrm{\mu}\)}qSim: Enabling Accurate and Scalable Simulation for Interactive Microservices}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {212--222}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00034}, doi = {10.1109/ISPASS.2019.00034}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhangGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhaoKKSMK19, author = {Zhongyuan Zhao and Hyoukjun Kwon and Sachit Kuhar and Weiguang Sheng and Zhigang Mao and Tushar Krishna}, title = {mRNA: Enabling Efficient Mapping Space Exploration for a Reconfiguration Neural Accelerator}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {282--292}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00040}, doi = {10.1109/ISPASS.2019.00040}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhaoKKSMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhouDCD19, author = {Yufeng Zhou and Xiaowan Dong and Alan L. Cox and Sandhya Dwarkadas}, title = {On the Impact of Instruction Address Translation Overhead}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {106--116}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00018}, doi = {10.1109/ISPASS.2019.00018}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhouDCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2019, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8686044/proceeding}, isbn = {978-1-7281-0746-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AmaralBABCHKORR18, author = {Jos{\'{e}} Nelson Amaral and Edson Borin and Dylan R. Ashley and Caian Benedicto and Elliot Colp and Joao Henrique Stange Hoffmam and Marcus Karpoff and Erick Ochoa and Morgan Redshaw and Raphael Ernani Rodrigues}, title = {The Alberta Workloads for the {SPEC} {CPU} 2017 Benchmark Suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {159--168}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00029}, doi = {10.1109/ISPASS.2018.00029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AmaralBABCHKORR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BodinNWKO18, author = {Bruno Bodin and Luigi Nardi and Harry Wagstaff and Paul H. J. Kelly and Michael F. P. O'Boyle}, title = {Algorithmic Performance-Accuracy Trade-off in 3D Vision Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {123--124}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00024}, doi = {10.1109/ISPASS.2018.00024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BodinNWKO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CeballosSCB18, author = {Germ{\'{a}}n Ceballos and Andreas Sembrant and Trevor E. Carlson and David Black{-}Schaffer}, title = {Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-Based GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00009}, doi = {10.1109/ISPASS.2018.00009}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CeballosSCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenDMCL18, author = {Huixiang Chen and Yuting Dai and Hao Meng and Yilun Chen and Tao Li}, title = {Understanding the Characteristics of Mobile Augmented Reality Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {128--138}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00026}, doi = {10.1109/ISPASS.2018.00026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenDMCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CrawfordO18, author = {Lewis Crawford and Michael F. P. O'Boyle}, title = {A Cross-platform Evaluation of Graphics Shader Compiler Optimization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {219--228}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00035}, doi = {10.1109/ISPASS.2018.00035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CrawfordO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CruzBR18, author = {Miguel Tairum Cruz and Sascha Bischoff and Roxana Rusitoru}, title = {Shifting the Barrier: Extending the Boundaries of the BarrierPoint Methodology}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {120--122}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00023}, doi = {10.1109/ISPASS.2018.00023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CruzBR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DubeS18, author = {Parijat Dube and Zehra Sura}, title = {Impact of System Resources on Performance of Deep Neural Network}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {125--127}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00025}, doi = {10.1109/ISPASS.2018.00025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DubeS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanHBH18, author = {Stijn Eyerman and Wim Heirman and Kristof Du Bois and Ibrahim Hur}, title = {Extending the Performance Analysis Tool Box: Multi-stage {CPI} Stacks and {FLOPS} Stacks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {179--188}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00031}, doi = {10.1109/ISPASS.2018.00031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EyermanHBH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GeraKKHGL18, author = {Prasun Gera and Hyojong Kim and Hyesoon Kim and Sunpyo Hong and Vinod George and Chi{-}Keung Luk}, title = {Performance Characterisation and Simulation of Intel's Integrated {GPU} Architecture}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {139--148}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00027}, doi = {10.1109/ISPASS.2018.00027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GeraKKHGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HadidiAYMGKK18, author = {Ramyad Hadidi and Bahar Asgari and Jeffrey S. Young and Burhan Ahmad Mudassar and Kartikay Garg and Tushar Krishna and Hyesoon Kim}, title = {Performance Implications of NoCs on 3D-Stacked Memories: Insights from the Hybrid Memory Cube}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {99--108}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00018}, doi = {10.1109/ISPASS.2018.00018}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HadidiAYMGKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JiangCQ18, author = {Lei Jiang and Langshi Chen and Judy Qiu}, title = {Performance Characterization of Multi-threaded Graph Processing Applications on Many-Integrated-Core Architecture}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {199--208}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00033}, doi = {10.1109/ISPASS.2018.00033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JiangCQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JinAL18, author = {Young Tack Jin and Sungjoon Ahn and Sungjin Lee}, title = {Performance Analysis of NVMe SSD-Based All-flash Array Systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {12--21}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00010}, doi = {10.1109/ISPASS.2018.00010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JinAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KannanJLTM18, author = {Ram Srivatsa Kannan and Animesh Jain and Michael A. Laurenzano and Lingjia Tang and Jason Mars}, title = {Proctor: Detecting and Investigating Interference in Shared Datacenters}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {76--86}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00016}, doi = {10.1109/ISPASS.2018.00016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KannanJLTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LehmanHL18, author = {Tamara Silbergleit Lehman and Andrew D. Hilton and Benjamin C. Lee}, title = {{MAPS:} Understanding Metadata Access Patterns in Secure Memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {33--43}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00012}, doi = {10.1109/ISPASS.2018.00012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LehmanHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LimayeA18, author = {Ankur Limaye and Tosiron Adegbija}, title = {A Workload Characterization of the {SPEC} {CPU2017} Benchmark Suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {149--158}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00028}, doi = {10.1109/ISPASS.2018.00028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LimayeA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuiSHT18, author = {Michael Lui and Karthik Sangaiah and Mark Hempstead and Baris Taskin}, title = {Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {169--178}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00030}, doi = {10.1109/ISPASS.2018.00030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuiSHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/McDonaldFDIKG18, author = {Nic McDonald and Adriana Flores and Al Davis and Mikhail Isaev and John Kim and Doug Gibson}, title = {SuperSim: Extensible Flit-Level Simulation of Large-Scale Interconnection Networks}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {87--98}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00017}, doi = {10.1109/ISPASS.2018.00017}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/McDonaldFDIKG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NowakSYZ18, author = {Andrzej Nowak and Pawel Szostek and Ahmad Yasin and Willy Zwaenepoel}, title = {Low-Overhead Dynamic Instruction Mix Generation Using Hybrid Basic Block Profiling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {189--198}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00032}, doi = {10.1109/ISPASS.2018.00032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NowakSYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PapadimitriouCK18, author = {George Papadimitriou and Athanasios Chatzidimitriou and Manolis Kaliorakis and Yannos Vastakis and Dimitris Gizopoulos}, title = {Micro-Viruses for Fast System-Level Voltage Margins Characterization in Multicore CPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {54--63}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00014}, doi = {10.1109/ISPASS.2018.00014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PapadimitriouCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/QianCHYW18, author = {Cheng Qian and Bruce R. Childers and Libo Huang and Qi Yu and Zhiying Wang}, title = {{HMCSP:} Reducing Transaction Latency of CSR-based {SPMV} in Hybrid Memory Cube}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {114--116}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00021}, doi = {10.1109/ISPASS.2018.00021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/QianCHYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RomeinV18, author = {John W. Romein and Bram Veenboer}, title = {PowerSensor 2: {A} Fast Power Measurement Tool}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {111--113}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00020}, doi = {10.1109/ISPASS.2018.00020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RomeinV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShingariAGVW18, author = {Davesh Shingari and Akhil Arunkumar and Benjamin Gaudette and Sarma B. K. Vrudhula and Carole{-}Jean Wu}, title = {{DORA:} Optimizing Smartphone Energy Efficiency and Web Browser Performance under Interference}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {64--75}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00015}, doi = {10.1109/ISPASS.2018.00015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShingariAGVW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SunMBDGMK18, author = {Yifan Sun and Saoni Mukherjee and Trinayan Baruah and Shi Dong and Julian Gutierrez and Prannoy Mohan and David R. Kaeli}, title = {Evaluating Performance Tradeoffs on the Radeon Open Compute Platform}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {209--218}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00034}, doi = {10.1109/ISPASS.2018.00034}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SunMBDGMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WalkerBDMA18, author = {Matthew J. Walker and Sascha Bischoff and Stephan Diestelhorst and Geoff V. Merrett and Bashir M. Al{-}Hashimi}, title = {Hardware-Validated {CPU} Performance and Energy Modelling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {44--53}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00013}, doi = {10.1109/ISPASS.2018.00013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WalkerBDMA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WatkinsB18, author = {Matthew A. Watkins and Philip Bedoukian}, title = {Characterizing a Commercial Multidimensional Heterogeneous Processor Under {GPGPU} Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {229--239}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00036}, doi = {10.1109/ISPASS.2018.00036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WatkinsB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YuHQMW18, author = {Qi Yu and Libo Huang and Cheng Qian and Jianqiao Ma and Zhiying Wang}, title = {Evaluating Memory Performance of Emerging Scale-Out Applications Using {C-AMAT}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {117--119}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00022}, doi = {10.1109/ISPASS.2018.00022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YuHQMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangGR18, author = {Mengchi Zhang and Roland N. Green and Timothy G. Rogers}, title = {Characterizing the Runtime Effects of Object-Oriented Workloads on GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {109--110}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00019}, doi = {10.1109/ISPASS.2018.00019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhouRFSRC18, author = {Peipei Zhou and Zhenyuan Ruan and Zhenman Fang and Megan Shand and David Roazen and Jason Cong}, title = {Doppio: I/O-Aware Performance Analysis, Modeling and Optimization for In-memory Computing Framework}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {22--32}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00011}, doi = {10.1109/ISPASS.2018.00011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhouRFSRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2018, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8360838/proceeding}, isbn = {978-1-5386-5010-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlianDDDKK17, author = {Mohammad Alian and Umur Darbaz and G{\'{a}}bor D{\'{o}}zsa and Stephan Diestelhorst and Daehoon Kim and Nam Sung Kim}, title = {dist-gem5: Distributed simulation of computer clusters}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {153--162}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975287}, doi = {10.1109/ISPASS.2017.7975287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlianDDDKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlipourCK17, author = {Mehdi Alipour and Trevor E. Carlson and Stefanos Kaxiras}, title = {A taxonomy of out-of-order instruction commit}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {135--136}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975283}, doi = {10.1109/ISPASS.2017.7975283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlipourCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenWHSL17, author = {Huixiang Chen and Meng Wang and Yang Hu and Mingcong Song and Tao Li}, title = {GaaS workload characterization under {NUMA} architecture for virtualized {GPU}}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {65--76}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975271}, doi = {10.1109/ISPASS.2017.7975271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenWHSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DublishNT17, author = {Saumay Dublish and Vijay Nagarajan and Nigel P. Topham}, title = {Evaluating and mitigating bandwidth bottlenecks across the memory hierarchy in GPUs}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {239--248}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975295}, doi = {10.1109/ISPASS.2017.7975295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DublishNT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ElghamrawyFC17, author = {Karim Elghamrawy and Diana Franklin and Frederic T. Chong}, title = {Predicting memory page stability and its application to memory deduplication and live migration}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {125--126}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975278}, doi = {10.1109/ISPASS.2017.7975278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ElghamrawyFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FerreronJBR17, author = {Alexandra Ferreron and Radhika Jagtap and Sascha Bischoff and Roxana Rusitoru}, title = {Crossing the architectural barrier: Evaluating representative regions of parallel {HPC} applications}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {109--120}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975275}, doi = {10.1109/ISPASS.2017.7975275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FerreronJBR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Gomez-LunaHCGGJ17, author = {Juan G{\'{o}}mez{-}Luna and Izzat El Hajj and Li{-}Wen Chang and Victor Garcia{-}Flores and Simon Garcia De Gonzalo and Thomas B. Jablin and Antonio J. Pe{\~{n}}a and Wen{-}mei W. Hwu}, title = {Chai: Collaborative heterogeneous applications for integrated-architectures}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {43--54}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975269}, doi = {10.1109/ISPASS.2017.7975269}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Gomez-LunaHCGGJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GongUK17, author = {Xun Gong and Rafael Ubal and David R. Kaeli}, title = {Multi2Sim Kepler: {A} detailed architectural {GPU} simulator}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {269--278}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975298}, doi = {10.1109/ISPASS.2017.7975298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GongUK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HariTSKE17, author = {Siva Kumar Sastry Hari and Timothy Tsai and Mark Stephenson and Stephen W. Keckler and Joel S. Emer}, title = {{SASSIFI:} An architecture-level fault injection tool for {GPU} application resilience evaluation}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {249--258}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975296}, doi = {10.1109/ISPASS.2017.7975296}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HariTSKE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HegdeLS017, author = {Nikhil Hegde and Jianqiao Liu and Kirshanthan Sundararajah and Milind Kulkarni}, title = {Treelogy: {A} benchmark suite for tree traversals}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {227--238}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975294}, doi = {10.1109/ISPASS.2017.7975294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HegdeLS017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/John17, author = {Lizy Kurian John}, title = {Machine learning for performance and power modeling/prediction}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975264}, doi = {10.1109/ISPASS.2017.7975264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/John17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KimNJL17, author = {Heehoon Kim and Hyoungwook Nam and Wookeun Jung and Jaejin Lee}, title = {Performance analysis of {CNN} frameworks for GPUs}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {55--64}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975270}, doi = {10.1109/ISPASS.2017.7975270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KimNJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KumarCBPSGMG17, author = {Rakesh Kumar and Jos{\'{e}} Cano and Aleksandar Brankovic and Demos Pavlou and Kyriakos Stavrou and Enric Gibert and Alejandro Mart{\'{\i}}nez and Antonio Gonzalez}, title = {{HW/SW} co-designed processors: Challenges, design choices and a simulation infrastructure for evaluation}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {185--194}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975290}, doi = {10.1109/ISPASS.2017.7975290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KumarCBPSGMG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KumarPL17, author = {Rajesh Kumar and Suchita Pati and Kanishka Lahiri}, title = {{DARTS:} Performance-counter driven sampling using binary translators}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {131--132}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975281}, doi = {10.1109/ISPASS.2017.7975281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KumarPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KwonK17, author = {Hyoukjun Kwon and Tushar Krishna}, title = {OpenSMART: Single-cycle multi-hop NoC generator in {BSV} and Chisel}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {195--204}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975291}, doi = {10.1109/ISPASS.2017.7975291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KwonK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LahiriK17, author = {Kanishka Lahiri and Subhash Kunnoth}, title = {Fast {IPC} estimation for performance projections using proxy suites and decision trees}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {77--86}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975272}, doi = {10.1109/ISPASS.2017.7975272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LahiriK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeJJLK17, author = {Jaewon Lee and Hanhwi Jang and Jae{-}Eon Jo and Gyu{-}hyeon Lee and Jangwoo Kim}, title = {StressRight: Finding the right stress for accurate in-development system evaluation}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {205--216}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975292}, doi = {10.1109/ISPASS.2017.7975292}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeJJLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LopesPSI17, author = {Andre Lopes and Frederico Pratas and Leonel Sousa and Aleksandar Ilic}, title = {Exploring {GPU} performance, power and energy-efficiency bounds with Cache-aware Roofline Modeling}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {259--268}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975297}, doi = {10.1109/ISPASS.2017.7975297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LopesPSI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MilicRCR17, author = {Ugljesa Milic and Alejandro Rico and Paul M. Carpenter and Alex Ram{\'{\i}}rez}, title = {Sharing the instruction cache among lean cores on an asymmetric {CMP} for {HPC} applications}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {3--12}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975265}, doi = {10.1109/ISPASS.2017.7975265}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MilicRCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PandaJ17, author = {Reena Panda and Lizy Kurian John}, title = {Proxy benchmarks for emerging big-data workloads}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {139--140}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975285}, doi = {10.1109/ISPASS.2017.7975285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PandaJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PandaZJ17, author = {Reena Panda and Xinnian Zheng and Lizy Kurian John}, title = {Accurate address streams for {LLC} and beyond {(SLAB):} {A} methodology to enable system exploration}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {87--96}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975273}, doi = {10.1109/ISPASS.2017.7975273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PandaZJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RodchenkoKNPL17, author = {Andrey Rodchenko and Christos Kotselidis and Andy Nisbet and Antoniu Pop and Mikel Luj{\'{a}}n}, title = {MaxSim: {A} simulation platform for managed applications}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {141--152}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975286}, doi = {10.1109/ISPASS.2017.7975286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RodchenkoKNPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RzayevAGMK17, author = {Tayyar Rzayev and David H. Albonesi and Fran{\c{c}}ois Guimbreti{\`{e}}re and Rajit Manohar and Jaeyeon Kihm}, title = {Toolbox for exploration of energy-efficient event processors for human-computer interaction}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {173--184}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975289}, doi = {10.1109/ISPASS.2017.7975289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RzayevAGMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SartorBEE17, author = {Jennifer B. Sartor and Kristof Du Bois and Stijn Eyerman and Lieven Eeckhout}, title = {Analyzing the scalability of managed language applications with speedup stacks}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {23--32}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975267}, doi = {10.1109/ISPASS.2017.7975267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SartorBEE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SongMLN17, author = {Hyunsub Song and Young Je Moon and Se Kwon Lee and Sam H. Noh}, title = {{PMAL:} Enabling lightweight adaptation of legacy file systems on persistent memory systems}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {33--42}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975268}, doi = {10.1109/ISPASS.2017.7975268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SongMLN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/UedaO17, author = {Yohei Ueda and Moriyoshi Ohara}, title = {Performance competitiveness of a statically compiled language for server-side Web applications}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {13--22}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975266}, doi = {10.1109/ISPASS.2017.7975266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/UedaO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ValleroCTG17, author = {Alessandro Vallero and Stefano Di Carlo and Sotiris Tselonis and Dimitris Gizopoulos}, title = {Microarchitecture level reliability comparison of modern {GPU} designs: First findings}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {129--130}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975280}, doi = {10.1109/ISPASS.2017.7975280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ValleroCTG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WagstaffBSF17, author = {Harry Wagstaff and Bruno Bodin and Tom Spink and Bj{\"{o}}rn Franke}, title = {SimBench: {A} portable benchmarking methodology for full-system simulators}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {217--226}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975293}, doi = {10.1109/ISPASS.2017.7975293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WagstaffBSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangAS17, author = {Yipeng Wang and Amro Awad and Yan Solihin}, title = {Clone morphing: Creating new workload behavior from existing applications}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {97--108}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975274}, doi = {10.1109/ISPASS.2017.7975274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangPJ17, author = {Jiajun Wang and Reena Panda and Lizy Kurian John}, title = {Prefetching for cloud workloads: An analysis based on address patterns}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {163--172}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975288}, doi = {10.1109/ISPASS.2017.7975288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangTWCWCLSYHKL17, author = {Li Wang and Ren{-}Wei Tsai and Shao{-}Chung Wang and Kun{-}Chih Chen and Po{-}Han Wang and Hsiang{-}Yun Cheng and Yi{-}Chung Lee and Sheng{-}Jie Shu and Chun{-}Chieh Yang and Min{-}Yih Hsu and Li{-}Chen Kan and Chao{-}Lin Lee and Tzu{-}Chieh Yu and Rih{-}Ding Peng and Chia{-}Lin Yang and Yuan{-}Shin Hwang and Jenq Kuen Lee and Shiao{-}Li Tsao and Ming Ouhyoung}, title = {Analyzing OpenCL 2.0 workloads using a heterogeneous {CPU-GPU} simulator}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {127--128}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975279}, doi = {10.1109/ISPASS.2017.7975279}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangTWCWCLSYHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WatkinsB17, author = {Matthew A. Watkins and Philip Bedoukian}, title = {Characterization of {GPGPU} workloads on a multidimensional heterogeneous processor}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {121--122}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975276}, doi = {10.1109/ISPASS.2017.7975276}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WatkinsB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XiaZRRFP17, author = {Susie Xia and Zhenyun Zhuang and Anant Rao and Haricharan Ramachandra and Yi Feng and Ramya Pasumarti}, title = {Service capacity measurement by redlining with live production traffic}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {123--124}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975277}, doi = {10.1109/ISPASS.2017.7975277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/XiaZRRFP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XuAMBYA17, author = {Qiumin Xu and Manu Awasthi and Krishna T. Malladi and Janki Bhimani and Jingpei Yang and Murali Annavaram}, title = {Docker characterization on high performance SSDs}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {133--134}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975282}, doi = {10.1109/ISPASS.2017.7975282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/XuAMBYA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangLZRC17, author = {Jiutian Zhang and Yuhang Liu and Xiaojing Zhu and Yuan Ruan and Mingyu Chen}, title = {{PTAT:} An efficient and precise tool for collecting detailed {TLB} miss traces}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {137--138}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975284}, doi = {10.1109/ISPASS.2017.7975284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangLZRC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2017, title = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7965804/proceeding}, isbn = {978-1-5386-3890-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/0004RZJ16, author = {Lei Wang and Rui Ren and Jianfeng Zhan and Zhen Jia}, title = {Characterization and architectural implications of big data workloads}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {145--146}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482083}, doi = {10.1109/ISPASS.2016.7482083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/0004RZJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AdhinarayananF16, author = {Vignesh Adhinarayanan and Wu{-}chun Feng}, title = {An automated framework for characterizing and subsetting {GPGPU} workloads}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {307--317}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482105}, doi = {10.1109/ISPASS.2016.7482105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AdhinarayananF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AkramSE16, author = {Shoaib Akram and Jennifer B. Sartor and Lieven Eeckhout}, title = {{DVFS} performance prediction for managed multithreaded applications}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {12--23}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482070}, doi = {10.1109/ISPASS.2016.7482070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AkramSE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlsopSKA16, author = {Johnathan Alsop and Matthew D. Sinclair and Rakesh Komuravelli and Sarita V. Adve}, title = {{GSI:} {A} {GPU} Stall Inspector to characterize the sources of memory stalls for tightly coupled GPUs}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {172--182}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482092}, doi = {10.1109/ISPASS.2016.7482092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlsopSKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ArjomandJKSD16, author = {Mohammad Arjomand and Amin Jadidi and Mahmut T. Kandemir and Anand Sivasubramaniam and Chita R. Das}, title = {{MLC} {PCM} main memory with accelerated read}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {143--144}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482082}, doi = {10.1109/ISPASS.2016.7482082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ArjomandJKSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BrownYLSMTR16, author = {Martin K. Brown and Zachary Yannes and Michael Lustig and Mazdak Sanati and Sally A. McKee and Gary S. Tyson and Steven K. Reinhardt}, title = {Agave: {A} benchmark suite for exploring the complexities of the Android software stack}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {157--158}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482089}, doi = {10.1109/ISPASS.2016.7482089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BrownYLSMTR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BushKMM16, author = {Jeff Bush and Mohammad A. Khasawneh and Khaled Z. Mahmoud and Timothy N. Miller}, title = {NyuziRaster: Optimizing rasterizer performance and energy in the Nyuzi open source {GPU}}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {204--213}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482095}, doi = {10.1109/ISPASS.2016.7482095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BushKMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Chatzidimitriou16, author = {Athanasios Chatzidimitriou and Dimitris Gizopoulos}, title = {Anatomy of microarchitecture-level reliability assessment: Throughput and accuracy}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482075}, doi = {10.1109/ISPASS.2016.7482075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Chatzidimitriou16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChibaO16, author = {Tatsuhiro Chiba and Tamiya Onodera}, title = {Workload characterization and optimization of {TPC-H} queries on Apache Spark}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {112--121}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482079}, doi = {10.1109/ISPASS.2016.7482079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChibaO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChowdhuryKKR16, author = {Rangeen Basu Roy Chowdhury and Anil K. Kannepalli and Sungkwan Ku and Eric Rotenberg}, title = {AnyCore: {A} synthesizable {RTL} model for exploring and fabricating adaptive superscalar cores}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {214--224}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482096}, doi = {10.1109/ISPASS.2016.7482096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChowdhuryKKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DrebesPH016, author = {Andi Drebes and Antoniu Pop and Karine Heydemann and Albert Cohen}, title = {Interactive visualization of cross-layer performance anomalies in dynamic task-parallel applications and systems}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {274--283}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482102}, doi = {10.1109/ISPASS.2016.7482102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DrebesPH016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FanL16, author = {Songchun Fan and Benjamin C. Lee}, title = {Evaluating asymmetric multiprocessing for mobile applications}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {235--244}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482098}, doi = {10.1109/ISPASS.2016.7482098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FanL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Fatollahi-FardD16, author = {Farzad Fatollahi{-}Fard and David Donofrio and George Michelogiannakis and John Shalf}, title = {OpenSoC Fabric: On-chip network generator}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {194--203}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482094}, doi = {10.1109/ISPASS.2016.7482094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Fatollahi-FardD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GiefersSBH16, author = {Heiner Giefers and Peter W. J. Staar and Costas Bekas and Christoph Hagleitner}, title = {Analyzing the energy-efficiency of sparse matrix multiplication on heterogeneous systems: {A} comparative study of GPU, Xeon Phi and {FPGA}}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {46--56}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482073}, doi = {10.1109/ISPASS.2016.7482073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GiefersSBH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GottschoGSSG16, author = {Mark Gottscho and Sriram Govindan and Bikash Sharma and Mohammed Shoaib and Puneet Gupta}, title = {X-Mem: {A} cross-platform and extensible memory characterization tool for the cloud}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {263--273}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482101}, doi = {10.1109/ISPASS.2016.7482101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GottschoGSSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GrassRCMA16, author = {Thomas Grass and Alejandro Rico and Marc Casas and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}}}, title = {TaskPoint: Sampled simulation of task-based programs}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {296--306}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482104}, doi = {10.1109/ISPASS.2016.7482104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GrassRCMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Hagersten16, author = {Erik Hagersten}, title = {Message from the general chair}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {vi}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482065}, doi = {10.1109/ISPASS.2016.7482065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Hagersten16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/IlbeyiB16, author = {Berkin Ilbeyi and Christopher Batten}, title = {JIT-assisted fast-forward embedding and instrumentation to enable fast, accurate, and agile simulation}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {284--295}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482103}, doi = {10.1109/ISPASS.2016.7482103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/IlbeyiB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JagtapDH16, author = {Radhika Jagtap and Stephan Diestelhorst and Andreas Hansson}, title = {Elastic traces for fast and accurate system performance exploration}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {147--148}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482084}, doi = {10.1109/ISPASS.2016.7482084}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JagtapDH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JongS16, author = {Ren{\'{e}} de Jong and Andreas Sandberg}, title = {NoMali: Simulating a realistic graphics driver stack using a stub {GPU}}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {255--262}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482100}, doi = {10.1109/ISPASS.2016.7482100}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/JongS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JuKK16, author = {Minho Ju and Hyeonggyu Kim and Soontae Kim}, title = {MofySim: {A} mobile full-system simulation framework for energy consumption and performance analysis}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {245--254}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482099}, doi = {10.1109/ISPASS.2016.7482099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JuKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LaurenzanoTCJWC16, author = {Michael A. Laurenzano and Ananta Tiwari and Allyson Cauble{-}Chantrenne and Adam Jundt and William A. Ward Jr. and Roy L. Campbell and Laura Carrington}, title = {Characterization and bottleneck analysis of a 64-bit ARMv8 platform}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {36--45}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482072}, doi = {10.1109/ISPASS.2016.7482072}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LaurenzanoTCJWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuCDDB16, author = {Yanpei Liu and Guilherme Cox and Qingyuan Deng and Stark C. Draper and Ricardo Bianchini}, title = {FastCap: An efficient and fair algorithm for power capping in many-core systems}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {57--68}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482074}, doi = {10.1109/ISPASS.2016.7482074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiuCDDB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuoBLDY16, author = {Hao Luo and Jacob Brock and Pengcheng Li and Chen Ding and Chencheng Ye}, title = {Compositional model of coherence and {NUMA} effects for optimizing thread and data placement}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {151--152}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482086}, doi = {10.1109/ISPASS.2016.7482086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuoBLDY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MalikSJRH16, author = {Maria Malik and Avesta Sasan and Rajiv V. Joshi and Setareh Rafatirah and Houman Homayoun}, title = {Characterizing Hadoop applications on microservers for performance and energy efficiency optimizations}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {153--154}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482087}, doi = {10.1109/ISPASS.2016.7482087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MalikSJRH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Moshovos16, author = {Andreas Moshovos}, title = {Message from the program chair}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {vii}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482066}, doi = {10.1109/ISPASS.2016.7482066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Moshovos16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MukherjeeSBZK16, author = {Saoni Mukherjee and Yifan Sun and Paul Blinzer and Amir Kavyan Ziabari and David R. Kaeli}, title = {A comprehensive performance analysis of {HSA} and OpenCL 2.0}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {183--193}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482093}, doi = {10.1109/ISPASS.2016.7482093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MukherjeeSBZK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NikolerisSHC16, author = {Nikos Nikoleris and Andreas Sandberg and Erik Hagersten and Trevor E. Carlson}, title = {CoolSim: Eliminating traditional cache warming with fast, virtualized profiling}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {149--150}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482085}, doi = {10.1109/ISPASS.2016.7482085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NikolerisSHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PalitSF16, author = {Tapti Palit and Yongming Shen and Michael Ferdman}, title = {Demystifying cloud benchmarking}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {122--132}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482080}, doi = {10.1109/ISPASS.2016.7482080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PalitSF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SakalisLKR16, author = {Christos Sakalis and Carl Leonardsson and Stefanos Kaxiras and Alberto Ros}, title = {Splash-3: {A} properly synchronized benchmark suite for contemporary research}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {101--111}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482078}, doi = {10.1109/ISPASS.2016.7482078}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SakalisLKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShahidiASKD16, author = {Narges Shahidi and Mohammad Arjomand and Anand Sivasubramaniam and Mahmut T. Kandemir and Chita R. Das}, title = {Storage consolidation: Not always a panacea, but can we ease the pain?}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {159--160}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482090}, doi = {10.1109/ISPASS.2016.7482090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShahidiASKD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShevgoorBCK16, author = {Manjunath Shevgoor and Rajeev Balasubramonian and Niladrish Chatterjee and Jung{-}Sik Kim}, title = {Addressing service interruptions in memory with thread-to-rank assignment}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {24--35}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482071}, doi = {10.1109/ISPASS.2016.7482071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShevgoorBCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SmaragdosCNRSSZ16, author = {Georgios Smaragdos and Georgios Chatzikonstantis and Sofia Nomikou and Dimitrios Rodopoulos and Ioannis Sourdis and Dimitrios Soudris and Chris I. De Zeeuw and Christos Strydis}, title = {Performance analysis of accelerated biophysically-meaningful neuron simulations}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482069}, doi = {10.1109/ISPASS.2016.7482069}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SmaragdosCNRSSZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SouthernR16, author = {Gabriel Southern and Jose Renau}, title = {Analysis of {PARSEC} workload scalability}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482081}, doi = {10.1109/ISPASS.2016.7482081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SouthernR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TanBJAAV16, author = {Xubin Tan and Jaume Bosch and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Carlos {\'{A}}lvarez{-}Mart{\'{\i}}nez and Eduard Ayguad{\'{e}} and Mateo Valero}, title = {Performance analysis of a hardware accelerator of dependence management for task-based dataflow programming models}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {225--234}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482097}, doi = {10.1109/ISPASS.2016.7482097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TanBJAAV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ThomasST16, author = {Renji Thomas and Naser Sedaghati and Radu Teodorescu}, title = {EmerGPU: Understanding and mitigating resonance-induced voltage noise in {GPU} architectures}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {79--89}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482076}, doi = {10.1109/ISPASS.2016.7482076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ThomasST16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TranBH16, author = {Vi Ngoc{-}Nha Tran and Brendan Barry and Phuong Hoai Ha}, title = {RTHpower: Accurate fine-grained power models for predicting race-to-halt effect on ultra-low power embedded systems}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {155--156}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482088}, doi = {10.1109/ISPASS.2016.7482088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TranBH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TselonisG16, author = {Sotiris Tselonis and Dimitris Gizopoulos}, title = {{GUFI:} {A} framework for GPUs reliability assessment}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {90--100}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482077}, doi = {10.1109/ISPASS.2016.7482077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TselonisG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VeselyBOLB16, author = {J{\'{a}}n Vesel{\'{y}} and Arkaprava Basu and Mark Oskin and Gabriel H. Loh and Abhishek Bhattacharjee}, title = {Observations and opportunities in architecting shared virtual memory for heterogeneous systems}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {161--171}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482091}, doi = {10.1109/ISPASS.2016.7482091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VeselyBOLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2016, title = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7480598/proceeding}, isbn = {978-1-5090-1953-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AnderschLAJ15, author = {Michael Andersch and Jan Lucas and Mauricio Alvarez{-}Mesa and Ben H. H. Juurlink}, title = {On latency in {GPU} throughput microarchitectures}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {169--170}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095801}, doi = {10.1109/ISPASS.2015.7095801}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AnderschLAJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AwadKS15, author = {Amro Awad and Brett Kettering and Yan Solihin}, title = {Non-volatile memory host controller interface performance analysis in high-performance {I/O} systems}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {145--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095793}, doi = {10.1109/ISPASS.2015.7095793}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AwadKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BlakeS15, author = {Geoffrey Blake and Ali G. Saidi}, title = {Where does the time go? characterizing tail latency in memcached}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {21--31}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095781}, doi = {10.1109/ISPASS.2015.7095781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BlakeS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BushDMC15, author = {Jeff Bush and Philip Dexter and Timothy N. Miller and Aaron Carpenter}, title = {Nyami: a synthesizable {GPU} architectural model for general-purpose and graphics-specific workloads}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {173--182}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095803}, doi = {10.1109/ISPASS.2015.7095803}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BushDMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenC15, author = {Jian Chen and Russell M. Clapp}, title = {Critical-path candidates: scalable performance modeling for {MPI} workloads}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095779}, doi = {10.1109/ISPASS.2015.7095779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenCX15, author = {Yu{-}Ting Chen and Jason Cong and Bingjun Xiao}, title = {ARACompiler: a prototyping flow and evaluation framework for accelerator-rich architectures}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {157--158}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095795}, doi = {10.1109/ISPASS.2015.7095795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenCX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanMR15, author = {Stijn Eyerman and Pierre Michaud and Wouter Rogiest}, title = {Revisiting symbiotic job scheduling}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {124--134}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095791}, doi = {10.1109/ISPASS.2015.7095791}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EyermanMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FelterFRR15, author = {Wes Felter and Alexandre Ferreira and Ram Rajamony and Juan Rubio}, title = {An updated performance comparison of virtual machines and Linux containers}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {171--172}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095802}, doi = {10.1109/ISPASS.2015.7095802}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FelterFRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GaoGRDMW15, author = {Cao Gao and Anthony Gutierrez and Madhav Rajan and Ronald G. Dreslinski and Trevor N. Mudge and Carole{-}Jean Wu}, title = {A study of mobile device utilization}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {225--234}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095808}, doi = {10.1109/ISPASS.2015.7095808}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GaoGRDMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GuttmanKAC15, author = {Diana R. Guttman and Mahmut T. Kandemir and Meenakshi Arunachalam and Vlad Calina}, title = {Performance and energy evaluation of data prefetching on intel Xeon Phi}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {288--297}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095814}, doi = {10.1109/ISPASS.2015.7095814}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GuttmanKAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HadjilambrouKS15, author = {Zacharias Hadjilambrou and Marios Kleanthous and Yanos Sazeides}, title = {Characterization and analysis of a web search benchmark}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {328--337}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095818}, doi = {10.1109/ISPASS.2015.7095818}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HadjilambrouKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HalpernZPR15, author = {Matthew Halpern and Yuhao Zhu and Ramesh Peri and Vijay Janapa Reddi}, title = {Mosaic: cross-platform user-interaction record and replay for the fragmented android ecosystem}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {215--224}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095807}, doi = {10.1109/ISPASS.2015.7095807}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HalpernZPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HoGNNMAFCS15, author = {Chen{-}Han Ho and Venkatraman Govindaraju and Tony Nowatzki and Ranjini Nagaraju and Zachary Marzec and Preeti Agarwal and Chris Frericks and Ryan Cofell and Karthikeyan Sankaralingam}, title = {Performance evaluation of a DySER {FPGA} prototype system spanning the compiler, microarchitecture, and hardware implementation}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {203--214}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095806}, doi = {10.1109/ISPASS.2015.7095806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HoGNNMAFCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JacobvitzHS15, author = {Adam N. Jacobvitz and Andrew D. Hilton and Daniel J. Sorin}, title = {Multi-program benchmark definition}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {72--82}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095786}, doi = {10.1109/ISPASS.2015.7095786}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JacobvitzHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JongH15, author = {Ren{\'{e}} de Jong and Andreas Hansson}, title = {A full-system approach to analyze the impact of next-generation mobile flash storage}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {235--244}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095809}, doi = {10.1109/ISPASS.2015.7095809}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JongH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KestorGC15, author = {Gokcen Kestor and Roberto Gioiosa and Daniel G. Chavarr{\'{\i}}a{-}Miranda}, title = {Prometheus: scalable and accurate emulation of task-based applications on many-core systems}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {308--317}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095816}, doi = {10.1109/ISPASS.2015.7095816}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KestorGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KlenkOF15, author = {Benjamin Klenk and Lena Oden and Holger Fr{\"{o}}ning}, title = {Analyzing communication models for distributed thread-collaborative processors in terms of energy and time}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {318--327}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095817}, doi = {10.1109/ISPASS.2015.7095817}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KlenkOF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Lee15, author = {Benjamin C. Lee}, title = {Message from the general chair}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {vi}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095776}, doi = {10.1109/ISPASS.2015.7095776}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Lee15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiCP15, author = {Bin Li and Shaoming Chen and Lu Peng}, title = {Precise computer comparisons via statistical resampling methods}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {83--92}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095787}, doi = {10.1109/ISPASS.2015.7095787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LimLGBS15, author = {Seung{-}Hwan Lim and Sangkeun Lee and Gautam Ganesh and Tyler C. Brown and Sreenivas R. Sukumar}, title = {Graph Processing Platforms at Scale: Practices and Experiences}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {42--51}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095783}, doi = {10.1109/ISPASS.2015.7095783}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LimLGBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuBWBH15, author = {Hu{-}Qiu Liu and Jia{-}Ju Bai and Yu{-}Ping Wang and Zhe Bian and Shi{-}Min Hu}, title = {Pairminer: mining for paired functions in Kernel extensions}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {93--101}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095788}, doi = {10.1109/ISPASS.2015.7095788}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiuBWBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LockhartIB15, author = {Derek Lockhart and Berkin Ilbeyi and Christopher Batten}, title = {Pydgin: generating fast instruction set simulators from simple architecture descriptions with meta-tracing {JIT} compilers}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {256--267}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095811}, doi = {10.1109/ISPASS.2015.7095811}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LockhartIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MayankDWZ15, author = {Kothiya Mayank and Hongwen Dai and Jizeng Wei and Huiyang Zhou}, title = {Analyzing graphics processor unit {(GPU)} instruction set architectures}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {155--156}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095794}, doi = {10.1109/ISPASS.2015.7095794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MayankDWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MoengJM15, author = {Michael Moeng and Alex K. Jones and Rami G. Melhem}, title = {Reciprocal abstraction for computer architecture co-simulation}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {268--277}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095812}, doi = {10.1109/ISPASS.2015.7095812}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MoengJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NilakantanSMSTH15, author = {Siddharth Nilakantan and Karthik Sangaiah and Ankit More and Giordano Salvador and Baris Taskin and Mark Hempstead}, title = {Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {278--287}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095813}, doi = {10.1109/ISPASS.2015.7095813}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NilakantanSMSTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NowakLZ15, author = {Andrzej Nowak and David Levinthal and Willy Zwaenepoel}, title = {Hierarchical cycle accounting: a new method for application performance tuning}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {112--123}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095790}, doi = {10.1109/ISPASS.2015.7095790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NowakLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OkaJMI15, author = {Keitaro Oka and Wenhao Jia and Margaret Martonosi and Koji Inoue}, title = {Characterization and cross-platform analysis of high-throughput accelerators}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {161--162}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095797}, doi = {10.1109/ISPASS.2015.7095797}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OkaJMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OxmanW15, author = {Gadi Oxman and Shlomo Weiss}, title = {{DNOC:} an accurate and fast virtual channel and deflection routing network-on-chip simulator}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {193--202}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095805}, doi = {10.1109/ISPASS.2015.7095805}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OxmanW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PanJ15, author = {Xiaoyue Pan and Bengt Jonsson}, title = {A modeling framework for reuse distance-based estimation of cache performance}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {62--71}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095785}, doi = {10.1109/ISPASS.2015.7095785}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PanJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PapamichaelCCCH15, author = {Michael Papamichael and Cagla Cakir and Chen Sun and Chia{-}Hsin Owen Chen and James C. Hoe and Ken Mai and Li{-}Shiuan Peh and Vladimir Stojanovic}, title = {{DELPHI:} a framework for RTL-based architecture design evaluation using {DSENT} models}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {11--20}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095780}, doi = {10.1109/ISPASS.2015.7095780}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PapamichaelCCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PestelEE15, author = {Sander De Pestel and Stijn Eyerman and Lieven Eeckhout}, title = {Micro-architecture independent branch behavior characterization}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {135--144}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095792}, doi = {10.1109/ISPASS.2015.7095792}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PestelEE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/QianLSJS15, author = {Junjie Qian and Du Li and Witawas Srisa{-}an and Hong Jiang and Sharad C. Seth}, title = {Factors affecting scalability of multithreaded Java applications on manycore systems}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {167--168}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095800}, doi = {10.1109/ISPASS.2015.7095800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/QianLSJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Renau15, author = {Jose Renau}, title = {Message from the program chair}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {vii}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095777}, doi = {10.1109/ISPASS.2015.7095777}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Renau15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShankarLJWIP15, author = {Dipti Shankar and Xiaoyi Lu and Jithin Jose and Md. Wasi{-}ur{-}Rahman and Nusrat S. Islam and Dhabaleswar K. Panda}, title = {Can {RDMA} benefit online data processing workloads on memcached and MySQL?}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {159--160}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095796}, doi = {10.1109/ISPASS.2015.7095796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShankarLJWIP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SmolinskiKSA15, author = {Robert Smolinski and Rakesh Komuravelli and Hyojin Sung and Sarita V. Adve}, title = {Eliminating on-chip traffic waste: are we there yet?}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {163--164}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095798}, doi = {10.1109/ISPASS.2015.7095798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SmolinskiKSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SteenPMECBHE15, author = {Sam Van den Steen and Sander De Pestel and Moncef Mechri and Stijn Eyerman and Trevor E. Carlson and David Black{-}Schaffer and Erik Hagersten and Lieven Eeckhout}, title = {Micro-architecture independent analytical processor performance and power modeling}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {32--41}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095782}, doi = {10.1109/ISPASS.2015.7095782}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SteenPMECBHE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TongM15, author = {Xin Tong and Andreas Moshovos}, title = {QTrace: a framework for customizable full system instrumentation}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {245--255}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095810}, doi = {10.1109/ISPASS.2015.7095810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TongM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WanCZ15, author = {Lipeng Wan and Qing Cao and Wenjun Zhou}, title = {Estimation-based profiling for code placement optimization in sensor network programs}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {165--166}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095799}, doi = {10.1109/ISPASS.2015.7095799}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WanCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangS15, author = {Yipeng Wang and Yan Solihin}, title = {Emulating cache organizations on real hardware using performance cloning}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {298--307}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095815}, doi = {10.1109/ISPASS.2015.7095815}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Weaver15, author = {Vincent M. Weaver}, title = {Self-monitoring overhead of the Linux perf{\_} event performance counter interface}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {102--111}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095789}, doi = {10.1109/ISPASS.2015.7095789}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Weaver15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YoonOLKKR15, author = {Myung Kuk Yoon and Yunho Oh and Sangpil Lee and Seung{-}Hun Kim and Deokho Kim and Won Woo Ro}, title = {{DRAW:} investigating benefits of adaptive fetch group size on {GPU}}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {183--192}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095804}, doi = {10.1109/ISPASS.2015.7095804}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YoonOLKKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YountPIS15, author = {Charles Yount and Harish Patil and Mohammad S. Islam and Aditya Srikanth}, title = {Graph-matching-based simulation-region selection for multiple binaries}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {52--61}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095784}, doi = {10.1109/ISPASS.2015.7095784}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YountPIS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2015, title = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7093633/proceeding}, isbn = {978-1-4799-1957-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/0002R14, author = {Andreas Abel and Jan Reineke}, title = {Reverse engineering of cache replacement policies in Intel microprocessors and their evaluation}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {141--142}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844475}, doi = {10.1109/ISPASS.2014.6844475}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/0002R14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CarlsonHCE14, author = {Trevor E. Carlson and Wim Heirman and Kenzo Van Craeynest and Lieven Eeckhout}, title = {BarrierPoint: Sampled simulation of multi-threaded applications}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {2--12}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844456}, doi = {10.1109/ISPASS.2014.6844456}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CarlsonHCE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CebrianJN14, author = {Juan M. Cebrian and Magnus Jahre and Lasse Natvig}, title = {Optimized hardware for suboptimal software: The case for SIMD-aware benchmarks}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844462}, doi = {10.1109/ISPASS.2014.6844462}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CebrianJN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Chong14, author = {Fred Chong}, title = {Bridging the energy-efficiency gap in a future of massive data}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844455}, doi = {10.1109/ISPASS.2014.6844455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Chong14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DaiJ14, author = {Wenbo Dai and Natalie D. Enright Jerger}, title = {Accelerating network-on-chip simulation via sampling}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {135--136}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844472}, doi = {10.1109/ISPASS.2014.6844472}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DaiJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Diwan14, author = {Amer Diwan}, title = {Life lessons and datacenter performance analysis}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {147}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844478}, doi = {10.1109/ISPASS.2014.6844478}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Diwan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EklovNH14, author = {David Eklov and Nikos Nikoleris and Erik Hagersten}, title = {A software based profiling method for obtaining speedup stacks on commodity multi-cores}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {148--157}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844479}, doi = {10.1109/ISPASS.2014.6844479}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EklovNH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FangPRG14, author = {Bo Fang and Karthik Pattabiraman and Matei Ripeanu and Sudhanva Gurumurthi}, title = {GPU-Qin: {A} methodology for evaluating the error resilience of {GPGPU} applications}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {221--230}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844486}, doi = {10.1109/ISPASS.2014.6844486}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FangPRG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FarahaniKM14, author = {Amin Farmahini Farahani and Nam Sung Kim and Katherine Morrow}, title = {Energy-efficient reconfigurable cache architectures for accelerator-enabled embedded systems}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {211--220}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844485}, doi = {10.1109/ISPASS.2014.6844485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FarahaniKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FuW14, author = {Yaosheng Fu and David Wentzlaff}, title = {PriME: {A} parallel and distributed simulator for thousand-core chips}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {116--125}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844467}, doi = {10.1109/ISPASS.2014.6844467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FuW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GaoGDMFB14, author = {Cao Gao and Anthony Gutierrez and Ronald G. Dreslinski and Trevor N. Mudge and Kriszti{\'{a}}n Flautner and Geoffrey Blake}, title = {A study of Thread Level Parallelism on mobile devices}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {126--127}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844468}, doi = {10.1109/ISPASS.2014.6844468}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GaoGDMFB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GuptaST14, author = {Anshuman Gupta and Jack Sampson and Michael Bedford Taylor}, title = {Quality Time: {A} simple online technique for quantifying multicore execution efficiency}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {169--179}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844481}, doi = {10.1109/ISPASS.2014.6844481}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GuptaST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GutierrezPDMSEHP14, author = {Anthony Gutierrez and Joseph Pusdesris and Ronald G. Dreslinski and Trevor N. Mudge and Chander Sudanthi and Christopher D. Emmons and Mitchell Hayenga and Nigel C. Paver}, title = {Sources of error in full-system simulation}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {13--22}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844457}, doi = {10.1109/ISPASS.2014.6844457}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GutierrezPDMSEHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HanssonAKWU14, author = {Andreas Hansson and Neha Agarwal and Aasheesh Kolli and Thomas F. Wenisch and Aniruddha N. Udipi}, title = {Simulating {DRAM} controllers for future system architecture exploration}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {201--210}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844484}, doi = {10.1109/ISPASS.2014.6844484}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HanssonAKWU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangZC014, author = {Yongbing Huang and Zhongbin Zha and Mingyu Chen and Lixin Zhang}, title = {Moby: {A} mobile benchmark suite for architectural simulators}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {45--54}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844460}, doi = {10.1109/ISPASS.2014.6844460}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HuangZC014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/IshizakiDN14, author = {Kazuaki Ishizaki and Shahrokh Daijavad and Toshio Nakatani}, title = {Transforming Java programs for concurrency using Double-Checked Locking pattern}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {128--129}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844469}, doi = {10.1109/ISPASS.2014.6844469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/IshizakiDN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KhanSH14, author = {Muneeb Khan and Andreas Sandberg and Erik Hagersten}, title = {A case for resource efficient prefetching in multicores}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {137--138}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844473}, doi = {10.1109/ISPASS.2014.6844473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KhanSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KochF14, author = {Tobias J. K. Edler von Koch and Bj{\"{o}}rn Franke}, title = {Variability of data dependences and control flow}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {180--189}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844482}, doi = {10.1109/ISPASS.2014.6844482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KochF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KurianNBGDM14, author = {George Kurian and Sabrina M. Neuman and George Bezerra and Anthony Giovinazzo and Srinivas Devadas and Jason E. Miller}, title = {Power modeling and other new features in the Graphite simulator}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {132--134}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844471}, doi = {10.1109/ISPASS.2014.6844471}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KurianNBGDM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Lamarche-PerrinSVD14, author = {Robin Lamarche{-}Perrin and Lucas Mello Schnorr and Jean{-}Marc Vincent and Yves Demazeau}, title = {Evaluating trace aggregation for performance visualization of large distributed systems}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {139--140}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844474}, doi = {10.1109/ISPASS.2014.6844474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Lamarche-PerrinSVD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeW14, author = {Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {Characterizing the latency hiding ability of GPUs}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {145--146}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844477}, doi = {10.1109/ISPASS.2014.6844477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiYDYMZ14, author = {Chao Li and Yi Yang and Hongwen Dai and Shengen Yan and Frank Mueller and Huiyang Zhou}, title = {Understanding the tradeoffs between software-managed vs. hardware-managed caches in GPUs}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {231--242}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844487}, doi = {10.1109/ISPASS.2014.6844487}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiYDYMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MalhotraASS14, author = {Geetika Malhotra and Pooja Aggarwal and Abhishek Sagar and Smruti R. Sarangi}, title = {ParTejas: {A} parallel simulator for multicore processors}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {130--131}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844470}, doi = {10.1109/ISPASS.2014.6844470}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MalhotraASS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MarinDT14, author = {Gabriel Marin and Jack J. Dongarra and Daniel Terpstra}, title = {{MIAMI:} {A} framework for application performance diagnosis}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {158--168}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844480}, doi = {10.1109/ISPASS.2014.6844480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MarinDT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NikolerisEH14, author = {Nikos Nikoleris and David Eklov and Erik Hagersten}, title = {Extending statistical cache models to support detailed pipeline simulators}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {86--95}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844464}, doi = {10.1109/ISPASS.2014.6844464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NikolerisEH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OfenbeckSCSP14, author = {Georg Ofenbeck and Ruedi Steinmann and Victoria Caparr{\'{o}}s Cabezas and Daniele G. Spampinato and Markus P{\"{u}}schel}, title = {Applying the roofline model}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {76--85}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844463}, doi = {10.1109/ISPASS.2014.6844463}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OfenbeckSCSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PanJ14, author = {Xiaoyue Pan and Bengt Jonsson}, title = {Modeling cache coherence misses on multicores}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {96--105}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844465}, doi = {10.1109/ISPASS.2014.6844465}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PanJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PugsleyJZBSBDL14, author = {Seth H. Pugsley and Jeffrey Jestes and Huihui Zhang and Rajeev Balasubramonian and Vijayalakshmi Srinivasan and Alper Buyuktosunoglu and Al Davis and Feifei Li}, title = {{NDC:} Analyzing the impact of 3D-stacked memory+logic devices on MapReduce workloads}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {190--200}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844483}, doi = {10.1109/ISPASS.2014.6844483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PugsleyJZBSBDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SongMY14, author = {William J. Song and Saibal Mukhopadhyay and Sudhakar Yalamanchili}, title = {Energy Introspector: {A} parallel, composable framework for integrated power-reliability-thermal modeling for multicore architectures}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {143--144}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844476}, doi = {10.1109/ISPASS.2014.6844476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SongMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TarghettaOG14, author = {Andrew D. Targhetta and Donald E. Owen and Paul V. Gratz}, title = {The design space of ultra-low energy asymmetric cryptography}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {55--65}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844461}, doi = {10.1109/ISPASS.2014.6844461}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TarghettaOG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TithiCE14, author = {Jesmin Jahan Tithi and Neal Clayton Crago and Joel S. Emer}, title = {Exploiting spatial architectures for edit distance algorithms}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {23--34}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844458}, doi = {10.1109/ISPASS.2014.6844458}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TithiCE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangBBCDKRRSXXY14, author = {Jun Wang and Jesse G. Beu and Rishiraj A. Bheda and Tom Conte and Zhenjiang Dong and Chad D. Kersey and Mitchelle Rasquinha and George F. Riley and William J. Song and He Xiao and Peng Xu and Sudhakar Yalamanchili}, title = {Manifold: {A} parallel simulation framework for multicore systems}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {106--115}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844466}, doi = {10.1109/ISPASS.2014.6844466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangBBCDKRRSXXY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Yasin14, author = {Ahmad Yasin}, title = {A Top-Down method for performance analysis and counters architecture}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {35--44}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844459}, doi = {10.1109/ISPASS.2014.6844459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Yasin14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2014, title = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6832911/proceeding}, isbn = {978-1-4799-3604-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AhnLSJ13, author = {Jung Ho Ahn and Sheng Li and Seongil O and Norman P. Jouppi}, title = {McSimA+: {A} manycore simulator with application-level+ simulation and detailed microarchitecture modeling}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {74--85}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557148}, doi = {10.1109/ISPASS.2013.6557148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AhnLSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BalaprakashBCGGNCHN13, author = {Prasanna Balaprakash and Darius Buntinas and Anthony Chan and Apala Guha and Rinku Gupta and Sri Hari Krishna Narayanan and Andrew A. Chien and Paul D. Hovland and Boyana Norris}, title = {Exascale workload characterization and architecture implications}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {120--121}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557153}, doi = {10.1109/ISPASS.2013.6557153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BalaprakashBCGGNCHN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BeardC13, author = {Jonathan C. Beard and Roger D. Chamberlain}, title = {Use of simple analytic performance models for streaming data applications deployed on diverse architectures}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {138--139}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557162}, doi = {10.1109/ISPASS.2013.6557162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BeardC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BrockRVHF13, author = {Bishop Brock and Srinivasan Ramani and Ken Vu and Heather Hanson and Michael S. Floyd}, title = {Virtual Power Management simulation framework for computer systems}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {128--129}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557157}, doi = {10.1109/ISPASS.2013.6557157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BrockRVHF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CarlsonHE13, author = {Trevor E. Carlson and Wim Heirman and Lieven Eeckhout}, title = {Sampled simulation of multi-threaded applications}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {2--12}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557141}, doi = {10.1109/ISPASS.2013.6557141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CarlsonHE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenKR13, author = {Zhongliang Chen and David R. Kaeli and Norman Rubin}, title = {Characterizing scalar opportunities in {GPGPU} applications}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {225--234}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557173}, doi = {10.1109/ISPASS.2013.6557173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DongJX13, author = {Xiangyu Dong and Norman P. Jouppi and Yuan Xie}, title = {A circuit-architecture co-optimization framework for evaluating emerging memory hierarchies}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {140--141}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557163}, doi = {10.1109/ISPASS.2013.6557163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DongJX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DzhagaryanMB13, author = {Armen Dzhagaryan and Aleksandar Milenkovic and Martin Burtscher}, title = {Energy efficiency of lossless data compression on a mobile device: An experimental evaluation}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {126--127}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557156}, doi = {10.1109/ISPASS.2013.6557156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DzhagaryanMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HackenbergISMSN13, author = {Daniel Hackenberg and Thomas Ilsche and Robert Sch{\"{o}}ne and Daniel Molka and Maik Schmidt and Wolfgang E. Nagel}, title = {Power measurement techniques on standard compute nodes: {A} quantitative comparison}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {194--204}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557170}, doi = {10.1109/ISPASS.2013.6557170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HackenbergISMSN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HardyKSSOS13, author = {Damien Hardy and Marios Kleanthous and Isidoros Sideris and Ali G. Saidi and Emre Ozer and Yiannakis Sazeides}, title = {An analytical framework for estimating {TCO} and exploring data center design space}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {54--63}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557146}, doi = {10.1109/ISPASS.2013.6557146}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HardyKSSOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HechtmanS13, author = {Blake A. Hechtman and Daniel J. Sorin}, title = {Evaluating cache coherent shared virtual memory for heterogeneous multicore chips}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {118--119}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557152}, doi = {10.1109/ISPASS.2013.6557152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HechtmanS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JiangBMBTSKD13, author = {Nan Jiang and Daniel U. Becker and George Michelogiannakis and James D. Balfour and Brian Towles and David E. Shaw and John Kim and William J. Dally}, title = {A detailed and flexible cycle-accurate Network-on-Chip simulator}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {86--96}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557149}, doi = {10.1109/ISPASS.2013.6557149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JiangBMBTSKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Kozyrakis13, author = {Christos Kozyrakis}, title = {Advancing computer systems without technology progress}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {142}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557164}, doi = {10.1109/ISPASS.2013.6557164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Kozyrakis13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KultursayKSM13, author = {Emre Kultursay and Mahmut T. Kandemir and Anand Sivasubramaniam and Onur Mutlu}, title = {Evaluating {STT-RAM} as an energy-efficient main memory alternative}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {256--267}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557176}, doi = {10.1109/ISPASS.2013.6557176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KultursayKSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeR13, author = {Sangpil Lee and Won Woo Ro}, title = {Parallel {GPU} architecture simulation framework exploiting work allocation unit parallelism}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {107--117}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557151}, doi = {10.1109/ISPASS.2013.6557151}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuM13, author = {Xu Liu and John M. Mellor{-}Crummey}, title = {Pinpointing data locality bottlenecks with low overhead}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {183--193}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557169}, doi = {10.1109/ISPASS.2013.6557169}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiuM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuZDJ13, author = {Ke Liu and Xuechen Zhang and Kei Davis and Song Jiang}, title = {Synergistic coupling of {SSD} and hard disk for QoS-aware virtual memory}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {24--33}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557143}, doi = {10.1109/ISPASS.2013.6557143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiuZDJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LucasLAMJ13, author = {Jan Lucas and Sohan Lal and Michael Andersch and Mauricio Alvarez{-}Mesa and Ben H. H. Juurlink}, title = {How a single chip causes massive power bills GPUSimPow: {A} {GPGPU} power simulator}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {97--106}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557150}, doi = {10.1109/ISPASS.2013.6557150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LucasLAMJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MatienzoJ13, author = {John Matienzo and Natalie D. Enright Jerger}, title = {Performance analysis of broadcasting algorithms on the Intel Single-Chip Cloud Computer}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {163--172}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557167}, doi = {10.1109/ISPASS.2013.6557167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MatienzoJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MayberryLW13, author = {Addison Mayberry and Matthew Laquidara and Charles C. Weems}, title = {Characterizing the microarchitectural side effects of operating system calls}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {130--131}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557158}, doi = {10.1109/ISPASS.2013.6557158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MayberryLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OgataO13, author = {Kazunori Ogata and Tamiya Onodera}, title = {Increasing the Transparent Page Sharing in Java}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {34--44}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557144}, doi = {10.1109/ISPASS.2013.6557144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OgataO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ParsonsP13, author = {Benjamin S. Parsons and Vijay S. Pai}, title = {A mathematical hard disk timing model for full system simulation}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {143--153}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557165}, doi = {10.1109/ISPASS.2013.6557165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ParsonsP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RicoRV13, author = {Alejandro Rico and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Trace filtering of multithreaded applications for {CMP} memory simulation}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {134--135}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557160}, doi = {10.1109/ISPASS.2013.6557160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RicoRV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SaravananCR13, author = {Karthikeyan P. Saravanan and Paul M. Carpenter and Alex Ram{\'{\i}}rez}, title = {Power/performance evaluation of energy efficient Ethernet {(EEE)} for High Performance Computing}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {205--214}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557171}, doi = {10.1109/ISPASS.2013.6557171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SaravananCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SchnorrLV13, author = {Lucas Mello Schnorr and Arnaud Legrand and Jean{-}Marc Vincent}, title = {Interactive analysis of large distributed systems with scalable topology-based visualization}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {64--73}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557147}, doi = {10.1109/ISPASS.2013.6557147}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SchnorrLV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShaoB13, author = {Yakun Sophia Shao and David M. Brooks}, title = {ISA-independent workload characterization and its implications for specialized architectures}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {245--255}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557175}, doi = {10.1109/ISPASS.2013.6557175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShaoB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Shebanow13, author = {Michael Shebanow}, title = {Peta Thread Computing [Keynote {I]}}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557140}, doi = {10.1109/ISPASS.2013.6557140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Shebanow13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SuiSLZ13, author = {Xiufeng Sui and Tao Sun and Tao Li and Lixin Zhang}, title = {Understanding the implications of virtual machine management on processor microarchitecture design}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {45--53}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557145}, doi = {10.1109/ISPASS.2013.6557145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SuiSLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TongLM13, author = {Xin Tong and Jack Luo and Andreas Moshovos}, title = {QTrace: An interface for customizable full system instrumentation}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {132--133}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557159}, doi = {10.1109/ISPASS.2013.6557159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TongLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/UkidaveZMSK13, author = {Yash Ukidave and Amir Kavyan Ziabari and Perhaad Mistry and Gunar Schirner and David R. Kaeli}, title = {Quantifying the energy efficiency of {FFT} on heterogeneous platforms}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {235--244}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557174}, doi = {10.1109/ISPASS.2013.6557174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/UkidaveZMSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VelasquezMS13, author = {Ricardo A. Vel{\'{a}}squez and Pierre Michaud and Andr{\'{e}} Seznec}, title = {Selecting benchmark combinations for the evaluation of multicore throughput}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {173--182}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557168}, doi = {10.1109/ISPASS.2013.6557168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VelasquezMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangS13, author = {Yipeng Wang and Yan Solihin}, title = {{XAMP:} An eXtensible Analytical Model Platform}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {13--23}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557142}, doi = {10.1109/ISPASS.2013.6557142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WeaverTM13, author = {Vincent M. Weaver and Daniel Terpstra and Shirley Moore}, title = {Non-determinism and overcount on modern hardware performance counter implementations}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {215--224}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557172}, doi = {10.1109/ISPASS.2013.6557172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WeaverTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WeaverTMJKRNMMM13, author = {Vincent M. Weaver and Daniel Terpstra and Heike McCraw and Matt Johnson and Kiran Kasichayanula and James Ralph and John Nelson and Philip Mucci and Tushar Mohan and Shirley Moore}, title = {{PAPI} 5: Measuring power, energy, and the cloud}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {124--125}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557155}, doi = {10.1109/ISPASS.2013.6557155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WeaverTMJKRNMMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangKCTKGRSPNXMLZC13, author = {Chuanjun Zhang and Glenn G. Ko and Jungwook Choi and Shang{-}nien Tsai and Minje Kim and Abner Guzm{\'{a}}n{-}Rivera and Rob A. Rutenbar and Paris Smaragdis and Mi Sun Park and Vijaykrishnan Narayanan and Hongyi Xin and Onur Mutlu and Bin Li and Li Zhao and Mei Chen}, title = {{EMERALD:} Characterization of emerging applications and algorithms for low-power devices}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {122--123}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557154}, doi = {10.1109/ISPASS.2013.6557154}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangKCTKGRSPNXMLZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangR13, author = {Changshu Zhang and Arun Ravindran}, title = {A statistical machine learning based modeling and exploration framework for run-time cross-stack energy optimization}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {136--137}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557161}, doi = {10.1109/ISPASS.2013.6557161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhuWCL13, author = {Xiaodong Zhu and Junmin Wu and Guoliang Chen and Tao Li}, title = {Wall-clock based synchronization: {A} parallel simulation technology for cluster systems}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {154--162}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557166}, doi = {10.1109/ISPASS.2013.6557166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhuWCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2013, title = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6523368/proceeding}, isbn = {978-1-4673-5776-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AnagnostopoulouDD12, author = {Vlasia Anagnostopoulou and Martin Dimitrov and Kshitij A. Doshi}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {SLA-guided energy savings for enterprise servers}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {120--121}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189216}, doi = {10.1109/ISPASS.2012.6189216}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AnagnostopoulouDD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BerubeA12, author = {Paul Berube and Jos{\'{e}} Nelson Amaral}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Combined profiling: {A} methodology to capture varied program behavior across multiple inputs}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {210--220}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189227}, doi = {10.1109/ISPASS.2012.6189227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BerubeA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BreugheEE12, author = {Maximilien Breughe and Stijn Eyerman and Lieven Eeckhout}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {A mechanistic performance model for superscalar in-order processors}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {14--24}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189202}, doi = {10.1109/ISPASS.2012.6189202}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BreugheEE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChalamalasettiMVWR12, author = {Sai Rahul Chalamalasetti and Martin Margala and Wim Vanderbauwhede and Mitch Wright and Parthasarathy Ranganathan}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Evaluating FPGA-acceleration for real-time unstructured search}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {200--209}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189226}, doi = {10.1109/ISPASS.2012.6189226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChalamalasettiMVWR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenCBCHT12, author = {Licheng Chen and Zehan Cui and Yungang Bao and Mingyu Chen and Yongbing Huang and Guangming Tan}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {A lightweight hybrid hardware/software approach for object-relative memory profiling}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {46--57}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189205}, doi = {10.1109/ISPASS.2012.6189205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenCBCHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DubeTZB12, author = {Parijat Dube and Michael Tsao and Li Zhang and Alan Bivens}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Performance modeling and characterization of large last level caches}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {118--119}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189215}, doi = {10.1109/ISPASS.2012.6189215}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DubeTZB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DwielCR12, author = {Brandon H. Dwiel and Niket Kumar Choudhary and Eric Rotenberg}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {{FPGA} modeling of diverse superscalar processors}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {188--199}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189225}, doi = {10.1109/ISPASS.2012.6189225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DwielCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EklovNBH12, author = {David Eklov and Nikos Nikoleris and David Black{-}Schaffer and Erik Hagersten}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Bandwidth bandit: Understanding memory contention}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {116--117}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189214}, doi = {10.1109/ISPASS.2012.6189214}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EklovNBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanBE12, author = {Stijn Eyerman and Kristof Du Bois and Lieven Eeckhout}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Speedup stacks: Identifying scaling bottlenecks in multi-threaded applications}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {145--155}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189221}, doi = {10.1109/ISPASS.2012.6189221}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EyermanBE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FarooquiKESY12, author = {Naila Farooqui and Andrew Kerr and Greg Eisenhauer and Karsten Schwan and Sudhakar Yalamanchili}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Lynx: {A} dynamic instrumentation system for data-parallel applications on {GPGPU} architectures}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {58--67}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189206}, doi = {10.1109/ISPASS.2012.6189206}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FarooquiKESY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HetheringtonRHOA12, author = {Tayler H. Hetherington and Timothy G. Rogers and Lisa Hsu and Mike O'Connor and Tor M. Aamodt}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Characterizing and evaluating a key-value store application on heterogeneous {CPU-GPU} systems}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {88--98}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189209}, doi = {10.1109/ISPASS.2012.6189209}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HetheringtonRHOA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HongXT12, author = {Yu{-}Ju Hong and Jiachen Xue and Mithuna Thottethodi}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Selective commitment and selective margin: Techniques to minimize cost in an IaaS cloud}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {99--109}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189210}, doi = {10.1109/ISPASS.2012.6189210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HongXT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/IqbalJ12, author = {Muhammad Faisal Iqbal and Lizy K. John}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Power and performance analysis of network traffic prediction techniques}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {112--113}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189212}, doi = {10.1109/ISPASS.2012.6189212}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/IqbalJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JiaSM12, author = {Wenhao Jia and Kelly A. Shaw and Margaret Martonosi}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Stargazer: Automated regression-based {GPU} design space exploration}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {2--13}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189201}, doi = {10.1109/ISPASS.2012.6189201}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JiaSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KhanVBA12, author = {Asif Khan and Muralidaran Vijayaraghavan and Silas Boyd{-}Wickizer and Arvind}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Fast and cycle-accurate modeling of a multicore processor}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {178--187}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189224}, doi = {10.1109/ISPASS.2012.6189224}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KhanVBA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KrishnaSS12, author = {Anil Krishna and Ahmad Samih and Yan Solihin}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Data sharing in multi-threaded applications and its impact on chip design}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189219}, doi = {10.1109/ISPASS.2012.6189219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KrishnaSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Martonosi12, author = {Margaret Martonosi}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Keynote: Parallelism, heterogeneity, communication: Emerging challenges for performance analysis}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {124}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189218}, doi = {10.1109/ISPASS.2012.6189218}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Martonosi12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Marvasti12, author = {Mazda Marvasti}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Keynote: Systems management in the age of cloud}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189200}, doi = {10.1109/ISPASS.2012.6189200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Marvasti12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MeisnerWW12, author = {David Meisner and Junjie Wu and Thomas F. Wenisch}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {BigHouse: {A} simulation infrastructure for data center systems}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {35--45}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189204}, doi = {10.1109/ISPASS.2012.6189204}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MeisnerWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MooreC12, author = {Ryan W. Moore and Bruce R. Childers}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Using utility prediction models to dynamically choose program thread counts}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {135--144}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189220}, doi = {10.1109/ISPASS.2012.6189220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MooreC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShenoyTG12, author = {Govind Sreekar Shenoy and Jordi Tubella and Antonio Gonz{\'{a}}lez}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Exploiting temporal locality in network traffic using commodity multi-cores}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {110--111}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189211}, doi = {10.1109/ISPASS.2012.6189211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShenoyTG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SimoneauS12, author = {Will Simoneau and Resit Sendag}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {An FPGA-based multi-core platform for testing and analysis of architectural techniques}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {68--77}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189207}, doi = {10.1109/ISPASS.2012.6189207}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SimoneauS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SjalanderMBEV12, author = {Magnus Sj{\"{a}}lander and Sally A. McKee and Peter Brauer and David Engdal and Andr{\'{a}}s Vajda}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {An {LTE} Uplink Receiver {PHY} benchmark and subframe-based power management}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {25--34}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189203}, doi = {10.1109/ISPASS.2012.6189203}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SjalanderMBEV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TiwariS12, author = {Devesh Tiwari and Yan Solihin}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Architectural characterization and similarity analysis of sunspider and Google's {V8} Javascript benchmarks}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {221--232}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189228}, doi = {10.1109/ISPASS.2012.6189228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TiwariS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TotoniBGT12, author = {Ehsan Totoni and Babak Behzad and Swapnil Ghike and Josep Torrellas}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Comparing the power and performance of Intel's {SCC} to state-of-the-art CPUs and GPUs}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {78--87}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189208}, doi = {10.1109/ISPASS.2012.6189208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TotoniBGT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangDMTDS12, author = {Wei Wang and Tanima Dey and Jason Mars and Lingjia Tang and Jack W. Davidson and Mary Lou Soffa}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Performance analysis of thread mappings with a holistic view of the hardware resources}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {156--167}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189222}, doi = {10.1109/ISPASS.2012.6189222}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangDMTDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangLYC12, author = {Po{-}Han Wang and Chien{-}Wei Lo and Chia{-}Lin Yang and Yu{-}Jung Cheng}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {A cycle-level {SIMT-GPU} simulation framework}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {114--115}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189213}, doi = {10.1109/ISPASS.2012.6189213}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangLYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Wasi-ur-RahmanHJOWISMP12, author = {Md. Wasi{-}ur{-}Rahman and Jian Huang and Jithin Jose and Xiangyong Ouyang and Hao Wang and Nusrat S. Islam and Hari Subramoni and Chet Murthy and Dhabaleswar K. Panda}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Understanding the communication characteristics in HBase: What are the fundamental bottlenecks?}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {122--123}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189217}, doi = {10.1109/ISPASS.2012.6189217}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Wasi-ur-RahmanHJOWISMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZangG12, author = {Wei Zang and Ann Gordon{-}Ross}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {A single-pass cache simulation methodology for two-level unified caches}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {168--177}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189223}, doi = {10.1109/ISPASS.2012.6189223}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZangG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2012, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6182687/proceeding}, isbn = {978-1-4673-1143-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AhmedH11, author = {Mohamed F. Ahmed and Omar Haridy}, title = {A comparative benchmarking of the {FFT} on Fermi and Evergreen GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {127--128}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762726}, doi = {10.1109/ISPASS.2011.5762726}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AhmedH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BockCMMZ11, author = {Santiago Bock and Bruce R. Childers and Rami G. Melhem and Daniel Moss{\'{e}} and Youtao Zhang}, title = {Analyzing the impact of useless write-backs on the endurance and energy consumption of {PCM} main memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {56--65}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762715}, doi = {10.1109/ISPASS.2011.5762715}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BockCMMZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Bose11, author = {Pradip Bose}, title = {Keynote {II:} Integrated modeling challenges in extreme-scale computing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {133}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762729}, doi = {10.1109/ISPASS.2011.5762729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Bose11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChoiLS11, author = {Hyojin Choi and Jongbok Lee and Wonyong Sung}, title = {Memory access pattern-aware {DRAM} performance model for multi-core systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762716}, doi = {10.1109/ISPASS.2011.5762716}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChoiLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DelimitrouSVK11, author = {Christina Delimitrou and Sriram Sankar and Kushagra Vaid and Christos Kozyrakis}, title = {Storage {I/O} generation and replay for datacenter applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {123--124}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762724}, doi = {10.1109/ISPASS.2011.5762724}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DelimitrouSVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DeyWDS11, author = {Tanima Dey and Wei Wang and Jack W. Davidson and Mary Lou Soffa}, title = {Characterizing multi-threaded applications based on shared-resource contention}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {76--86}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762717}, doi = {10.1109/ISPASS.2011.5762717}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DeyWDS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DiamondBMKKB11, author = {Jeffrey R. Diamond and Martin Burtscher and John D. McCalpin and Byoung{-}Do Kim and Stephen W. Keckler and James C. Browne}, title = {Evaluation and optimization of multicore performance bottlenecks in supercomputing applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {32--43}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762713}, doi = {10.1109/ISPASS.2011.5762713}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DiamondBMKKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DuanZLP11, author = {Lide Duan and Ying Zhang and Bin Li and Lu Peng}, title = {Universal rules guided design parameter selection for soft error resilient processors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {247--256}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762741}, doi = {10.1109/ISPASS.2011.5762741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DuanZLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanHE11, author = {Stijn Eyerman and Kenneth Hoste and Lieven Eeckhout}, title = {Mechanistic-empirical processor performance modeling for constructing {CPI} stacks on real hardware}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {216--226}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762738}, doi = {10.1109/ISPASS.2011.5762738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EyermanHE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FangYZCZ11, author = {Zhenman Fang and Donglei Yang and Weihua Zhang and Haibo Chen and Binyu Zang}, title = {A comprehensive analysis and parallelization of an image retrieval algorithm}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {154--164}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762732}, doi = {10.1109/ISPASS.2011.5762732}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FangYZCZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FlemingNGA11, author = {Kermin Elliott Fleming and Man Cheuk Ng and Samuel Gross and Arvind}, title = {WiLIS: Architectural modeling of wireless systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {197--206}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762736}, doi = {10.1109/ISPASS.2011.5762736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FlemingNGA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GenserBSWH11, author = {Andreas Genser and Christian Bachmann and Christian Steger and Reinhold Weiss and Josef Haid}, title = {Supply voltage emulation platform for {DVFS} voltage drop compensation explorations}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {129--130}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762727}, doi = {10.1109/ISPASS.2011.5762727}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GenserBSWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GreggH11, author = {Chris Gregg and Kim M. Hazelwood}, title = {Where is the data? Why you cannot debate {CPU} vs. {GPU} performance without the answer}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {134--144}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762730}, doi = {10.1109/ISPASS.2011.5762730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GreggH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HsuP11, author = {Chung{-}Hsing Hsu and Stephen W. Poole}, title = {Power signature analysis of the SPECpower{\_}ssj2008 benchmark}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {227--236}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762739}, doi = {10.1109/ISPASS.2011.5762739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HsuP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Iyer11, author = {Ravishankar R. Iyer}, title = {Keynote {I:} The era of heterogeneity: Are we prepared?}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762709}, doi = {10.1109/ISPASS.2011.5762709}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Iyer11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JimboreanHLC11, author = {Alexandra Jimborean and Matthieu Herrmann and Vincent Loechner and Philippe Clauss}, title = {{VMAD:} {A} virtual machine for advanced dynamic analysis of programs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {125--126}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762725}, doi = {10.1109/ISPASS.2011.5762725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JimboreanHLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JungC11, author = {Ju{-}Young Jung and Sangyeun Cho}, title = {{PRISM:} Zooming in persistent {RAM} storage behavior}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {22--31}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762712}, doi = {10.1109/ISPASS.2011.5762712}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JungC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KanevC11, author = {Svilen Kanev and Robert Cohn}, title = {Portable trace compression through instruction interpretation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {107--116}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762720}, doi = {10.1109/ISPASS.2011.5762720}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KanevC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KetterlinC11, author = {Alain Ketterlin and Philippe Clauss}, title = {Efficient memory tracing by program skeletonization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {97--106}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762719}, doi = {10.1109/ISPASS.2011.5762719}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KetterlinC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KistlerB11, author = {Michael Kistler and Daniel A. Brokenshire}, title = {Detecting race conditions in asynchronous {DMA} operations with full system simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {207--215}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762737}, doi = {10.1109/ISPASS.2011.5762737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KistlerB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeAK11, author = {Jungseob Lee and Paritosh Pratap Ajgaonkar and Nam Sung Kim}, title = {Analyzing throughput of GPGPUs exploiting within-die core-to-core frequency variation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {237--246}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762740}, doi = {10.1109/ISPASS.2011.5762740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeKSOWK11, author = {Junghee Lee and Youngjae Kim and Galen M. Shipman and Sarp Oral and Feiyi Wang and Jongman Kim}, title = {A semi-preemptive garbage collector for solid state drives}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {12--21}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762711}, doi = {10.1109/ISPASS.2011.5762711}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeKSOWK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LimSTD11, author = {Seung{-}Hwan Lim and Bikash Sharma and Byung{-}Chul Tak and Chita R. Das}, title = {A dynamic energy management scheme for multi-tier data centers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {257--266}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762742}, doi = {10.1109/ISPASS.2011.5762742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LimSTD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LisRCSFKD11, author = {Mieszko Lis and Pengju Ren and Myong Hyon Cho and Keun Sup Shim and Christopher W. Fletcher and Omer Khan and Srinivas Devadas}, title = {Scalable, accurate multicore simulation in the 1000-core era}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {175--185}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762734}, doi = {10.1109/ISPASS.2011.5762734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LisRCSFKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/McDanielH11, author = {Michelle McDaniel and Kim M. Hazelwood}, title = {Performance characterization of mobile-class nodes: Why fewer bits is better}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {131--132}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762728}, doi = {10.1109/ISPASS.2011.5762728}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/McDanielH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MeisnerWW11, author = {David Meisner and Junjie Wu and Thomas F. Wenisch}, title = {Towards a scalable data center-level evaluation methodology}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {121--122}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762723}, doi = {10.1109/ISPASS.2011.5762723}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MeisnerWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MengS11, author = {Jiayuan Meng and Kevin Skadron}, title = {A reconfigurable simulator for large-scale heterogeneous multicore architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {119--120}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762722}, doi = {10.1109/ISPASS.2011.5762722}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MengS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PatrickVK11, author = {Christina M. Patrick and Nicholas Voshell and Mahmut T. Kandemir}, title = {Minimizing interference through application mapping in multi-level buffer caches}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {44--55}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762714}, doi = {10.1109/ISPASS.2011.5762714}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PatrickVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PayerG11, author = {Mathias Payer and Thomas R. Gross}, title = {Performance evaluation of adaptivity in software transactional memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {165--174}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762733}, doi = {10.1109/ISPASS.2011.5762733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PayerG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Penry11, author = {David A. Penry}, title = {A single-specification principle for functional-to-timing simulator interface design}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {186--196}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762735}, doi = {10.1109/ISPASS.2011.5762735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Penry11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RicoDCERV11, author = {Alejandro Rico and Alejandro Duran and Felipe Cabarcas and Yoav Etsion and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Trace-driven simulation of multithreaded applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {87--96}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762718}, doi = {10.1109/ISPASS.2011.5762718}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RicoDCERV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShiLL11, author = {Guangyu Shi and Min Li and Mikko H. Lipasti}, title = {Accelerating search and recognition workloads with {SSE} 4.2 string and text processing instructions}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {145--153}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762731}, doi = {10.1109/ISPASS.2011.5762731}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShiLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/UptonH11, author = {Dan Upton and Kim M. Hazelwood}, title = {Finding cool code: An analysis of source-level causes of temperature effects}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {117--118}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762721}, doi = {10.1109/ISPASS.2011.5762721}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/UptonH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WuM11, author = {Carole{-}Jean Wu and Margaret Martonosi}, title = {Characterization and dynamic mitigation of intra-application cache interference}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {2--11}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762710}, doi = {10.1109/ISPASS.2011.5762710}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WuM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2011, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5755445/proceeding}, isbn = {978-1-61284-367-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AdamoliJH10, author = {Andrea Adamoli and Milan Jovic and Matthias Hauswirth}, title = {LagAlyzer: {A} latency profile analysis and visualization tool}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {13--22}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452080}, doi = {10.1109/ISPASS.2010.5452080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AdamoliJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlexandrovARVH10, author = {Alexei Alexandrov and Douglas Armstrong and Hrabri Rajic and Michael Voss and Donald Hayes}, title = {High-level performance modeling of task-based algorithms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {184--193}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452025}, doi = {10.1109/ISPASS.2010.5452025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlexandrovARVH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ArielFTA10, author = {Aaron Ariel and Wilson W. L. Fung and Andrew E. Turner and Tor M. Aamodt}, title = {Visualizing complex dynamics in many-core accelerator architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {164--174}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452029}, doi = {10.1109/ISPASS.2010.5452029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ArielFTA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CainN10, author = {Harold W. Cain and Priya Nagpurkar}, title = {Runahead execution vs. conventional data prefetching in the {IBM} {POWER6} microprocessor}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {203--212}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452021}, doi = {10.1109/ISPASS.2010.5452021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CainN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenHSPHP10, author = {Jianmin Chen and Zhuo Huang and Feiqi Su and Jih{-}Kwon Peir and Jeff Ho and Lu Peng}, title = {Weak execution ordering - exploiting iterative methods on many-core GPUs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {154--163}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452028}, doi = {10.1109/ISPASS.2010.5452028}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChenHSPHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CuiCS10, author = {Yan Cui and Yu Chen and Yuanchun Shi}, title = {Scaling {OLTP} applications on commodity multi-core platforms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {134--143}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452034}, doi = {10.1109/ISPASS.2010.5452034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CuiCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CuiCSW10, author = {Yan Cui and Yu Chen and Yuanchun Shi and Qingbo Wu}, title = {Scalability comparison of commodity operating systems on multi-cores}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {117--118}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452048}, doi = {10.1109/ISPASS.2010.5452048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CuiCSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DesmetGT10, author = {Veerle Desmet and Sylvain Girbal and Olivier Temam}, title = {ArchExplorer.org: {A} methodology for facilitating a fair Comparison of research ideas}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {45--54}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452068}, doi = {10.1109/ISPASS.2010.5452068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DesmetGT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DrongowskiYSSR10, author = {Paul J. Drongowski and Lei Yu and Frank Swehosky and Suravee Suthikulpanit and Robert Richter}, title = {Incorporating Instruction-Based Sampling into {AMD} CodeAnalyst}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {119--120}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452049}, doi = {10.1109/ISPASS.2010.5452049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DrongowskiYSSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DubeTPZB10, author = {Parijat Dube and Michael Tsao and Dan E. Poff and Li Zhang and Alan Bivens}, title = {Program behavior characterization in large memory systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {113--114}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452052}, doi = {10.1109/ISPASS.2010.5452052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DubeTPZB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EklovH10, author = {David Eklov and Erik Hagersten}, title = {StatStack: Efficient modeling of {LRU} caches}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {55--65}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452069}, doi = {10.1109/ISPASS.2010.5452069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EklovH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GanesanJJ10, author = {Karthik Ganesan and Jungho Jo and Lizy K. John}, title = {Synthesizing memory-level parallelism aware miniature clones for {SPEC} {CPU2006} and ImplantBench workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {33--44}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452076}, doi = {10.1109/ISPASS.2010.5452076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GanesanJJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GrantBA10, author = {Ryan E. Grant and Pavan Balaji and Ahmad Afsahi}, title = {A study of hardware assisted {IP} over InfiniBand and its impact on enterprise data center performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {144--153}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452035}, doi = {10.1109/ISPASS.2010.5452035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GrantBA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LadasSD10, author = {Nikolas Ladas and Yiannakis Sazeides and Veerle Desmet}, title = {Performance-effective operation below Vcc-min}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {223--234}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452017}, doi = {10.1109/ISPASS.2010.5452017}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LadasSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LaurenzanoTCS10, author = {Michael Laurenzano and Mustafa M. Tikir and Laura Carrington and Allan Snavely}, title = {{PEBIL:} Efficient static binary instrumentation for Linux}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {175--183}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452024}, doi = {10.1109/ISPASS.2010.5452024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LaurenzanoTCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MandalFP10, author = {Anirban Mandal and Rob Fowler and Allan Porterfield}, title = {Modeling memory concurrency for multi-socket multi-core systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452064}, doi = {10.1109/ISPASS.2010.5452064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MandalFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/McCurdyV10, author = {Collin McCurdy and Jeffrey S. Vetter}, title = {Memphis: Finding and fixing NUMA-related performance problems on multi-core platforms}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {87--96}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452060}, doi = {10.1109/ISPASS.2010.5452060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/McCurdyV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Mitchell10, author = {Nick Mitchell}, title = {The big pileup}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452084}, doi = {10.1109/ISPASS.2010.5452084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Mitchell10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NellansSBB10, author = {David W. Nellans and Kshitij Sudan and Rajeev Balasubramonian and Erik Brunvand}, title = {Hardware prediction of {OS} run-length for fine-grained resource customization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {111--112}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452057}, doi = {10.1109/ISPASS.2010.5452057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NellansSBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OzturkS10, author = {Celal {\"{O}}zt{\"{u}}rk and Resit Sendag}, title = {An analysis of hard to predict branches}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {213--222}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452016}, doi = {10.1109/ISPASS.2010.5452016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OzturkS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PorterW10, author = {Donald E. Porter and Emmett Witchel}, title = {Understanding transactional memory performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {97--108}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452061}, doi = {10.1109/ISPASS.2010.5452061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PorterW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SecchiMR10, author = {Simone Secchi and Paolo Meloni and Luigi Raffo}, title = {Exploiting FPGAs for technology-aware system-level evaluation of multi-core architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {194--202}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452020}, doi = {10.1109/ISPASS.2010.5452020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SecchiMR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShaferRC10, author = {Jeffrey Shafer and Scott Rixner and Alan L. Cox}, title = {The Hadoop distributed filesystem: Balancing portability and performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {122--133}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452045}, doi = {10.1109/ISPASS.2010.5452045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShaferRC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Shaw10, author = {David Shaw}, title = {Using special-purpose hardware to achieve a hundred-fold speedup in molecular dynamics simulations of proteins}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {121}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452044}, doi = {10.1109/ISPASS.2010.5452044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Shaw10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SkaletskyDCCHVB10, author = {Alex Skaletsky and Tevi Devor and Nadav Chachmon and Robert S. Cohn and Kim M. Hazelwood and Vladimir Vladimirov and Moshe Bach}, title = {Dynamic program analysis of Microsoft Windows applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {2--12}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452079}, doi = {10.1109/ISPASS.2010.5452079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SkaletskyDCCHVB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SuboticLV10, author = {Vladimir Subotic and Jes{\'{u}}s Labarta and Mateo Valero}, title = {Simulation environment for studying overlap of communication and computation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {115--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452053}, doi = {10.1109/ISPASS.2010.5452053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SuboticLV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TournierN10, author = {Jean{-}Charles Tournier and Martin Naef}, title = {Influences of {SIMD} architectures for scattered data interpolation algorithm}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {109--110}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452056}, doi = {10.1109/ISPASS.2010.5452056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TournierN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WongPSM10, author = {Henry Wong and Misel{-}Myrto Papadopoulou and Maryam Sadooghi{-}Alvandi and Andreas Moshovos}, title = {Demystifying {GPU} microarchitecture through microbenchmarking}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {235--246}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452013}, doi = {10.1109/ISPASS.2010.5452013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WongPSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XuCDM10, author = {Chi Xu and Xi Chen and Robert P. Dick and Zhuoqing Morley Mao}, title = {Cache contention and application performance prediction for multi-core systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {76--86}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452065}, doi = {10.1109/ISPASS.2010.5452065}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/XuCDM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZaparanuksH10, author = {Dmitrijs Zaparanuks and Matthias Hauswirth}, title = {Characterizing the design and performance of interactive java applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, pages = {23--32}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPASS.2010.5452075}, doi = {10.1109/ISPASS.2010.5452075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZaparanuksH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2010, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2010, 28-30 March 2010, White Plains, NY, {USA}}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5446240/proceeding}, isbn = {978-1-4244-6022-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AgarwalKPJ09, author = {Niket Agarwal and Tushar Krishna and Li{-}Shiuan Peh and Niraj K. Jha}, title = {{GARNET:} {A} detailed on-chip network model inside a full-system simulator}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {33--42}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919636}, doi = {10.1109/ISPASS.2009.4919636}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AgarwalKPJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BakhodaYFWA09, author = {Ali Bakhoda and George L. Yuan and Wilson W. L. Fung and Henry Wong and Tor M. Aamodt}, title = {Analyzing {CUDA} workloads using a detailed {GPU} simulator}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {163--174}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919648}, doi = {10.1109/ISPASS.2009.4919648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BakhodaYFWA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Bhandarkar09, author = {Dileep Bhandarkar}, title = {Performance analysis in the real world of on line services}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919630}, doi = {10.1109/ISPASS.2009.4919630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Bhandarkar09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CookZ09, author = {Jeffrey J. Cook and Craig B. Zilles}, title = {Characterizing and optimizing the memory footprint of de novo short read {DNA} sequence assembly}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {143--152}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919646}, doi = {10.1109/ISPASS.2009.4919646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CookZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Emer09, author = {Joel S. Emer}, title = {Accelerating architecture research}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919629}, doi = {10.1109/ISPASS.2009.4919629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Emer09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HeindlPA09, author = {Armin Heindl and Gilles Pokam and Ali{-}Reza Adl{-}Tabatabai}, title = {An analytic model of optimistic Software Transactional Memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {153--162}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919647}, doi = {10.1109/ISPASS.2009.4919647}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HeindlPA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangSGRS09, author = {Wei Huang and Kevin Skadron and Sudhanva Gurumurthi and Robert J. Ribando and Mircea R. Stan}, title = {Differentiating the roles of {IR} measurement and simulation for power and temperature-aware design}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919633}, doi = {10.1109/ISPASS.2009.4919633}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HuangSGRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KulkarniBCP09, author = {Milind Kulkarni and Martin Burtscher and Calin Cascaval and Keshav Pingali}, title = {Lonestar: {A} suite of parallel irregular programs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {65--76}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919639}, doi = {10.1109/ISPASS.2009.4919639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KulkarniBCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeEC09, author = {Kiyeon Lee and Shayne Evans and Sangyeun Cho}, title = {Accurately approximating superscalar processor performance from traces}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {238--248}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919655}, doi = {10.1109/ISPASS.2009.4919655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LeeEC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiMSSSM09, author = {Jiangtian Li and Xiaosong Ma and Karan Singh and Martin Schulz and Bronis R. de Supinski and Sally A. McKee}, title = {Machine learning based online performance prediction for runtime parallelization and task scheduling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {89--100}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919641}, doi = {10.1109/ISPASS.2009.4919641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiMSSSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LinMDMD09, author = {Bin Lin and Arindam Mallik and Peter A. Dinda and Gokhan Memik and Robert P. Dick}, title = {User- and process-driven dynamic voltage and frequency scaling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {11--22}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919634}, doi = {10.1109/ISPASS.2009.4919634}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LinMDMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LohSX09, author = {Gabriel H. Loh and Samantika Subramaniam and Yuejian Xie}, title = {Zesto: {A} cycle-level simulator for highly detailed microarchitecture exploration}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {53--64}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919638}, doi = {10.1109/ISPASS.2009.4919638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LohSX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MerinoAGN09, author = {Julio Merino and Lluc Alvarez and Marisa Gil and Nacho Navarro}, title = {Cetra: {A} trace and analysis framework for the evaluation of Cell {BE} systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {43--52}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919637}, doi = {10.1109/ISPASS.2009.4919637}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MerinoAGN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Michaud09, author = {Pierre Michaud}, title = {Online compression of cache-filtered address traces}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {185--194}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919650}, doi = {10.1109/ISPASS.2009.4919650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Michaud09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MosesAJIINM09, author = {Jaideep Moses and Konstantinos Aisopos and Aamer Jaleel and Ravi R. Iyer and Ramesh Illikkal and Donald Newell and Srihari Makineni}, title = {CMPSched{\textdollar}im: Evaluating {OS/CMP} interaction on shared cache management}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {113--122}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919643}, doi = {10.1109/ISPASS.2009.4919643}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MosesAJIINM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OharaNUI09, author = {Moriyoshi Ohara and Priya Nagpurkar and Yohei Ueda and Kazuaki Ishizaki}, title = {The data-centricity of Web 2.0 workloads and its impact on server performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919645}, doi = {10.1109/ISPASS.2009.4919645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OharaNUI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PackirisamyZHYN09, author = {Venkatesan Packirisamy and Antonia Zhai and Wei{-}Chung Hsu and Pen{-}Chung Yew and Tin{-}Fook Ngai}, title = {Exploring speculative parallelism in {SPEC2006}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {77--88}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919640}, doi = {10.1109/ISPASS.2009.4919640}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/PackirisamyZHYN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RanganathanBK09, author = {Nitya Ranganathan and Doug Burger and Stephen W. Keckler}, title = {Analysis of the {TRIPS} prototype block predictor}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {195--206}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919651}, doi = {10.1109/ISPASS.2009.4919651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RanganathanBK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RingenbergM09, author = {Jeff Ringenberg and Trevor N. Mudge}, title = {SuiteSpecks and SuiteSpots: {A} methodology for the automatic conversion of benchmarking programs into intrinsically checkpointed assembly code}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {227--237}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919654}, doi = {10.1109/ISPASS.2009.4919654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RingenbergM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SmithGOSE09, author = {Randy Smith and Neelam Goyal and Justin Ormont and Karthikeyan Sankaralingam and Cristian Estan}, title = {Evaluating GPUs for network packet signature matching}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {175--184}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919649}, doi = {10.1109/ISPASS.2009.4919649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SmithGOSE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SunwooKC09, author = {Dam Sunwoo and Joonsoo Kim and Derek Chiou}, title = {{QUICK:} {A} flexible full-system functional model}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {249--258}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919656}, doi = {10.1109/ISPASS.2009.4919656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SunwooKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TengSD09, author = {Qiming Teng and Peter F. Sweeney and Evelyn Duesterwald}, title = {Understanding the cost of thread migration for multi-threaded Java applications running on a multicore platform}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919644}, doi = {10.1109/ISPASS.2009.4919644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TengSD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/UzelacM09, author = {Vladimir Uzelac and Aleksandar Milenkovic}, title = {Experiment flows and microbenchmarks for reverse engineering of branch predictor structures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {207--217}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919652}, doi = {10.1109/ISPASS.2009.4919652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/UzelacM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZaparanuksJH09, author = {Dmitrijs Zaparanuks and Milan Jovic and Matthias Hauswirth}, title = {Accuracy of performance counter measurements}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {23--32}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919635}, doi = {10.1109/ISPASS.2009.4919635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZaparanuksJH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangOMKC09, author = {Yu Zhang and Berkin {\"{O}}zisikyilmaz and Gokhan Memik and John Kim and Alok N. Choudhary}, title = {Analyzing the impact of on-chip network traffic on program phases for CMPs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {218--226}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919653}, doi = {10.1109/ISPASS.2009.4919653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangOMKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhengN09, author = {Haoqiang Zheng and Jason Nieh}, title = {{WARP:} Enabling fast {CPU} scheduler development and evaluation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {101--112}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919642}, doi = {10.1109/ISPASS.2009.4919642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhengN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2009, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4907867/proceeding}, isbn = {978-1-4244-4184-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AziziCPWH08, author = {Omid Azizi and Jamison D. Collins and Dinesh Patil and Hong Wang and Mark Horowitz}, title = {Processor Performance Modeling using Symbolic Simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {127--138}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510745}, doi = {10.1109/ISPASS.2008.4510745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AziziCPWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BaughZ08, author = {Lee Baugh and Craig B. Zilles}, title = {An Analysis of {I/O} And Syscalls In Critical Sections And Their Implications For Transactional Memory}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {54--62}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510738}, doi = {10.1109/ISPASS.2008.4510738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BaughZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BibersteinSTMC08, author = {Marina Biberstein and Uzi Shvadron and Javier Turek and Bilha Mendelson and Moon S. Chang}, title = {Trace-based Performance Analysis on Cell {BE}}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {213--222}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510753}, doi = {10.1109/ISPASS.2008.4510753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BibersteinSTMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChristopoulosLSG08, author = {Vassilios N. Christopoulos and David J. Lilja and Paul R. Schrater and Apostolos P. Georgopoulos}, title = {Independent Component Analysis and Evolutionary Algorithms for Building Representative Benchmark Subsets}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {169--178}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510749}, doi = {10.1109/ISPASS.2008.4510749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChristopoulosLSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DieterD08, author = {William R. Dieter and Henry G. Dietz}, title = {Computer Aided Engineering of Cluster Computers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {44--53}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510737}, doi = {10.1109/ISPASS.2008.4510737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DieterD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FalconFO08, author = {Ayose Falc{\'{o}}n and Paolo Faraboschi and Daniel Ortega}, title = {An Adaptive Synchronization Technique for Parallel Simulation of Networked Clusters}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {22--31}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510735}, doi = {10.1109/ISPASS.2008.4510735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FalconFO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HasanT08, author = {Osman Hasan and Sofi{\`{e}}ne Tahar}, title = {Performance Analysis of {ARQ} Protocols using a Theorem Prover}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {85--94}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510741}, doi = {10.1109/ISPASS.2008.4510741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HasanT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HosteE08, author = {Kenneth Hoste and Lieven Eeckhout}, title = {Characterizing the Unique and Diverse Behaviors in Existing and Emerging General-Purpose and Domain-Specific Benchmark Suites}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {157--168}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510748}, doi = {10.1109/ISPASS.2008.4510748}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HosteE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuPAF08, author = {Jizhu Lu and Michael Perrone and Kursad Albayraktaroglu and Manoj Franklin}, title = {HMMer-Cell: High Performance Protein Profile Searching on the Cell/B.E. Processor}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {223--232}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510754}, doi = {10.1109/ISPASS.2008.4510754}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuPAF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MarinM08, author = {Gabriel Marin and John M. Mellor{-}Crummey}, title = {Pinpointing and Exploiting Opportunities for Enhancing Data Reuse}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {115--126}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510744}, doi = {10.1109/ISPASS.2008.4510744}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MarinM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/McCurdyCV08, author = {Collin McCurdy and Alan L. Cox and Jeffrey S. Vetter}, title = {Investigating the {TLB} Behavior of High-end Scientific Applications on Commodity Microprocessors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {95--104}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510742}, doi = {10.1109/ISPASS.2008.4510742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/McCurdyCV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Najaf-abadiR08, author = {Hashem Hashemi Najaf{-}abadi and Eric Rotenberg}, title = {Configurational Workload Characterization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {147--156}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510747}, doi = {10.1109/ISPASS.2008.4510747}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Najaf-abadiR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Ould-Ahmed-VallDYW08, author = {ElMoustapha Ould{-}Ahmed{-}Vall and Kshitij A. Doshi and Charles Yount and James Woodlee}, title = {Characterization of {SPEC} {CPU2006} and {SPEC} {OMP2001:} Regression Models and their Transferability}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {179--190}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510750}, doi = {10.1109/ISPASS.2008.4510750}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Ould-Ahmed-VallDYW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PellauerVAAE08, author = {Michael Pellauer and Muralidaran Vijayaraghavan and Michael Adler and Arvind and Joel S. Emer}, title = {Quick Performance Models Quickly: Closely-Coupled Partitioned Simulation on FPGAs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510733}, doi = {10.1109/ISPASS.2008.4510733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PellauerVAAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RamFCR08, author = {Kaushik Kumar Ram and Ian C. Fedeli and Alan L. Cox and Scott Rixner}, title = {Explaining the Impact of Network Transport Protocols on {SIP} Proxy Performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {75--84}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510740}, doi = {10.1109/ISPASS.2008.4510740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RamFCR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RamachandranABR08, author = {Pradeep Ramachandran and Sarita V. Adve and Pradip Bose and Jude A. Rivers}, title = {Metrics for Architecture-Level Lifetime Reliability Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {202--212}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510752}, doi = {10.1109/ISPASS.2008.4510752}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RamachandranABR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RatanaworabhanB08, author = {Paruj Ratanaworabhan and Martin Burtscher}, title = {Program Phase Detection based on Critical Basic Block Transitions}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {11--21}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510734}, doi = {10.1109/ISPASS.2008.4510734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RatanaworabhanB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SaidiBRM08, author = {Ali G. Saidi and Nathan L. Binkert and Steven K. Reinhardt and Trevor N. Mudge}, title = {Full-System Critical Path Analysis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {63--74}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510739}, doi = {10.1109/ISPASS.2008.4510739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SaidiBRM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SalapuraGGGSW08, author = {Valentina Salapura and Karthik Ganesan and Alan Gara and Michael Gschwind and James C. Sexton and Robert Walkup}, title = {Next-Generation Performance Counters: Towards Monitoring Over Thousand Concurrent Events}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {139--146}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510746}, doi = {10.1109/ISPASS.2008.4510746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SalapuraGGGSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SchuffCP08, author = {Derek L. Schuff and Yung Ryn Choe and Vijay S. Pai}, title = {Conservative vs. Optimistic Parallelization of Stateful Network Intrusion Detection}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {32--43}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510736}, doi = {10.1109/ISPASS.2008.4510736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SchuffCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YangZCZJ08, author = {Jun Yang and Xiuyi Zhou and Marek Chrobak and Youtao Zhang and Lingling Jin}, title = {Dynamic Thermal Management through Task Scheduling}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {191--201}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510751}, doi = {10.1109/ISPASS.2008.4510751}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YangZCZJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangYWRLDY08, author = {Ying Zhang and Xuejun Yang and Guibin Wang and Ian Rogers and Gen Li and Yu Deng and Xiaobo Yan}, title = {Scientific Computing Applications on a Stream Processor}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {105--114}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510743}, doi = {10.1109/ISPASS.2008.4510743}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangYWRLDY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2008, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4498398/proceeding}, isbn = {978-1-4244-2232-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlvarezSRV07, author = {Mauricio Alvarez and Esther Salam{\'{\i}} and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Performance Impact of Unaligned Memory Operations in {SIMD} Extensions for Video Codec Applications}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {62--71}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363737}, doi = {10.1109/ISPASS.2007.363737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlvarezSRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Barnes07, author = {Leslie Barnes}, title = {Performance Modeling and Analysis for AMD's High Performance Microprocessors}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363730}, doi = {10.1109/ISPASS.2007.363730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Barnes07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BhatCMS07, author = {Mahesh Bhat and John Crawford and Ricardo Morin and Kumar Shiv}, title = {Performance Characterization of Decimal Arithmetic in Commercial Java Workloads}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {54--61}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363736}, doi = {10.1109/ISPASS.2007.363736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BhatCMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BircherJ07, author = {William Lloyd Bircher and Lizy K. John}, title = {Complete System Power Estimation: {A} Trickle-Down Approach Based on Performance Events}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {158--168}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363746}, doi = {10.1109/ISPASS.2007.363746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BircherJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BryanRC07, author = {Paul D. Bryan and Michel C. Rosier and Thomas M. Conte}, title = {Reverse State Reconstruction for Sampled Microarchitectural Simulation}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {190--199}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363749}, doi = {10.1109/ISPASS.2007.363749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BryanRC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChoL07, author = {Chang{-}Burm Cho and Tao Li}, title = {Using Wavelet Domain Workload Execution Characteristics to Improve Accuracy, Scalability and Robustness in Program Phase Analysis}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {136--145}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363744}, doi = {10.1109/ISPASS.2007.363744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChoL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChoMXHM07, author = {Sangyeun Cho and Joel R. Martin and Ruibin Xu and Mohammad H. Hammoud and Rami G. Melhem}, title = {{CA-RAM:} {A} High-Performance Memory Substrate for Search-Intensive Applications}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {230--241}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363753}, doi = {10.1109/ISPASS.2007.363753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChoMXHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FalconFO07, author = {Ayose Falc{\'{o}}n and Paolo Faraboschi and Daniel Ortega}, title = {Combining Simulation and Virtualization through Dynamic Sampling}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {72--83}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363738}, doi = {10.1109/ISPASS.2007.363738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FalconFO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FerdmanF07, author = {Michael Ferdman and Babak Falsafi}, title = {Last-Touch Correlated Data Streaming}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {105--115}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363741}, doi = {10.1109/ISPASS.2007.363741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FerdmanF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Jimenez-GonzalezMR07, author = {Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Xavier Martorell and Alex Ram{\'{\i}}rez}, title = {Performance Analysis of Cell Broadband Engine for High Memory Bandwidth Applications}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {210--219}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363751}, doi = {10.1109/ISPASS.2007.363751}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Jimenez-GonzalezMR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KalamkarCH07, author = {Dhiraj D. Kalamkar and Mainak Chaudhuri and Mark A. Heinrich}, title = {Simplifying Active Memory Clusters by Leveraging Directory Protocol Threads}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {242--253}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363754}, doi = {10.1109/ISPASS.2007.363754}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KalamkarCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KihmSC07, author = {Joshua L. Kihm and Samuel D. Strom and Daniel A. Connors}, title = {Phase-Guided Small-Sample Simulation}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {84--93}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363739}, doi = {10.1109/ISPASS.2007.363739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KihmSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KimLSIZC07, author = {Seongbeom Kim and Fang Liu and Yan Solihin and Ravi R. Iyer and Li Zhao and W. Cohen}, title = {Accelerating Full-System Simulation through Characterizing and Predicting Operating System Performance}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363731}, doi = {10.1109/ISPASS.2007.363731}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KimLSIZC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KohKBBWP07, author = {Younggyun Koh and Rob C. Knauerhase and Paul Brett and Mic Bowman and Zhihua Wen and Calton Pu}, title = {An Analysis of Performance Interference Effects in Virtual Environments}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {200--209}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363750}, doi = {10.1109/ISPASS.2007.363750}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/KohKBBWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiLJSCWIIZLLWD07, author = {Wenlong Li and Eric Q. Li and Aamer Jaleel and Jiulong Shan and Yurong Chen and Qigang Wang and Ravi R. Iyer and Ramesh Illikkal and Yimin Zhang and Dong Liu and Michael Liao and Wei Wei and Jinhua Du}, title = {Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {35--43}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363734}, doi = {10.1109/ISPASS.2007.363734}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LiLJSCWIIZLLWD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LinZZZD07, author = {Jiang Lin and Hongzhong Zheng and Zhichun Zhu and Zhao Zhang and Howard David}, title = {DRAM-Level Prefetching for Fully-Buffered {DIMM:} Design, Performance and Power Saving}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {94--104}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363740}, doi = {10.1109/ISPASS.2007.363740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LinZZZD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MengHJI07, author = {Ke Meng and Frank Huebbers and Russ Joseph and Yehea I. Ismail}, title = {Modeling and Characterizing Power Variability in Multicore Architectures}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {146--157}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363745}, doi = {10.1109/ISPASS.2007.363745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MengHJI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Newell07, author = {Donald Newell}, title = {Workloads, Scalability, and QoS Considerations in {CMP} Platforms}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363729}, doi = {10.1109/ISPASS.2007.363729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Newell07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Ould-Ahmed-VallWYDA07, author = {ElMoustapha Ould{-}Ahmed{-}Vall and James Woodlee and Charles Yount and Kshitij A. Doshi and Seth Abraham}, title = {Using Model Trees for Computer Architecture Performance Analysis of Software Applications}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {116--125}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363742}, doi = {10.1109/ISPASS.2007.363742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Ould-Ahmed-VallWYDA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OverCS07, author = {Andrew Over and Bill Clarke and Peter E. Strazdins}, title = {A Comparison of Two Approaches to Parallel Simulation of Multiprocessors}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {12--22}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363732}, doi = {10.1109/ISPASS.2007.363732}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OverCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PerelmanLPJHC07, author = {Erez Perelman and Jeremy Lau and Harish Patil and Aamer Jaleel and Greg Hamerly and Brad Calder}, title = {Cross Binary Simulation Points}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {179--189}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363748}, doi = {10.1109/ISPASS.2007.363748}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PerelmanLPJHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShiSPXY07, author = {Xudong Shi and Feiqi Su and Jih{-}Kwon Peir and Ye Xia and Zhen Yang}, title = {Modeling and Single-Pass Simulation of {CMP} Cache Capacity and Accessibility}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {126--135}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363743}, doi = {10.1109/ISPASS.2007.363743}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShiSPXY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ShufS07, author = {Yefim Shuf and Ian M. Steiner}, title = {Characterizing a Complex {J2EE} Workload: {A} Comprehensive Analysis and Opportunities for Optimizations}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {44--53}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363735}, doi = {10.1109/ISPASS.2007.363735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ShufS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VaidyanathanP07, author = {Karthikeyan Vaidyanathan and Dhabaleswar K. Panda}, title = {Benefits of {I/O} Acceleration Technology {(I/OAT)} in Clusters}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {220--229}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363752}, doi = {10.1109/ISPASS.2007.363752}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VaidyanathanP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Yourst07, author = {Matt T. Yourst}, title = {PTLsim: {A} Cycle Accurate Full System x86-64 Microarchitectural Simulator}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {23--34}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363733}, doi = {10.1109/ISPASS.2007.363733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Yourst07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangFLF07, author = {Wangyuan Zhang and Xin Fu and Tao Li and Jos{\'{e}} A. B. Fortes}, title = {An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures}, booktitle = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, pages = {169--178}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISPASS.2007.363747}, doi = {10.1109/ISPASS.2007.363747}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangFLF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2007, title = {2007 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4211006/proceeding}, isbn = {1-4244-1081-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AgrawalS06, author = {Banit Agrawal and Timothy Sherwood}, title = {Modeling {TCAM} power for next generation network devices}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {120--129}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620796}, doi = {10.1109/ISPASS.2006.1620796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AgrawalS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Al-SukhniHC06, author = {Hassan Al{-}Sukhni and James Holt and Daniel A. Connors}, title = {Improved stride prefetching using extrinsic stream characteristics}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {166--176}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620801}, doi = {10.1109/ISPASS.2006.1620801}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Al-SukhniHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlbertKWS06, author = {Simon Albert and Sven Kalms and Christian Weiss and Achim Schramm}, title = {Acquisition and evaluation of long {DDR2-SDRAM} access sequences}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {242--250}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620808}, doi = {10.1109/ISPASS.2006.1620808}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlbertKWS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BarrA06, author = {Kenneth C. Barr and Krste Asanovic}, title = {Branch trace compression for snapshot-based simulation}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {25--36}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620787}, doi = {10.1109/ISPASS.2006.1620787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BarrA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BarrioGRFE06, author = {Victor Moya Del Barrio and Carlos Gonz{\'{a}}lez and Jordi Roca and Agust{\'{\i}}n Fern{\'{a}}ndez and Roger Espasa}, title = {{ATTILA:} a cycle-level execution-driven simulator for modern {GPU} architectures}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {231--241}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620807}, doi = {10.1109/ISPASS.2006.1620807}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BarrioGRFE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BellBJSGTCBT06, author = {Robert H. Bell Jr. and Rajiv R. Bhatia and Lizy K. John and Jeff Stuecheli and John Griswell and Paul Tu and Louis Capps and Anton Blanchard and Ravel Thai}, title = {Automatic testcase synthesis and performance model validation for high performance PowerPC processors}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {154--165}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620800}, doi = {10.1109/ISPASS.2006.1620800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BellBJSGTCBT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BergZH06, author = {Erik Berg and H{\aa}kan Zeffer and Erik Hagersten}, title = {A statistical multiprocessor cache model}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {89--99}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620793}, doi = {10.1109/ISPASS.2006.1620793}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BergZH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BerubeA06, author = {Paul Berube and Jos{\'{e}} Nelson Amaral}, title = {Aestimo: a feedback-directed optimization evaluation tool}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {251--260}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620809}, doi = {10.1109/ISPASS.2006.1620809}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BerubeA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BiesbrouckEC06, author = {Michael Van Biesbrouck and Lieven Eeckhout and Brad Calder}, title = {Considering all starting points for simultaneous multithreading simulation}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {143--153}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620799}, doi = {10.1109/ISPASS.2006.1620799}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BiesbrouckEC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DingNJZ06, author = {Xiaoning Ding and Dimitrios S. Nikolopoulos and Song Jiang and Xiaodong Zhang}, title = {{MESA:} reducing cache conflicts by integrating static and run-time methods}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {189--198}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620803}, doi = {10.1109/ISPASS.2006.1620803}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DingNJZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EyermanSE06, author = {Stijn Eyerman and James E. Smith and Lieven Eeckhout}, title = {Characterizing the branch misprediction penalty}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {48--58}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620789}, doi = {10.1109/ISPASS.2006.1620789}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EyermanSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FeitelsonT06, author = {Dror G. Feitelson and Dan Tsafrir}, title = {Workload sanitation for performance evaluation}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {221--230}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620806}, doi = {10.1109/ISPASS.2006.1620806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FeitelsonT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HamerlyPC06, author = {Greg Hamerly and Erez Perelman and Brad Calder}, title = {Comparing multinomial and k-means clustering for SimPoint}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {131--142}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620798}, doi = {10.1109/ISPASS.2006.1620798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HamerlyPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JergerHL06, author = {Natalie D. Enright Jerger and Eric L. Hill and Mikko H. Lipasti}, title = {Friendly fire: understanding the effects of multiprocessor prefetches}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {177--188}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620802}, doi = {10.1109/ISPASS.2006.1620802}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JergerHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JoshiYBEJL06, author = {Ajay Joshi and Joshua J. Yi and Robert H. Bell Jr. and Lieven Eeckhout and Lizy Kurian John and David J. Lilja}, title = {Evaluating the efficacy of statistical simulation for design space exploration}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {70--79}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620791}, doi = {10.1109/ISPASS.2006.1620791}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JoshiYBEJL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuA06, author = {Rose F. Liu and Krste Asanovic}, title = {Accelerating architectural exploration using canonical instruction segments}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {13--24}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620786}, doi = {10.1109/ISPASS.2006.1620786}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiuA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Loh06, author = {Gabriel H. Loh}, title = {Revisiting the performance impact of branch predictor latencies}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {59--69}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620790}, doi = {10.1109/ISPASS.2006.1620790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Loh06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MuralimanoharRB06, author = {Naveen Muralimanohar and Karthik Ramani and Rajeev Balasubramonian}, title = {Power efficient resource scaling in partitioned architectures through dynamic heterogeneity}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {100--111}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620794}, doi = {10.1109/ISPASS.2006.1620794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MuralimanoharRB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NagarajanCMBK06, author = {Ramadass Nagarajan and Xia Chen and Robert G. McDonald and Doug Burger and Stephen W. Keckler}, title = {Critical path analysis of the {TRIPS} architecture}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {37--47}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620788}, doi = {10.1109/ISPASS.2006.1620788}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NagarajanCMBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NookalaCLS06, author = {Vidyasagar Nookala and Ying Chen and David J. Lilja and Sachin S. Sapatnekar}, title = {Comparing simulation techniques for microarchitecture-aware floorplanning}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {80--88}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620792}, doi = {10.1109/ISPASS.2006.1620792}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NookalaCLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Patterson06, author = {David A. Patterson}, title = {{RAMP:} research accelerator for multiple processors - a community vision for a shared experimental parallel {HW/SW} platform}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620784}, doi = {10.1109/ISPASS.2006.1620784}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/Patterson06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PereiraSMS06, author = {Adriano C. M. Pereira and Leonardo Silva and Wagner Meira Jr. and Walter Santos}, title = {Assessing the impact of reactive workloads on the performance of Web applications}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {211--220}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620805}, doi = {10.1109/ISPASS.2006.1620805}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PereiraSMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Vernon06, author = {Mary K. Vernon}, title = {Quantitative system design}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {130}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620797}, doi = {10.1109/ISPASS.2006.1620797}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Vernon06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangGKK06, author = {Huaping Wang and Yao Guo and Israel Koren and C. Mani Krishna}, title = {Compiler-based adaptive fetch throttling for energy-efficiency}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620795}, doi = {10.1109/ISPASS.2006.1620795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangGKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WenischWFH06, author = {Thomas F. Wenisch and Roland E. Wunderlich and Babak Falsafi and James C. Hoe}, title = {Simulation sampling with live-points}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {2--12}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620785}, doi = {10.1109/ISPASS.2006.1620785}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WenischWFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhangBK06, author = {Rui Zhang and Zoran Budimlic and Ken Kennedy}, title = {Performance modeling and prediction for scientific Java applications}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {199--210}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620804}, doi = {10.1109/ISPASS.2006.1620804}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhangBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2006, title = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/10781/proceeding}, isbn = {1-4244-0186-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlbayraktarogluJWFJTY05, author = {Kursad Albayraktaroglu and Aamer Jaleel and Xue Wu and Manoj Franklin and Bruce L. Jacob and Chau{-}Wen Tseng and Donald Yeung}, title = {BioBench: {A} Benchmark Suite of Bioinformatics Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {2--9}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430554}, doi = {10.1109/ISPASS.2005.1430554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlbayraktarogluJWFJTY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Altman05, author = {Erik R. Altman}, title = {Panel Discussion: Architectures for the Future}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {100}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430563}, doi = {10.1109/ISPASS.2005.1430563}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Altman05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AsadiSTK05, author = {Hossein Asadi and Vilas Sridharan and Mehdi Baradaran Tahoori and David R. Kaeli}, title = {Balancing Performance and Reliability in the Memory Hierarchy}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {269--279}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430581}, doi = {10.1109/ISPASS.2005.1430581}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AsadiSTK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BalajiNVJP05, author = {Pavan Balaji and Sundeep Narravula and Karthikeyan Vaidyanathan and Hyun{-}Wook Jin and Dhabaleswar K. Panda}, title = {On the provision of prioritization and soft qos in dynamically reconfigurable shared data-centers over infiniband}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {280--289}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430582}, doi = {10.1109/ISPASS.2005.1430582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BalajiNVJP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BarrPZA05, author = {Kenneth C. Barr and Heidi Pan and Michael Zhang and Krste Asanovic}, title = {Accelerating Multiprocessor Simulation with a Memory Timestamp Record}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {66--77}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430560}, doi = {10.1109/ISPASS.2005.1430560}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BarrPZA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BudiuAG05, author = {Mihai Budiu and Pedro V. Artigas and Seth Copen Goldstein}, title = {Dataflow: {A} Complement to Superscalar}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {177--186}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430572}, doi = {10.1109/ISPASS.2005.1430572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BudiuAG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CarrollFB05, author = {Hyrum Carroll and J. Kelly Flanagan and Satish Baniya}, title = {A Trace-Driven Simulator For Palm {OS} Devices}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {157--166}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430570}, doi = {10.1109/ISPASS.2005.1430570}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CarrollFB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChengTM05, author = {Allen C. Cheng and Gary S. Tyson and Trevor N. Mudge}, title = {PowerFITS: Reduce Dynamic and Static I-Cache Power Using Application Specific Instruction Set Synthesis}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {32--41}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430557}, doi = {10.1109/ISPASS.2005.1430557}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChengTM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Conte05, author = {Thomas M. Conte}, title = {Insight, not (random) numbers}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {101}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430564}, doi = {10.1109/ISPASS.2005.1430564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Conte05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EkmanS05, author = {Magnus Ekman and Per Stenstr{\"{o}}m}, title = {Enhancing Multiprocessor Architecture Simulation Speed Using Matched-Pair Comparison}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {89--99}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430562}, doi = {10.1109/ISPASS.2005.1430562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EkmanS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/El-MoursyGAD05, author = {Ali El{-}Moursy and Rajeev Garg and David H. Albonesi and Sandhya Dwarkadas}, title = {Partitioning Multi-Threaded Processors with a Large Number of Threads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {112--123}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430566}, doi = {10.1109/ISPASS.2005.1430566}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/El-MoursyGAD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FoongFNAIL05, author = {Annie P. Foong and Jason Fung and Donald Newell and Seth Abraham and Peggy Irelan and Alex Lopez{-}Estrada}, title = {Architectural Characterization of Processor Affinity in Network Processing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {207--218}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430575}, doi = {10.1109/ISPASS.2005.1430575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FoongFNAIL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HolandaVGV05, author = {Raimir Holanda and Javier Verd{\'{u}} and Jorge Garc{\'{\i}}a{-}Vidal and Mateo Valero}, title = {Performance Analysis of a New Packet Trace Compressor based on {TCP} Flow Clustering}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {219--225}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430576}, doi = {10.1109/ISPASS.2005.1430576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HolandaVGV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangLZC05, author = {Wei Huang and Jiang Lin and Zhao Zhang and J. Morris Chang}, title = {Performance Characterization of Java Applications on {SMT} Processors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {102--111}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430565}, doi = {10.1109/ISPASS.2005.1430565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HuangLZC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LauPHSC05, author = {Jeremy Lau and Erez Perelman and Greg Hamerly and Timothy Sherwood and Brad Calder}, title = {Motivation for Variable Length Intervals and Hierarchical Phase Behavior}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {135--146}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430568}, doi = {10.1109/ISPASS.2005.1430568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LauPHSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LauSPHC05, author = {Jeremy Lau and Jack Sampson and Erez Perelman and Greg Hamerly and Brad Calder}, title = {The Strong correlation Between Code Signatures and Performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {236--247}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430578}, doi = {10.1109/ISPASS.2005.1430578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LauSPHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LepakL05, author = {Kevin M. Lepak and Mikko H. Lipasti}, title = {Reaping the Benefit of Temporal Silence to Improve Communication Performance}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {258--268}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430580}, doi = {10.1109/ISPASS.2005.1430580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LepakL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Levy05, author = {Markus Levy}, title = {{EEMBC} and the Purposes of Embedded Processor Benchmarking}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430553}, doi = {10.1109/ISPASS.2005.1430553}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Levy05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiM05, author = {Jian Li and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Power-Performance Implications of Thread-level Parallelism on Chip Multiprocessors}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {124--134}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430567}, doi = {10.1109/ISPASS.2005.1430567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Loh05, author = {Gabriel H. Loh}, title = {Simulation Differences Between Academia and Industry: {A} Branch Prediction Case Study}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {21--31}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430556}, doi = {10.1109/ISPASS.2005.1430556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Loh05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PhansalkarJEJ05, author = {Aashish Phansalkar and Ajay Joshi and Lieven Eeckhout and Lizy Kurian John}, title = {Measuring Program Similarity: Experiments with {SPEC} {CPU} Benchmark Suites}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {10--20}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430555}, doi = {10.1109/ISPASS.2005.1430555}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PhansalkarJEJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RamaswamyWW05, author = {Ramaswamy Ramaswamy and Ning Weng and Tilman Wolf}, title = {Analysis of Network Processing Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {226--235}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430577}, doi = {10.1109/ISPASS.2005.1430577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RamaswamyWW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RingenbergPOM05, author = {Jeff Ringenberg and Chris Pelosi and David W. Oehmke and Trevor N. Mudge}, title = {Intrinsic Checkpointing: {A} Methodology for Decreasing Simulation Time Through Binary Modification}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {78--88}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430561}, doi = {10.1109/ISPASS.2005.1430561}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RingenbergPOM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SanchezASRV05, author = {Friman S{\'{a}}nchez and Mauricio Alvarez and Esther Salam{\'{\i}} and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {On the Scalability of 1- and 2-Dimensional {SIMD} Extensions for Multimedia Applications}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {167--176}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430571}, doi = {10.1109/ISPASS.2005.1430571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SanchezASRV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SheafferSL05, author = {Jeremy W. Sheaffer and Kevin Skadron and David P. Luebke}, title = {Studying Thermal Management for Graphics-Processor Architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {54--65}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430559}, doi = {10.1109/ISPASS.2005.1430559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SheafferSL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SrinivasanCC05, author = {Ram Srinivasan and Jeanine E. Cook and Shaun Cooper}, title = {Fast, Accurate Microarchitecture Simulation Using Statistical Phase Detection}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {147--156}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430569}, doi = {10.1109/ISPASS.2005.1430569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SrinivasanCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VilayannurSK05, author = {Murali Vilayannur and Anand Sivasubramaniam and Mahmut T. Kandemir}, title = {Pro-active Page Replacement for Scientific Applications: {A} Characterization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {248--257}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430579}, doi = {10.1109/ISPASS.2005.1430579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VilayannurSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhaoIMB05, author = {Li Zhao and Ravi R. Iyer and Srihari Makineni and Laxmi N. Bhuyan}, title = {Anatomy and Performance of {SSL} Processing}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {197--206}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430574}, doi = {10.1109/ISPASS.2005.1430574}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ZhaoIMB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhaoK05, author = {Yuan Zhao and Ken Kennedy}, title = {Scalarization on Short Vector Machines}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {187--196}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430573}, doi = {10.1109/ISPASS.2005.1430573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhaoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhuAB05, author = {Yongkang Zhu and David H. Albonesi and Alper Buyuktosunoglu}, title = {A High Performance, Energy Efficient {GALS} ProcessorMicroarchitecture with Reduced Implementation Complexity}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {42--53}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430558}, doi = {10.1109/ISPASS.2005.1430558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhuAB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2005, title = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9783/proceeding}, isbn = {0-7803-8965-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Anderson04, author = {Carl Anderson}, title = {Keynote {II}}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {97}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291360}, doi = {10.1109/ISPASS.2004.1291360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Anderson04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BachegaBDMM04, author = {Leonardo R. Bachega and Jos{\'{e}} R. Brunheroto and Luiz De Rose and Pedro Mindlin and Jos{\'{e}} E. Moreira}, title = {The BlueGene/L pseudo cycle-accurate simulator}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {36--44}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291354}, doi = {10.1109/ISPASS.2004.1291354}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BachegaBDMM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BalajiNVKWP04, author = {Pavan Balaji and Sundeep Narravula and Karthikeyan Vaidyanathan and Savitha Krishnamoorthy and Jiesheng Wu and Dhabaleswar K. Panda}, title = {Sockets Direct Protocol over InfiniBand in clusters: is it beneficial?}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {28--35}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291353}, doi = {10.1109/ISPASS.2004.1291353}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BalajiNVKWP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BellL04, author = {Gordon B. Bell and Mikko H. Lipasti}, title = {Deconstructing commit}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {68--77}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291357}, doi = {10.1109/ISPASS.2004.1291357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BellL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BergH04, author = {Erik Berg and Erik Hagersten}, title = {StatCache: a probabilistic approach to efficient and accurate data locality analysis}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {20--27}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291352}, doi = {10.1109/ISPASS.2004.1291352}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BergH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BiesbrouckSC04, author = {Michael Van Biesbrouck and Timothy Sherwood and Brad Calder}, title = {A co-phase matrix to guide simultaneous multithreading simulation}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {45--56}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291355}, doi = {10.1109/ISPASS.2004.1291355}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BiesbrouckSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Bonilla-LucasPSJZL04, author = {R. Bonilla{-}Lucas and Peter Plachta and Aamer Sachedina and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Calisto Zuzarte and Josep Llu{\'{\i}}s Larriba{-}Pey}, title = {Characterization of the data access behavior for {TPC-C} traces}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {115--122}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291363}, doi = {10.1109/ISPASS.2004.1291363}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Bonilla-LucasPSJZL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CalderCPS04, author = {Brad Calder and Daniel Citron and Yale N. Patt and James E. Smith}, title = {The future of simulation: {A} field of dreams}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {169}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291369}, doi = {10.1109/ISPASS.2004.1291369}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CalderCPS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChihaiaG04, author = {Irina Chihaia and Thomas R. Gross}, title = {Effectiveness of simple memory models for performance prediction}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {98--105}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291361}, doi = {10.1109/ISPASS.2004.1291361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChihaiaG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Dmitriev04, author = {Mikhail Dmitriev}, title = {Selective profiling of Java applications using dynamic bytecode instrumentation}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {141--150}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291366}, doi = {10.1109/ISPASS.2004.1291366}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Dmitriev04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DysartMSK04, author = {Timothy J. Dysart and Branden J. Moore and Lambert Schaelicke and Peter M. Kogge}, title = {Cache implications of aggressively pipelined high performance microprocessors}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291364}, doi = {10.1109/ISPASS.2004.1291364}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DysartMSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Eeckhout04, author = {Lieven Eeckhout}, title = {Efficient architectural design of high performance microprocessors}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {170}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291370}, doi = {10.1109/ISPASS.2004.1291370}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Eeckhout04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangSC04, author = {Wei Huang and Witawas Srisa{-}an and J. Morris Chang}, title = {Dynamic pretenuring schemes for generational garbage collection}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291365}, doi = {10.1109/ISPASS.2004.1291365}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HuangSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JosephMH04, author = {Russ Joseph and Margaret Martonosi and Zhigang Hu}, title = {Spectral analysis for characterizing program power and performance}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {151--160}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291367}, doi = {10.1109/ISPASS.2004.1291367}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JosephMH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KadayifNKS04, author = {Ismail Kadayif and Partho Nath and Mahmut T. Kandemir and Anand Sivasubramaniam}, title = {Compiler-directed physical address generation for reducing dTLB power}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {161--168}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291368}, doi = {10.1109/ISPASS.2004.1291368}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KadayifNKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LauSC04, author = {Jeremy Lau and Stefan Schoenmackers and Brad Calder}, title = {Structures for phase classification}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {57--67}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291356}, doi = {10.1109/ISPASS.2004.1291356}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LauSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Patt04, author = {Yale N. Patt}, title = {Opening and keynote 1}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291349}, doi = {10.1109/ISPASS.2004.1291349}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Patt04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PatwardhanLS04, author = {Jaidev P. Patwardhan and Alvin R. Lebeck and Daniel J. Sorin}, title = {Communication breakdown: analyzing {CPU} usage in commercial Web workloads}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {12--19}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291351}, doi = {10.1109/ISPASS.2004.1291351}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PatwardhanLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TranNNDH04, author = {Liem Tran and Nicholas Nelson and Fung Ngai and Steve Dropsho and Michael C. Huang}, title = {Dynamically reducing pressure on the physical register file through simple register sharing}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {78--87}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291358}, doi = {10.1109/ISPASS.2004.1291358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TranNNDH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VandierendonckB04, author = {Hans Vandierendonck and Koen De Bosschere}, title = {Eccentric and fragile benchmarks}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {2--11}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291350}, doi = {10.1109/ISPASS.2004.1291350}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VandierendonckB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Wolf04, author = {W. Wolf}, title = {Architectures and compilers for multimedia}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {171}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291371}, doi = {10.1109/ISPASS.2004.1291371}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Wolf04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/XuL04, author = {Rong Xu and Zhiyuan Li}, title = {Using cache mapping to improve memory performance handheld devices}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {106--114}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291362}, doi = {10.1109/ISPASS.2004.1291362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/XuL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZhengDJ04, author = {Ying Zheng and Brian T. Davis and Matthew Jordan}, title = {Performance evaluation of exclusive cache hierarchies}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {89--96}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291359}, doi = {10.1109/ISPASS.2004.1291359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZhengDJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2004, title = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9067/proceeding}, isbn = {0-7803-8385-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BecerraCGN03, author = {Yolanda Becerra and Toni Cortes and Jordi Garcia and Nacho Navarro}, title = {Evaluating the importance of virtual memory for Java}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {101--110}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190237}, doi = {10.1109/ISPASS.2003.1190237}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BecerraCGN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CarreraGTAL03, author = {David Carrera and Jordi Guitart and Jordi Torres and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta}, title = {Complete instrumentation requirements for performance analysis of Web based technologies}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {166--175}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190243}, doi = {10.1109/ISPASS.2003.1190243}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CarreraGTAL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChenDS03, author = {Jianwei Chen and Michel Dubois and Per Stenstr{\"{o}}m}, title = {Integrating complete-system and user-level performance/power simulators: the SimWattch approach}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190227}, doi = {10.1109/ISPASS.2003.1190227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ChenDS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DongaraV03, author = {Praveen Dongara and T. N. Vijaykumar}, title = {Accelerating private-key cryptography via multithreading on symmetric multiprocessors}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {58--69}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190233}, doi = {10.1109/ISPASS.2003.1190233}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DongaraV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FoongHHPR03, author = {Annie P. Foong and Thomas R. Huff and Herbert H. Hum and Jaidev R. Patwardhan and Greg J. Regnier}, title = {{TCP} performance re-visited}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {70--79}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190234}, doi = {10.1109/ISPASS.2003.1190234}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FoongHHPR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GurumurthiZSKFVI03, author = {Sudhanva Gurumurthi and Jianyong Zhang and Anand Sivasubramaniam and Mahmut T. Kandemir and Hubertus Franke and Narayanan Vijaykrishnan and Mary Jane Irwin}, title = {Interplay of energy and performance for disk arrays running transaction processing workloads}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190239}, doi = {10.1109/ISPASS.2003.1190239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GurumurthiZSKFVI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HasanC03, author = {Yusuf Hasan and J. Morris Chang}, title = {A hybrid allocator}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {214--222}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190248}, doi = {10.1109/ISPASS.2003.1190248}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HasanC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HaskinsS03, author = {John W. Haskins Jr. and Kevin Skadron}, title = {Memory reference reuse latency: Accelerated warmup for sampled microarchitecture simulation}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {195--203}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190246}, doi = {10.1109/ISPASS.2003.1190246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HaskinsS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HassaneinAE03, author = {Wessam Hassanein and Greg Astfalk and Rudolf Eigenmann}, title = {1D performance analysis and tracing of technical and Java applications on the Itanium2 processor}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {91--100}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190236}, doi = {10.1109/ISPASS.2003.1190236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HassaneinAE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Iyer03, author = {Ravishankar K. Iyer}, title = {Performance implications of chipset caches in web servers}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {176--185}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190244}, doi = {10.1109/ISPASS.2003.1190244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Iyer03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JannPDB03, author = {Joefon Jann and Pratap Pattnaik and Niteesh Dubey and R. Sarma Burugula}, title = {Web applications and dynamic reconfiguration in {UNIX} servers}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {186--194}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190245}, doi = {10.1109/ISPASS.2003.1190245}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JannPDB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KounevB03, author = {Samuel Kounev and Alejandro P. Buchmann}, title = {Performance modelling of distributed e-business applications using Queuing Petri Nets}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {143--155}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190241}, doi = {10.1109/ISPASS.2003.1190241}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KounevB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/McKeeFV03, author = {Sally A. McKee and Zhen Fang and Mateo Valero}, title = {An {MPEG-4} performance study for non-SIMD, general purpose architectures}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {49--57}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190232}, doi = {10.1109/ISPASS.2003.1190232}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/McKeeFV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Michaud03, author = {Pierre Michaud}, title = {A statistical model of skewed-associativity}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {204--213}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190247}, doi = {10.1109/ISPASS.2003.1190247}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Michaud03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MinO03, author = {Geyong Min and Mohamed Ould{-}Khaoua}, title = {Mathematical modelling of adaptive wormhole routing in the presence of self-similar traffic}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {39--48}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190231}, doi = {10.1109/ISPASS.2003.1190231}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MinO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MurtaA03, author = {Cristina D. Murta and Mario E. Augusto}, title = {Empirical evaluation of capacity estimation tools}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {32--38}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190230}, doi = {10.1109/ISPASS.2003.1190230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MurtaA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PaulHAARM03, author = {Arnab Paul and Nissim Harel and Sameer Adhikari and Bikash Agarwalla and Umakishore Ramachandran and Kenneth M. Mackenzie}, title = {Performance study of a cluster runtime system for dynamic interactive stream-oriented applications}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190240}, doi = {10.1109/ISPASS.2003.1190240}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PaulHAARM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RajamaniL03, author = {Karthick Rajamani and Charles Lefurgy}, title = {On evaluating request-distribution schemes for saving energy in server clusters}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {111--122}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190238}, doi = {10.1109/ISPASS.2003.1190238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RajamaniL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SantosVRC03, author = {Daniela Alvim Seabra dos Santos and Alex Borges Vieira and Berthier A. Ribeiro{-}Neto and S{\'{e}}rgio Vale Aguiar Campos}, title = {Performance analysis and optimization of a distributed Video on Demand service}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {156--165}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190242}, doi = {10.1109/ISPASS.2003.1190242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SantosVRC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangMLNKWLSCKSRB03, author = {Hong Wang and Shiri Manor and Dave LaFollette and Nadav Nesher and Ku{-}jei King and Perry H. Wang and Shay Levy and Shai Satt and Gal Carmeli and Arjun Kapur and Ioannis Schoinas and Ed Rubinstein and Rahul Bhatt}, title = {Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {11--21}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190228}, doi = {10.1109/ISPASS.2003.1190228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangMLNKWLSCKSRB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WeberH03, author = {Steven Weber and Rema Hariharan}, title = {A new synthetic web server trace generation methodology}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {80--90}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190235}, doi = {10.1109/ISPASS.2003.1190235}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WeberH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WuCJF03, author = {Youfeng Wu and Li{-}Ling Chen and Roy Ju and Jesse Fang}, title = {Performance potentials of compiler-directed data speculation}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {22--31}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190229}, doi = {10.1109/ISPASS.2003.1190229}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WuCJF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2003, title = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8467/proceeding}, isbn = {0-7803-7756-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AbdelkhalekBM01, author = {Ahmed Abdelkhalek and Angelos Bilas and Andreas Moshovos}, title = {Behavior and performance of interactive multi-player game servers}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {137--146}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990690}, doi = {10.1109/ISPASS.2001.990690}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AbdelkhalekBM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AggarwalF01, author = {Aneesh Aggarwal and Manoj Franklin}, title = {An empirical study of the scalability aspects of instruction distribution algorithms for clustered processors}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {172--179}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990696}, doi = {10.1109/ISPASS.2001.990696}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AggarwalF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BuchP01, author = {Deep K. Buch and Vladimir M. Pentkovski}, title = {Performance characterization experience of multi-tier e-business systems using queuing operational analysis}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990677}, doi = {10.1109/ISPASS.2001.990677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BuchP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CoS01, author = {Michele Co and Kevin Skadron}, title = {The effects of context switching on branch predictor performance}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {77--84}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990679}, doi = {10.1109/ISPASS.2001.990679}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CoS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ColemanD01, author = {Clark L. Coleman and Jack W. Davidson}, title = {Automatic memory hierarchy characterization}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {103--110}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990684}, doi = {10.1109/ISPASS.2001.990684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ColemanD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EeckhoutB01, author = {Lieven Eeckhout and Koen De Bosschere}, title = {Early design phase power/performance modeling through statistical simulation}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {10--17}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990669}, doi = {10.1109/ISPASS.2001.990669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EeckhoutB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/IancuA01, author = {Costin Iancu and Anurag Acharya}, title = {An evaluation of search tree techniques in the presence of caches}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {93--102}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990682}, doi = {10.1109/ISPASS.2001.990682}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/IancuA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KantTI01, author = {Krishna Kant and Vijay Tewari and Ravishankar K. Iyer}, title = {Geist: a generator for e-commerce {\&} internet server traffic}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {49--56}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990676}, doi = {10.1109/ISPASS.2001.990676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KantTI01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LarsonCA01, author = {Eric Larson and Saugata Chatterjee and Todd M. Austin}, title = {{MASE:} a novel infrastructure for detailed microarchitectural modeling}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990668}, doi = {10.1109/ISPASS.2001.990668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LarsonCA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiJ01, author = {Tao Li and Lizy Kurian John}, title = {Understanding control flow transfer and its predictability in java processing}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {65--76}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990678}, doi = {10.1109/ISPASS.2001.990678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiRH01, author = {Peng Li and Binoy Ravindran and Tamir Hegazy}, title = {Implementation and evaluation of a best-effort scheduling algorithm in an embedded real-time system}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990671}, doi = {10.1109/ISPASS.2001.990671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiRH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuoGF01, author = {Kun Luo and Jayanth Gummaraju and Manoj Franklin}, title = {Balancing thoughput and fairness in {SMT} processors}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {164--171}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990695}, doi = {10.1109/ISPASS.2001.990695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuoGF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuoJ01, author = {Yue Luo and Lizy Kurian John}, title = {Workload characterization of multithreaded java servers}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {128--136}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990688}, doi = {10.1109/ISPASS.2001.990688}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuoJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Manjikian01, author = {Naraig Manjikian}, title = {Parallel simulation of multiprocessor execution: implementation and results for simplescalar}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {147--151}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990691}, doi = {10.1109/ISPASS.2001.990691}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Manjikian01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/OstL01, author = {Alexander Ost and Dorien van Logchem}, title = {Statistical usage testing applied to mobile network verification}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {160--163}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990694}, doi = {10.1109/ISPASS.2001.990694}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/OstL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PetitSP01, author = {Salvador Petit and Julio Sahuquillo and Ana Pont}, title = {About the sensitivity of the {HLRC-DU} protocol on diff and page sizes}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990675}, doi = {10.1109/ISPASS.2001.990675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PetitSP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/QianSSC01, author = {Yang Qian and Witawas Srisa{-}an and Therapon Skotiniotis and J. Morris Chang}, title = {Cycle accurate thread timer for linux environment}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {38--44}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990674}, doi = {10.1109/ISPASS.2001.990674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/QianSSC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SazeidesJ01, author = {Yiannakis Sazeides and Toni Juan}, title = {How to compare the performance of two {SMT} microarchitectures}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {180--183}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990697}, doi = {10.1109/ISPASS.2001.990697}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SazeidesJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SkotiniotisC01, author = {Therapon Skotiniotis and J. Morris Chang}, title = {Estimating internal memory fragmentation for java programs under the binary buddy policy}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {85--92}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990681}, doi = {10.1109/ISPASS.2001.990681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SkotiniotisC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/TerrasaPG01, author = {Andr{\'{e}}s Terrasa and Ignacio Pach{\'{e}}s and Ana Garc{\'{\i}}a{-}Fornes}, title = {An evaluation of the {POSIX} trace standard implemented in RT-linux}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {30--37}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990672}, doi = {10.1109/ISPASS.2001.990672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/TerrasaPG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/VandierendonckB01, author = {Hans Vandierendonck and Koen De Bosschere}, title = {Efficient profile-based evaluation of randomising set index functions for cache memories}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {120--127}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990687}, doi = {10.1109/ISPASS.2001.990687}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/VandierendonckB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WeaverBMEA01, author = {Christopher T. Weaver and Kenneth C. Barr and Eric D. Marsman and Dan Ernst and Todd M. Austin}, title = {Performance analysis using pipeline visualization}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {18--21}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990670}, doi = {10.1109/ISPASS.2001.990670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WeaverBMEA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WolfF01, author = {Tilman Wolf and Mark A. Franklin}, title = {Locality-aware predictive scheduling of network processors}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {152--159}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990693}, doi = {10.1109/ISPASS.2001.990693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WolfF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YehLB01, author = {Tsozen Yeh and Darrell D. E. Long and Scott A. Brandt}, title = {Using program and user information to improve file prediction performance}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {111--119}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990685}, doi = {10.1109/ISPASS.2001.990685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YehLB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2001, title = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7769/proceeding}, isbn = {0-7803-7230-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AnnavaramTD00, author = {Murali Annavaram and Gary S. Tyson and Edward S. Davidson}, title = {Instruction overhead and data locality effects in superscalar processors}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842287}, doi = {10.1109/ISPASS.2000.842287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AnnavaramTD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BarisoneBBG00, author = {Andrea Barisone and Francesco Bellotti and Riccardo Berta and Alessandro De Gloria}, title = {Invocation profile characterization of Java applications}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {116--122}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842290}, doi = {10.1109/ISPASS.2000.842290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BarisoneBBG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BermudoVGL00, author = {Nerina Bermudo and Xavier Vera and Antonio Gonz{\'{a}}lez and Josep Llosa}, title = {An efficient solver for Cache Miss Equations}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {139--145}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842293}, doi = {10.1109/ISPASS.2000.842293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BermudoVGL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BhargavaJ00, author = {Ravi Bhargava and Lizy K. John}, title = {Issues in the design of store buffers in dynamically scheduled processors}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {76--87}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842285}, doi = {10.1109/ISPASS.2000.842285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BhargavaJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CargillR00, author = {David A. Cargill and Mohammad Radaideh}, title = {A practitioner report on the evaluation of the performance of the C, {C++} and Java compilers on the {OS/390} platform}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {40--45}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842279}, doi = {10.1109/ISPASS.2000.842279}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/CargillR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/DriesenCJJFGHKKKMMOPPSTTQZZZR00, author = {Karel Driesen and Josee Colette and Feng Ji and Mathias Jourdain and Mazen Fahmi and Abeer Ghuneim and Edil Hersi and Joyce Kahwa and Hala Razi Khan and Cathy Kwan and Abbas Mahyari and Jerome Miecknikowski and Mourad Oulmane and Michele Perucic and Azir Pirbay and Luiza Solomon and Jean Jac Taoko and Lip Hooi Tan and Feng Qian and Honghao Zhang and Lingyan Zhang and Su Zhang and Will Renner}, title = {Simplified workload characterization using unified prediction}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {163--171}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842296}, doi = {10.1109/ISPASS.2000.842296}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/DriesenCJJFGHKKKMMOPPSTTQZZZR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EdenJM00, author = {Avinoam N. Eden and Brian W. Joh and Trevor N. Mudge}, title = {Web latency reduction via client-side prefetching}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842300}, doi = {10.1109/ISPASS.2000.842300}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EdenJM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/EeckhoutBN00, author = {Lieven Eeckhout and Koen De Bosschere and Henk Neefs}, title = {Performance analysis through synthetic trace generation}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842273}, doi = {10.1109/ISPASS.2000.842273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/EeckhoutBN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FatoohiGWZ00, author = {Rod Fatoohi and Vandana Gunwani and Qi Wang and Charlton Zheng}, title = {Performance evaluation of middleware bridging technologies}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {34--39}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842278}, doi = {10.1109/ISPASS.2000.842278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FatoohiGWZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FriedrichCOC00, author = {Lu{\'{\i}}s Fernando Friedrich and Rafael Luiz Cancian and R{\^{o}}mulo Silva de Oliveira and Thadeu B. Corso}, title = {Performance evaluation of real-time scheduling on a multicomputer architecture}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {28--33}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842277}, doi = {10.1109/ISPASS.2000.842277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FriedrichCOC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GomezS00, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Vicente Santonja}, title = {A new approach in the analysis and modeling of disk access patterns}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {172--177}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842297}, doi = {10.1109/ISPASS.2000.842297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GomezS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JagielloTBD00, author = {Jerzy Jagiello and N. Tay and B. Biddington and R. Dacray}, title = {Mobile functionality in a pervasive world}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {178--183}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842298}, doi = {10.1109/ISPASS.2000.842298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JagielloTBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KalamatianosK00, author = {John Kalamatianos and David R. Kaeli}, title = {Accurate simulation and evaluation of code reordering}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {13--20}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842275}, doi = {10.1109/ISPASS.2000.842275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KalamatianosK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KantS00, author = {Krishna Kant and C. R. M. Sundaram}, title = {A server performance model for static Web workloads}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842301}, doi = {10.1109/ISPASS.2000.842301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KantS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Kronstadt00, author = {Eric Kronstadt}, title = {Some observations based on simple models of {MP} scaling}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842291}, doi = {10.1109/ISPASS.2000.842291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Kronstadt00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LeeWT00, author = {Hsien{-}Hsin S. Lee and Youfeng Wu and Gary S. Tyson}, title = {Quantifying instruction-level parallelism limits on an {EPIC} architecture}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {21--27}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842276}, doi = {10.1109/ISPASS.2000.842276}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LeeWT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LiuF00, author = {Hong Liu and Hongdu Fang}, title = {Real-time image on QoS Web}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {70--75}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842284}, doi = {10.1109/ISPASS.2000.842284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LiuF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LoSC00, author = {Chia{-}Tien Dan Lo and Witawas Srisa{-}an and J. Morris Chang}, title = {A quantitative simulator for dynamic memory managers}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {64--69}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842283}, doi = {10.1109/ISPASS.2000.842283}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/LoSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Majumdar00, author = {Shikharesh Majumdar}, title = {Performance scalability in multiprocessor systems with resource contention}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {129--138}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842292}, doi = {10.1109/ISPASS.2000.842292}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Majumdar00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RamosIVL00, author = {Luis M. Ramos and Pablo E. Ib{\'{a}}{\~{n}}ez and V{\'{\i}}ctor Vi{\~{n}}als and Jos{\'{e}} M. Llaber{\'{\i}}a}, title = {Modeling load address behaviour through recurrences}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {101--108}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842288}, doi = {10.1109/ISPASS.2000.842288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RamosIVL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RecioB00, author = {Renato Recio and W. Todd Boyd}, title = {Methodology to optimize the cost/performance of disk subsystems}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {109--115}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842289}, doi = {10.1109/ISPASS.2000.842289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RecioB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/RupleyH00, author = {Jess Rupley II and David C. Holloway}, title = {Performance tradeoffs in sequencer design on a new {G4} PowerPC\({}^{\mbox{TM}}\) microprocessor}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {88--94}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842286}, doi = {10.1109/ISPASS.2000.842286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/RupleyH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SarkarM00, author = {Vivek Sarkar and Nimrod Megiddo}, title = {An analytical model for loop tiling and its solution}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {146--153}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842294}, doi = {10.1109/ISPASS.2000.842294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SarkarM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SongLID00, author = {Junehwa Song and Eric Levy{-}Abegnoli and Arun Iyengar and Daniel M. Dias}, title = {Design alternatives for scalable Web server accelerators}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {184--192}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842299}, doi = {10.1109/ISPASS.2000.842299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SongLID00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Srisa-anCL00, author = {Witawas Srisa{-}an and J. Morris Chang and Chia{-}Tien Dan Lo}, title = {Do generational schemes improve the garbage collection efficiency?}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {58--63}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842282}, doi = {10.1109/ISPASS.2000.842282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Srisa-anCL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Weihrauch00, author = {Maryela Weihrauch}, title = {{DB2} for {OS/390} {V5} vs. {V6} outer join performance}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {46--51}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842280}, doi = {10.1109/ISPASS.2000.842280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Weihrauch00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WolfF00, author = {Tilman Wolf and Mark A. Franklin}, title = {CommBench-a telecommunications benchmark for network processors}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {154--162}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842295}, doi = {10.1109/ISPASS.2000.842295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WolfF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/YeddesA00, author = {Moez Yeddes and Hassane Alla}, title = {Checking order-insensitivity using ternary simulation in synchronous programs}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {52--57}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842281}, doi = {10.1109/ISPASS.2000.842281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/YeddesA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ZingirianM00, author = {Nicola Zingirian and Massimo Maresca}, title = {Extracting fine-grain profiles of in-order executions of instruction level parallel programs}, booktitle = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPASS.2000.842274}, doi = {10.1109/ISPASS.2000.842274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/ZingirianM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2000, title = {2000 {IEEE} International Symposium on Performance Analysis of Systems and Software, April 24-35, 2000, Austin, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/6790/proceeding}, isbn = {0-7803-6418-X}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.