Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:streams/conf/iiswc:"
@inproceedings{DBLP:conf/iiswc/AbelSR23, author = {Andreas Abel and Shrey Sharma and Jan Reineke}, title = {Facile: Fast, Accurate, and Interpretable Basic-Block Throughput Prediction}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {87--99}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00023}, doi = {10.1109/IISWC59245.2023.00023}, timestamp = {Sat, 11 Nov 2023 13:55:39 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AbelSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChatzopoulosPWG23, author = {Odysseas Chatzopoulos and George Papadimitriou and Wing Shek Wong and Dimitris Gizopoulos}, title = {Energy Efficiency of Out-of-Order CPUs: Comparative Study and Microarchitectural Hotspot Characterization of {RISC-V} Designs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {216--220}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00032}, doi = {10.1109/IISWC59245.2023.00032}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChatzopoulosPWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenAK23, author = {Xiaoyue Chen and Pavlos Aimoniotis and Stefanos Kaxiras}, title = {How addresses are made}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {223--225}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00031}, doi = {10.1109/IISWC59245.2023.00031}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/EsfahaniBVKV23, author = {Mohsen Koohi Esfahani and Paolo Boldi and Hans Vandierendonck and Peter Kilpatrick and Sebastiano Vigna}, title = {Dataset Announcement: MS-BioGraphs, Trillion-Scale Public Real-World Sequence Similarity Graphs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {193--195}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00029}, doi = {10.1109/IISWC59245.2023.00029}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/EsfahaniBVKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FeliuPJR23, author = {Josu{\'{e}} Feliu and Arthur Perais and Daniel A. Jim{\'{e}}nez and Alberto Ros}, title = {Rebasing Microarchitectural Research with Industry Traces}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {100--114}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00027}, doi = {10.1109/IISWC59245.2023.00027}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FeliuPJR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GhasemiLJ23, author = {Fatemeh Ghasemi and Lukas Liedtke and Magnus Jahre}, title = {{ESS:} Repeatable Evaluation of Energy Harvesting Subsystems for Industry-Grade IoT Platforms}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {65--76}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00016}, doi = {10.1109/IISWC59245.2023.00016}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GhasemiLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GhimireKP23, author = {Sandesh Ghimire and Shinsaku Kataoka and Lillian Pentecost}, title = {NVMSurvey: Recent Advances and Comparative Analysis of Emerging Non-Volatile Memories (eNVMs)}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {229--231}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00022}, doi = {10.1109/IISWC59245.2023.00022}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GhimireKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GomesH23, author = {Cesar Gomes and Mark Hempstead}, title = {CInC: Workload Characterization In Context of Resource Contention}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {201--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00035}, doi = {10.1109/IISWC59245.2023.00035}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GomesH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GottschallSJ23, author = {Bj{\"{o}}rn Gottschall and Silvio Heverton Campelo de Santana and Magnus Jahre}, title = {Balancing Accuracy and Evaluation Overhead in Simulation Point Selection}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {43--53}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00019}, doi = {10.1109/IISWC59245.2023.00019}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GottschallSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GuptaKGKM23, author = {Harshita Gupta and Mayank Kabra and Juan G{\'{o}}mez{-}Luna and Konstantinos Kanellopoulos and Onur Mutlu}, title = {Evaluating Homomorphic Operations on a Real-World Processing-In-Memory System}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {211--215}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00030}, doi = {10.1109/IISWC59245.2023.00030}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GuptaKGKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HankinMHBW23, author = {Alexander Hankin and Abdulrahman Mahmoud and Mark Hempstead and David Brooks and Gu{-}Yeon Wei}, title = {VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {206--210}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00040}, doi = {10.1109/IISWC59245.2023.00040}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HankinMHBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HuangLSWLK23, author = {Jinghan Huang and Jiaqi Lou and Yan Sun and Tianchen Wang and Eun Kyung Lee and Nam Sung Kim}, title = {Making Sense of Using a SmartNIC to Reduce Datacenter Tax from {SLO} and {TCO} Perspectives}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {28--42}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00025}, doi = {10.1109/IISWC59245.2023.00025}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HuangLSWLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HwangLKKH23, author = {Soojin Hwang and Sunho Lee and Jungwoo Kim and Hongbeen Kim and Jaehyuk Huh}, title = {mNPUsim: Evaluating the Effect of Sharing Resources in Multi-core NPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {167--179}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00018}, doi = {10.1109/IISWC59245.2023.00018}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HwangLKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JensenLSHSRJ23, author = {Steffen Jensen and Jaekyu Lee and Dam Sunwoo and Matt Horsnell and Matthew Siggs and Jeeho Ryoo and Lizy K. John}, title = {Do Video Encoding Workloads Stress the Microarchitecture?}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {54--64}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00021}, doi = {10.1109/IISWC59245.2023.00021}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JensenLSHSRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KapurRP23, author = {Neil Kapur and America Rangel and Lillian Pentecost}, title = {CompressionGPT: Evaluating Fault Tolerance of a Compressed Large Language Model}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {232--234}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00033}, doi = {10.1109/IISWC59245.2023.00033}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KapurRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KhademFTMD23, author = {Alireza Khadem and Daichi Fujiki and Nishil Talati and Scott A. Mahlke and Reetuparna Das}, title = {Vector-Processing for Mobile Devices: Benchmark and Analysis}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {15--27}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00036}, doi = {10.1109/IISWC59245.2023.00036}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/KhademFTMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KimG23, author = {BaekGyu Kim and Deepak Gangadharan}, title = {Empirical Composite Workload Analysis for RSU-Assisted Computation Offloading in Connected Vehicle Services}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {221--222}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00015}, doi = {10.1109/IISWC59245.2023.00015}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KimG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiYWKMYJ23, author = {Ruihao Li and Sanjana Yadav and Qinzhe Wu and Krishna Kavi and Gayatri Mehta and Neeraja J. Yadwadkar and Lizy K. John}, title = {Performance Implications of Async Memcpy and {UVM:} {A} Tale of Two Data Transfer Modes}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {115--127}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00024}, doi = {10.1109/IISWC59245.2023.00024}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiYWKMYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LinKRSSATIK23, author = {Jun{-}Liang Lin and Ranganath Krishnan and Keyur Ruganathbhai Ranipa and Mahesh Subedar and Vrushabh Sanghavi and Meena Arunachalam and Omesh Tickoo and Ravishankar Iyer and Mahmut Taylan Kandemir}, title = {Quantization for Bayesian Deep Learning: Low-Precision Characterization and Robustness}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {180--192}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00020}, doi = {10.1109/IISWC59245.2023.00020}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LinKRSSATIK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiuSCGNA23, author = {Lufei Liu and Mohammadreza Saed and Yuan{-}Hsi Chou and Davit Grigoryan and Tyler Nowicki and Tor M. Aamodt}, title = {LumiBench: {A} Benchmark Suite for Hardware Ray Tracing}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {1--14}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00011}, doi = {10.1109/IISWC59245.2023.00011}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiuSCGNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NemaCKVRHFLAH23, author = {Shubham Nema and Shiva Kaushik Chunduru and Charan Kodigal and Gwendolyn Voskuilen and Arun F. Rodrigues and K. Scott Hemmert and Ben Feinberg and Hyokeun Lee and Amro Awad and Clayton Hughes}, title = {{ERAS:} {A} Flexible and Scalable Framework for Seamless Integration of {RTL} Models with Structural Simulation Toolkit}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {196--200}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00038}, doi = {10.1109/IISWC59245.2023.00038}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NemaCKVRHFLAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PatiAIJS23, author = {Suchita Pati and Shaizeen Aga and Mahzabeen Islam and Nuwan Jayasena and Matthew D. Sinclair}, title = {Tale of Two Cs: Computation vs. Communication Scaling for Future Transformers on Future Hardware}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {140--153}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00026}, doi = {10.1109/IISWC59245.2023.00026}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PatiAIJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RafiFYTJ23, author = {Mujahid Al Rafi and Yuan Feng and Fan Yao and Meng Tang and Hyeran Jeon}, title = {Decepticon: Attacking Secrets of Transformers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {128--139}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00028}, doi = {10.1109/IISWC59245.2023.00028}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RafiFYTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SardaSBDV23, author = {Giuseppe Maria Sarda and Nimish Shah and Debjyoti Bhattacharjee and Peter Debacker and Marian Verhelst}, title = {Optimising {GPGPU} Execution Through Runtime Micro-Architecture Parameter Analysis}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {226--228}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00017}, doi = {10.1109/IISWC59245.2023.00017}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SardaSBDV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TozluZ23, author = {Yavuz Selim Tozlu and Huiyang Zhou}, title = {{PBVR:} Physically Based Rendering in Virtual Reality}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {77--86}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00039}, doi = {10.1109/IISWC59245.2023.00039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TozluZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuHLLHZNSTXCG23, author = {Cheng Xu and Xiaofeng Hou and Jiacheng Liu and Chao Li and Tianhao Huang and Xiaozhi Zhu and Mo Niu and Lingyu Sun and Peng Tang and Tongqiao Xu and Kwang{-}Ting Cheng and Minyi Guo}, title = {MMBench: Benchmarking End-to-End Multi-modal DNNs and Understanding Their Hardware-Software Implications}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {154--166}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00014}, doi = {10.1109/IISWC59245.2023.00014}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XuHLLHZNSTXCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2023, title = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023}, doi = {10.1109/IISWC59245.2023}, isbn = {979-8-3503-0317-9}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenAJNH22, author = {Hanqiu Chen and Yahya Alhinai and Yihan Jiang and Eunjee Na and Cong Hao}, title = {Bottleneck Analysis of Dynamic Graph Neural Network Inference on {CPU} and {GPU}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {130--145}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00021}, doi = {10.1109/IISWC55918.2022.00021}, timestamp = {Wed, 04 Jan 2023 16:48:40 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenAJNH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChoiLKHA22, author = {Jaewan Choi and Hailong Li and Byeongho Kim and Seunghwan Hwang and Jung Ho Ahn}, title = {Accelerating Transformer Networks through Recomposing Softmax Layers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {92--103}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00018}, doi = {10.1109/IISWC55918.2022.00018}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChoiLKHA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GomesCH22, author = {Cesar Gomes and Xuesi Chen and Mark Hempstead}, title = {PInTE: Probabilistic Induction of Theft Evictions}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {1--13}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00011}, doi = {10.1109/IISWC55918.2022.00011}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GomesCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Gomez-Hernandez22, author = {Eduardo Jos{\'{e}} G{\'{o}}mez{-}Hern{\'{a}}ndez and Juan M. Cebrian and Stefanos Kaxiras and Alberto Ros}, title = {Splash-4: {A} Modern Benchmark Suite with Lock-Free Constructs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {51--64}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00015}, doi = {10.1109/IISWC55918.2022.00015}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Gomez-Hernandez22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HuangKRL22, author = {Xin Huang and Jongryool Kim and Bradley Rees and Chul{-}Ho Lee}, title = {Characterizing the Efficiency of Graph Neural Network Frameworks with a Magnifying Glass}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {160--170}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00023}, doi = {10.1109/IISWC55918.2022.00023}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HuangKRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KaoPTK22, author = {Sheng{-}Chun Kao and Angshuman Parashar and Po{-}An Tsai and Tushar Krishna}, title = {Demystifying Map Space Exploration for NPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {269--281}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00031}, doi = {10.1109/IISWC55918.2022.00031}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KaoPTK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KimW22, author = {Young Geun Kim and Carole{-}Jean Wu}, title = {FedGPO: Heterogeneity-Aware Global Parameter optimization for Efficient Federated Learning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {117--129}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00020}, doi = {10.1109/IISWC55918.2022.00020}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KimW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LagunaTLG22, author = {Ignacio Laguna and Tanmay Tirpankar and Xinyi Li and Ganesh Gopalakrishnan}, title = {FPChecker: Floating-Point Exception Detection Tool and Benchmark for Parallel and Distributed {HPC}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {39--50}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00014}, doi = {10.1109/IISWC55918.2022.00014}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LagunaTLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeHL22, author = {Sungjae Lee and Jaeil Hwang and Kyungyong Lee}, title = {SpotLake: Diverse Spot Instance Dataset Archive Service}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {242--255}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00029}, doi = {10.1109/IISWC55918.2022.00029}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiCA22, author = {Hailong Li and Jaewan Choi and Jung Ho Ahn}, title = {A Slice and Dice Approach to Accelerate Compound Sparse Attention on {GPU}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {104--116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00019}, doi = {10.1109/IISWC55918.2022.00019}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiYJXMZL22, author = {Xiuhong Li and Shengen Yan and Lijuan Jiang and Ping Xu and Jinming Ma and Xingcheng Zhang and Dahua Lin}, title = {LongTail-Bench: {A} Benchmark Suite for Domain-Specific Operators in Deep Learning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {282--295}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00032}, doi = {10.1109/IISWC55918.2022.00032}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiYJXMZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiouAHFW22, author = {Jhe{-}Yu Liou and Muaaz Awan and Steven A. Hofmeyr and Stephanie Forrest and Carole{-}Jean Wu}, title = {Understanding the Power of Evolutionary Computation for {GPU} Code Optimization}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {185--198}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00025}, doi = {10.1109/IISWC55918.2022.00025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/LiouAHFW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ManochaYTANM22, author = {Aninda Manocha and Zi Yan and Esin Tureci and Juan L. Arag{\'{o}}n and David W. Nellans and Margaret Martonosi}, title = {The Implications of Page Size Management on Graph Analytics}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {199--214}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00026}, doi = {10.1109/IISWC55918.2022.00026}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ManochaYTANM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MouraMP22, author = {Diego Moura and Daniel Moss{\'{e}} and Vinicius Petrucci}, title = {Performance Characterization of AutoNUMA Memory Tiering on Graph Analytics}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {171--184}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00024}, doi = {10.1109/IISWC55918.2022.00024}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MouraMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PatiAJS22, author = {Suchita Pati and Shaizeen Aga and Nuwan Jayasena and Matthew D. Sinclair}, title = {Demystifying {BERT:} System Design Implications}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {296--309}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00033}, doi = {10.1109/IISWC55918.2022.00033}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PatiAJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PeverelliCBSS22, author = {Francesco Peverelli and Davide Conficconi and Davide Basilio Bartolini and Alberto Scolari and Marco Domenico Santambrogio}, title = {Characterizing Molecular Dynamics Simulation on Commodity Platforms}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {65--78}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00016}, doi = {10.1109/IISWC55918.2022.00016}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PeverelliCBSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SeshadriALNY22, author = {Kiran Seshadri and Berkin Akin and James Laudon and Ravi Narayanaswami and Amir Yazdanbakhsh}, title = {An Evaluation of Edge {TPU} Accelerators for Convolutional Neural Networks}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {79--91}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00017}, doi = {10.1109/IISWC55918.2022.00017}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SeshadriALNY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SykoraPMY22, author = {Ondrej S{\'{y}}kora and Phitchaya Mangpo Phothilimthana and Charith Mendis and Amir Yazdanbakhsh}, title = {{GRANITE:} {A} Graph Neural Network Model for Basic Block Throughput Estimation}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {14--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00012}, doi = {10.1109/IISWC55918.2022.00012}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SykoraPMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SzewczykSBS22, author = {Raven Szewczyk and Kimberley Stonehouse and Antonio Barbalace and Tom Spink}, title = {Leaps and bounds: Analyzing WebAssembly's performance with a focus on bounds checking}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {256--268}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00030}, doi = {10.1109/IISWC55918.2022.00030}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SzewczykSBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TekdoganGY22, author = {Taha Tekdogan and Serkan G{\"{o}}ktas and Ayse Yilmazer{-}Metin}, title = {gSuite: {A} Flexible and Framework Independent Benchmark Suite for Graph Neural Network Inference on GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {146--159}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00022}, doi = {10.1109/IISWC55918.2022.00022}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TekdoganGY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Wang22, author = {Wenwen Wang}, title = {How Far We've Come - {A} Characterization Study of Standalone WebAssembly Runtimes}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {228--241}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00028}, doi = {10.1109/IISWC55918.2022.00028}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/Wang22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhuCVHCR22, author = {Weixi Zhu and Guilherme Cox and J{\'{a}}n Vesel{\'{y}} and Mark Hairgrove and Alan L. Cox and Scott Rixner}, title = {{UVM} Discard: Eliminating Redundant Memory Transfers for Accelerators}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {27--38}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00013}, doi = {10.1109/IISWC55918.2022.00013}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ZhuCVHCR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZouM22, author = {Qiang Zou and Bo Mao}, title = {Revisiting Temporal Storage {I/O} Behaviors of Smartphone Applications: Analysis and Synthesis}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {215--227}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00027}, doi = {10.1109/IISWC55918.2022.00027}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZouM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2022, title = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022}, doi = {10.1109/IISWC55918.2022}, isbn = {978-1-6654-8798-6}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AsgariHDSMK21, author = {Bahar Asgari and Ramyad Hadidi and Joshua Dierberger and Charlotte Steinichen and Amaan Marfatia and Hyesoon Kim}, title = {Copernicus: Characterizing the Performance Implications of Compression Formats Used in Sparse Workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00012}, doi = {10.1109/IISWC53511.2021.00012}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/AsgariHDSMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/EsfahaniKV21, author = {Mohsen Koohi Esfahani and Peter Kilpatrick and Hans Vandierendonck}, title = {Locality Analysis of Graph Reordering Algorithms}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {101--112}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00020}, doi = {10.1109/IISWC53511.2021.00020}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/EsfahaniKV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HankinWASVH21, author = {Alexander Hankin and David Werner and Maziar Amiraski and Julien Sebot and Kaushik Vaidyanathan and Mark Hempstead}, title = {HotGauge: {A} Methodology for Characterizing Advanced Hotspots in Modern and Next Generation Processors}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {163--175}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00025}, doi = {10.1109/IISWC53511.2021.00025}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HankinWASVH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HuzaifaDGJJLLPR21, author = {Muhammad Huzaifa and Rishi Desai and Samuel Grayson and Xutao Jiang and Ying Jing and Jae Lee and Fang Lu and Yihan Pang and Joseph Ravichandran and Finn Sinclair and Boyuan Tian and Hengzhi Yuan and Jeffrey Zhang and Sarita V. Adve}, title = {{ILLIXR:} Enabling End-to-End Extended Reality Research}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {24--38}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00014}, doi = {10.1109/IISWC53511.2021.00014}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HuzaifaDGJJLLPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KhanS21, author = {Omer Khan and Ravi Soundararajan}, title = {Message from the General Chairs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {viii}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00005}, doi = {10.1109/IISWC53511.2021.00005}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KhanS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Munoz-MartinezA21, author = {Francisco Mu{\~{n}}oz{-}Mart{\'{\i}}nez and Jos{\'{e}} L. Abell{\'{a}}n and Manuel E. Acacio and Tushar Krishna}, title = {{STONNE:} Enabling Cycle-Level Microarchitectural Simulation for {DNN} Inference Accelerators}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {201--213}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00028}, doi = {10.1109/IISWC53511.2021.00028}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/Munoz-MartinezA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Naderan-TahanE21, author = {Mahmood Naderan{-}Tahan and Lieven Eeckhout}, title = {Cactus: Top-Down GPU-Compute Benchmarking using Real-Life Applications}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {176--188}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00026}, doi = {10.1109/IISWC53511.2021.00026}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Naderan-TahanE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PapadimitriouG21, author = {George Papadimitriou and Dimitris Gizopoulos}, title = {Characterizing Soft Error Vulnerability of CPUs Across Compiler Optimizations and Microarchitectures}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {113--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00021}, doi = {10.1109/IISWC53511.2021.00021}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PapadimitriouG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ParraviciniM21, author = {Alberto Parravicini and Ren{\'{e}} M{\"{u}}ller}, title = {The Cost of Speculation: Revisiting Overheads in the {V8} JavaScript Engine}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {13--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00013}, doi = {10.1109/IISWC53511.2021.00013}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ParraviciniM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Perez21, author = {Ron Perez}, title = {Achieving the Promise of Confidential Computing}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {xv--xvi}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00011}, doi = {10.1109/IISWC53511.2021.00011}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Perez21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RaviSGC21, author = {Gokul Subramanian Ravi and Kaitlin N. Smith and Pranav Gokhale and Frederic T. Chong}, title = {Quantum Computing in the Cloud: Analyzing job and machine characteristics}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {39--50}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00015}, doi = {10.1109/IISWC53511.2021.00015}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RaviSGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RogersZ21, author = {Tim Rogers and Huiyang Zhou}, title = {Message from the Program Chairs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {ix}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00006}, doi = {10.1109/IISWC53511.2021.00006}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RogersZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RoyPT21, author = {Rohan Basu Roy and Tirthak Patel and Devesh Tiwari}, title = {Characterizing and Mitigating the {I/O} Scalability Challenges for Serverless Applications}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {74--86}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00018}, doi = {10.1109/IISWC53511.2021.00018}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RoyPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShafiRSA21, author = {Omais Shafi and Chinmay Rai and Rijurekha Sen and Gayathri Ananthanarayanan}, title = {Demystifying TensorRT: Characterizing Neural Network Inference Engine on Nvidia Edge Devices}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {226--237}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00030}, doi = {10.1109/IISWC53511.2021.00030}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ShafiRSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShahidiGK21, author = {Narges Shahidi and Jashwant Raj Gunasekaran and Mahmut Taylan Kandemir}, title = {Cross-Platform Performance Evaluation of Stateful Serverless Workflows}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {63--73}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00017}, doi = {10.1109/IISWC53511.2021.00017}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ShahidiGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TaheriG21, author = {Saeed Taheri and Ganesh Gopalakrishnan}, title = {GoAT: Automated Concurrency Analysis and Debugging Tool for Go}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {138--150}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00023}, doi = {10.1109/IISWC53511.2021.00023}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TaheriG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TalatiJYBDAMKD21, author = {Nishil Talati and Di Jin and Haojie Ye and Ajay Brahmakshatriya and Ganesh S. Dasika and Saman P. Amarasinghe and Trevor N. Mudge and Danai Koutra and Ronald G. Dreslinski}, title = {A Deep Dive Into Understanding The Random Walk-Based Temporal Graph Learning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {87--100}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00019}, doi = {10.1109/IISWC53511.2021.00019}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/TalatiJYBDAMKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TsiokanosPGK21, author = {Ioannis Tsiokanos and George Papadimitriou and Dimitris Gizopoulos and Georgios Karakonstantis}, title = {Boosting Microprocessor Efficiency: Circuit- and Workload-Aware Assessment of Timing Errors}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {125--137}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00022}, doi = {10.1109/IISWC53511.2021.00022}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TsiokanosPGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/UstiugovAG21, author = {Dmitrii Ustiugov and Theodor Amariucai and Boris Grot}, title = {Analyzing Tail Latency in Serverless Clouds with STeLLAR}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {51--62}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00016}, doi = {10.1109/IISWC53511.2021.00016}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/UstiugovAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YanLC21, author = {Eddie Q. Yan and Liang Luo and Luis Ceze}, title = {Characterizing and Taming Resolution in Convolutional Neural Networks}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {189--200}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00027}, doi = {10.1109/IISWC53511.2021.00027}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YanLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhangJC21, author = {Xiaochun Zhang and Timothy M. Jones and Simone Campanoni}, title = {Quantifying the Semantic Gap Between Serial and Parallel Programming}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {151--162}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00024}, doi = {10.1109/IISWC53511.2021.00024}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhangJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhouYGLLCGZ21, author = {Yangjie Zhou and Mengtian Yang and Cong Guo and Jingwen Leng and Yun Liang and Quan Chen and Minyi Guo and Yuhao Zhu}, title = {Characterizing and Demystifying the Implicit Convolution Algorithm on Commercial Matrix-Multiplication Accelerators}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {214--225}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00029}, doi = {10.1109/IISWC53511.2021.00029}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhouYGLLCGZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2021, title = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021}, doi = {10.1109/IISWC53511.2021}, isbn = {978-1-6654-4173-5}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AzadABBCDDDDFGG20, author = {Ariful Azad and Mohsen Mahmoudi Aznaveh and Scott Beamer and Mark P. Blanco and Jinhao Chen and Luke D'Alessandro and Roshan Dathathri and Timothy A. Davis and Kevin Deweese and Jesun Firoz and Henry A. Gabb and Gurbinder Gill and B{\'{a}}lint Hegyi and Scott P. Kolodziej and Tze Meng Low and Andrew Lumsdaine and Tugsbayasgalan Manlaibaatar and Timothy G. Mattson and Scott McMillan and Ramesh Peri and Keshav Pingali and Upasana Sridhar and G{\'{a}}bor Sz{\'{a}}rnyas and Yunming Zhang and Yongzhe Zhang}, title = {Evaluation of Graph Analytics Frameworks Using the {GAP} Benchmark Suite}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {216--227}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00029}, doi = {10.1109/IISWC50251.2020.00029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/AzadABBCDDDDFGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BarikMHTK20, author = {Reet Barik and Marco Minutoli and Mahantesh Halappanavar and Nathan R. Tallent and Ananth Kalyanaraman}, title = {Vertex Reordering for Real-World Graphs and Applications: An Empirical Evaluation}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {240--251}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00031}, doi = {10.1109/IISWC50251.2020.00031}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/BarikMHTK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BeckerAG20, author = {Pedro Henrique Exenberger Becker and Jos{\'{e}}{-}Mar{\'{\i}}a Arnau and Antonio Gonz{\'{a}}lez}, title = {Demystifying Power and Performance Bottlenecks in Autonomous Driving Systems}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {205--215}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00028}, doi = {10.1109/IISWC50251.2020.00028}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BeckerAG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CaculoLK20, author = {Sriyash Caculo and Kanishka Lahiri and Subramaniam Kalambur}, title = {Characterizing the Scale-Up Performance of Microservices using TeaStore}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {48--59}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00014}, doi = {10.1109/IISWC50251.2020.00014}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CaculoLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenZKK20, author = {Yuhan Chen and Jingyuan Zhu and Tanvir Ahmed Khan and Baris Kasikci}, title = {{CPU} Microarchitectural Performance Characterization of Cloud Video Transcoding}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {72--82}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00016}, doi = {10.1109/IISWC50251.2020.00016}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenZKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CrapeE20, author = {Arthur Crap{\'{e}} and Lieven Eeckhout}, title = {A Rigorous Benchmarking and Performance Analysis Methodology for Python Workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {83--93}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00017}, doi = {10.1109/IISWC50251.2020.00017}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CrapeE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FarahpourHFR20, author = {Nazanin Farahpour and Yuchen Hao and Zhenman Fang and Glenn Reinman}, title = {Reconfigurable Accelerator Compute Hierarchy: {A} Case Study using Content-Based Image Retrieval}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {276--287}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00034}, doi = {10.1109/IISWC50251.2020.00034}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FarahpourHFR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GanesanSSKR20, author = {Vinod Ganesan and Surya Selvam and Sanchari Sen and Pratyush Kumar and Anand Raghunathan}, title = {A Case for Generalizable {DNN} Cost Models for Mobile Devices}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {169--180}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00025}, doi = {10.1109/IISWC50251.2020.00025}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/GanesanSSKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GuoGPL020, author = {Luanzheng Guo and Giorgis Georgakoudis and Konstantinos Parasyris and Ignacio Laguna and Dong Li}, title = {{MATCH:} An {MPI} Fault Tolerance Benchmark Suite}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {60--71}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00015}, doi = {10.1109/IISWC50251.2020.00015}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/GuoGPL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HafeezG20, author = {Ubaid Ullah Hafeez and Anshul Gandhi}, title = {Empirical Analysis and Modeling of Compute Times of {CNN} Operations on {AWS} Cloud}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {181--192}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00026}, doi = {10.1109/IISWC50251.2020.00026}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HafeezG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HasanRP20, author = {Aisha Hasan and Ryan Riley and Dmitry Ponomarev}, title = {Port or Shim? Stress Testing Application Performance on Intel {SGX}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {123--133}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00021}, doi = {10.1109/IISWC50251.2020.00021}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HasanRP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HsiaGWWW020, author = {Samuel Hsia and Udit Gupta and Mark Wilkening and Carole{-}Jean Wu and Gu{-}Yeon Wei and David Brooks}, title = {Cross-Stack Workload Characterization of Deep Recommendation Systems}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {157--168}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00024}, doi = {10.1109/IISWC50251.2020.00024}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HsiaGWWW020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JametAJC20, author = {Alexandre Valentin Jamet and Lluc Alvarez and Daniel A. Jim{\'{e}}nez and Marc Casas}, title = {Characterizing the impact of last-level cache replacement policies on big-data workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {134--144}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00022}, doi = {10.1109/IISWC50251.2020.00022}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/JametAJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KimJPA20, author = {Sangpyo Kim and Wonkyung Jung and Jaiyoung Park and Jung Ho Ahn}, title = {Accelerating Number Theoretic Transformations for Bootstrappable Homomorphic Encryption on GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {264--275}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00033}, doi = {10.1109/IISWC50251.2020.00033}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/KimJPA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeWHDGJKP20, author = {Hochan Lee and David C. Wong and Loc Hoang and Roshan Dathathri and Gurbinder Gill and Vishwesh Jatala and David Kuck and Keshav Pingali}, title = {A Study of APIs for Graph Analytics Workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {228--239}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00030}, doi = {10.1109/IISWC50251.2020.00030}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeWHDGJKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiLWLOB20, author = {Jiajia Li and Mahesh Lakshminarasimhan and Xiaolong Wu and Ang Li and Catherine Olschanowsky and Kevin J. Barker}, title = {A Sparse Tensor Benchmark Suite for CPUs and GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {193--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00027}, doi = {10.1109/IISWC50251.2020.00027}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/LiLWLOB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiWLS20, author = {Jinhong Li and Qiuping Wang and Patrick P. C. Lee and Chao Shi}, title = {An In-Depth Analysis of Cloud Block Storage Workloads in Large-Scale Production}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {37--47}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00013}, doi = {10.1109/IISWC50251.2020.00013}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiWLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiangSI20, author = {Qianlin Liang and Prashant J. Shenoy and David E. Irwin}, title = {{AI} on the Edge: Characterizing AI-based IoT Applications Using Specialized Edge Architectures}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {145--156}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00023}, doi = {10.1109/IISWC50251.2020.00023}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiangSI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiuZ20, author = {Lei Liu and Lixin Zhang}, title = {Message from the General Chairs : {IISWC} 2020}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {ix}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00005}, doi = {10.1109/IISWC50251.2020.00005}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiuZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiuZ20a, author = {Ji Liu and Huiyang Zhou}, title = {Reliability Modeling of {NISQ-} Era Quantum Computers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {94--105}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00018}, doi = {10.1109/IISWC50251.2020.00018}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/LiuZ20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NarayananKS20, author = {Iyswarya Narayanan and Adithya Kumar and Anand Sivasubramaniam}, title = {Pocolo: Power Optimized Colocation in Power Constrained Environments}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {1--12}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00010}, doi = {10.1109/IISWC50251.2020.00010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/NarayananKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PalKFFCOMD20, author = {Subhankar Pal and Kuba Kaszyk and Siying Feng and Bj{\"{o}}rn Franke and Murray Cole and Michael F. P. O'Boyle and Trevor N. Mudge and Ronald G. Dreslinski}, title = {{HETSIM:} Simulating Large-Scale Heterogeneous Systems using a Trace-driven, Synchronization and Dependency-Aware Framework}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {13--24}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00011}, doi = {10.1109/IISWC50251.2020.00011}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/PalKFFCOMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ParasyrisLMSOGL20, author = {Konstantinos Parasyris and Ignacio Laguna and Harshitha Menon and Markus Schordan and Daniel Osei{-}Kuffuor and Giorgis Georgakoudis and Michael O. Lam and Tristan Vanderbruggen}, title = {HPC-MixPBench: An {HPC} Benchmark Suite for Mixed-Precision Analysis}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {25--36}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00012}, doi = {10.1109/IISWC50251.2020.00012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ParasyrisLMSOGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Rengasamy00SKD20, author = {Prasanna Venkatesh Rengasamy and Haibo Zhang and Shulin Zhao and Anand Sivasubramaniam and Mahmut T. Kandemir and Chita R. Das}, title = {Selective Event Processing for Energy Efficient Mobile Gaming with {SNIP}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {288--299}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00035}, doi = {10.1109/IISWC50251.2020.00035}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Rengasamy00SKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TiwariK20, author = {Devesh Tiwari and David R. Kaeli}, title = {Message from the Program Chairs : {IISWC} 2020}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {x--xi}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00006}, doi = {10.1109/IISWC50251.2020.00006}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TiwariK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WoralertBLY20, author = {Chutitep Woralert and James Bruska and Chen Liu and Lok K. Yan}, title = {High Frequency Performance Monitoring via Architectural Event Measurement}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {114--122}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00020}, doi = {10.1109/IISWC50251.2020.00020}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/WoralertBLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YiLG20, author = {Li Yi and Cong Li and Jianmei Guo}, title = {{CPI} for Runtime Performance Measurement: The Good, the Bad, and the Ugly}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {106--113}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00019}, doi = {10.1109/IISWC50251.2020.00019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/YiLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YudhaKZS20, author = {Ardhi Wiratama Baskara Yudha and Keiji Kimura and Huiyang Zhou and Yan Solihin}, title = {Scalable and Fast Lazy Persistency on GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {252--263}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00032}, doi = {10.1109/IISWC50251.2020.00032}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/YudhaKZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2020, title = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9251098/proceeding}, isbn = {978-1-7281-7645-1}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/0001PD19, author = {Tyler Sorensen and Sreepathi Pai and Alastair F. Donaldson}, title = {One Size Doesn't Fit All: Quantifying Performance Portability of Graph Applications on GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {155--166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042139}, doi = {10.1109/IISWC47752.2019.9042139}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/0001PD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AlsopZYBSBDGKLP19, author = {Johnathan Alsop and Xianwei Zhang and Tsung Tai Yeh and Bradford M. Beckmann and Matthew D. Sinclair and Srikant Bharadwaj and Alexandru Dutu and Anthony Gutierrez and Onur Kayiran and Michael LeBeane and Brandon Potter and Sooraj Puthoor}, title = {Optimizing {GPU} Cache Policies for {MI} Workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {243--248}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041977}, doi = {10.1109/IISWC47752.2019.9041977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/AlsopZYBSBDGKLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Chatzidimitriou19, author = {Athanasios Chatzidimitriou and George Papadimitriou and Christos Gavanas and George Katsoridas and Dimitris Gizopoulos}, title = {Multi-Bit Upsets Vulnerability Analysis of Modern Microprocessors}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {119--130}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042036}, doi = {10.1109/IISWC47752.2019.9042036}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Chatzidimitriou19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenBMRASAC19, author = {Yishen Chen and Ajay Brahmakshatriya and Charith Mendis and Alex Renda and Eric Atkinson and Ondrej S{\'{y}}kora and Saman P. Amarasinghe and Michael Carbin}, title = {BHive: {A} Benchmark Suite and Measurement Framework for Validating x86-64 Basic Block Performance Models}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {167--177}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042166}, doi = {10.1109/IISWC47752.2019.9042166}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenBMRASAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChowdhuryKZMBK19, author = {Zamshed I. Chowdhury and S. Karen Khatamifard and Zhaoyong Zheng and Tali Moreshet and R. Iris Bahar and Ulya R. Karpuzcu}, title = {Barrier Synchronization vs. Voltage Noise: {A} Quantitative Analysis}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {263--267}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041950}, doi = {10.1109/IISWC47752.2019.9041950}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ChowdhuryKZMBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DoKOPL19, author = {Youngdong Do and Hyungmo Kim and Pyeongseok Oh and Daeyoung Park and Jaejin Lee}, title = {{SNU-NPB} 2019: Parallelizing and Optimizing {NPB} in OpenCL and {CUDA} for Modern GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {93--105}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041954}, doi = {10.1109/IISWC47752.2019.9041954}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DoKOPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ElyasiCSYB19, author = {Nima Elyasi and Changho Choi and Anand Sivasubramaniam and Jingpei Yang and Vijay Balakrishnan}, title = {Trimming the Tail for Deterministic Read Performance in SSDs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {49--58}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042073}, doi = {10.1109/IISWC47752.2019.9042073}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ElyasiCSYB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FalduDG19, author = {Priyank Faldu and Jeff Diamond and Boris Grot}, title = {A Closer Look at Lightweight Graph Reordering}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {1--13}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041948}, doi = {10.1109/IISWC47752.2019.9041948}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/FalduDG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GuBB19, author = {Ruidong Gu and Paul Beata and Michela Becchi}, title = {Characterizing the Performance/Accuracy Tradeoff of High-Precision Applications via Auto-tuning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {268--272}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042137}, doi = {10.1109/IISWC47752.2019.9042137}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GuBB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HadidiCXAKK19, author = {Ramyad Hadidi and Jiashen Cao and Yilun Xie and Bahar Asgari and Tushar Krishna and Hyesoon Kim}, title = {Characterizing the Deployment of Deep Neural Networks on Commercial Edge Devices}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {35--48}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041955}, doi = {10.1109/IISWC47752.2019.9041955}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HadidiCXAKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HankinSSLH19, author = {Alexander Hankin and Tomer Shapira and Karthik Sangaiah and Michael Lui and Mark Hempstead}, title = {Evaluation of Non-Volatile Memory Based Last Level Cache Given Modern Use Case Behavior}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {143--154}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042051}, doi = {10.1109/IISWC47752.2019.9042051}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HankinSSLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KaushikAHCWMPB19, author = {Anirudh Mohan Kaushik and Ashwin M. Aji and Muhammad Amber Hassaan and Noel Chalmers and Noah Wolfe and Scott Moe and Sooraj Puthoor and Bradford M. Beckmann}, title = {Optimizing Hyperplane Sweep Operations Using Asynchronous Multi-grain {GPU} Tasks}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {59--69}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042134}, doi = {10.1109/IISWC47752.2019.9042134}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KaushikAHCWMPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KohJLK0J19, author = {Sungjoon Koh and Junhyeok Jang and Changrim Lee and Miryeong Kwon and Jie Zhang and Myoungsoo Jung}, title = {Faster than Flash: An In-Depth Study of System Challenges for Emerging Ultra-Low Latency SSDs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {216--227}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042009}, doi = {10.1109/IISWC47752.2019.9042009}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KohJLK0J19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LenjaniGSRS19, author = {Marzieh Lenjani and Patricia Gonzalez{-}Guerrero and Elaheh Sadredini and M. Arif Rahman and Mircea R. Stan}, title = {An Overflow-free Quantized Memory Hierarchy in General-purpose Processors}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {203--215}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042035}, doi = {10.1109/IISWC47752.2019.9042035}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LenjaniGSRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LinT19, author = {Chit{-}Kwan Lin and Stephen J. Tarsa}, title = {Branch Prediction Is Not {A} Solved Problem: Measurements, Opportunities, and Future Directions}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {228--238}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042108}, doi = {10.1109/IISWC47752.2019.9042108}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LinT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiuJMZ19, author = {Xiao Liu and Bhaskar Jupudi and Pankaj Mehra and Jishen Zhao}, title = {Persistent Memory Workload Characterization: {A} Hardware Perspective}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {249--252}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042041}, doi = {10.1109/IISWC47752.2019.9042041}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/LiuJMZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MukhanovTVNK19, author = {Lev Mukhanov and Konstantinos Tovletoglou and Hans Vandierendonck and Dimitrios S. Nikolopoulos and Georgios Karakonstantis}, title = {Workload-Aware {DRAM} Error Prediction using Machine Learning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {106--118}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041963}, doi = {10.1109/IISWC47752.2019.9041963}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MukhanovTVNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RaduKWTCCFSO19, author = {Valentin Radu and Kuba Kaszyk and Yuan Wen and Jack Turner and Jos{\'{e}} Cano and Elliot J. Crowley and Bj{\"{o}}rn Franke and Amos J. Storkey and Michael F. P. O'Boyle}, title = {Performance Aware Convolutional Neural Network Channel Pruning for Embedded GPUs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {24--34}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042000}, doi = {10.1109/IISWC47752.2019.9042000}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RaduKWTCCFSO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShankarLP19, author = {Dipti Shankar and Xiaoyi Lu and Dhabaleswar K. D. K. Panda}, title = {SimdHT-Bench: Characterizing SIMD-Aware Hash Table Designs on Emerging {CPU} Architectures}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {178--188}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042069}, doi = {10.1109/IISWC47752.2019.9042069}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ShankarLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShenL19, author = {Huanxing Shen and Cong Li}, title = {Detecting Last-Level Cache Contention in Workload Colocation with Meta Learning}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {14--23}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041983}, doi = {10.1109/IISWC47752.2019.9041983}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ShenL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SinghA19, author = {Sarabjeet Singh and Manu Awasthi}, title = {Efficacy of Statistical Sampling on Contemporary Workloads: The Case of {SPEC} {CPU2017}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {70--80}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042114}, doi = {10.1109/IISWC47752.2019.9042114}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SinghA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TaZGB19, author = {Tuan Ta and Xianwei Zhang and Anthony Gutierrez and Bradford M. Beckmann}, title = {Autonomous Data-Race-Free {GPU} Testing}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {81--92}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042019}, doi = {10.1109/IISWC47752.2019.9042019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/TaZGB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/VenkataramaniCS19, author = {Swagath Venkataramani and Jungwook Choi and Vijayalakshmi Srinivasan and Kailash Gopalakrishnan and Leland Chang}, title = {Performance-driven Programming of Multi-TFLOP Deep Learning Accelerators}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {257--262}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042017}, doi = {10.1109/IISWC47752.2019.9042017}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/VenkataramaniCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangMLWYLJ19, author = {Mengdi Wang and Chen Meng and Guoping Long and Chuan Wu and Jun Yang and Wei Lin and Yangqing Jia}, title = {Characterizing Deep Learning Training Workloads on Alibaba-PAI}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {189--202}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042047}, doi = {10.1109/IISWC47752.2019.9042047}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/WangMLWYLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YaoNDWLSV19, author = {Fan Yao and Kathy Ngyugen and Sai Santosh Dayapule and Jingxin Wu and Bingqian Lu and Suresh Subramaniam and Guru Venkataramani}, title = {HolDCSim: {A} Holistic Simulator for Data Centers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {239--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042105}, doi = {10.1109/IISWC47752.2019.9042105}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/YaoNDWLSV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZadehPM19, author = {Ali Hadi Zadeh and Zissis Poulos and Andreas Moshovos}, title = {Deep Learning Language Modeling Workloads: Where Time Goes on Graphics Processors}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {131--142}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9041972}, doi = {10.1109/IISWC47752.2019.9041972}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ZadehPM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZouLB019, author = {Pengfei Zou and Ang Li and Kevin J. Barker and Rong Ge}, title = {Fingerprinting Anomalous Computation with {RNN} for GPU-accelerated {HPC} Machines}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, pages = {253--256}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IISWC47752.2019.9042165}, doi = {10.1109/IISWC47752.2019.9042165}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ZouLB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2019, title = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2019, Orlando, FL, USA, November 3-5, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9027808/proceeding}, isbn = {978-1-7281-4045-2}, timestamp = {Mon, 23 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AddisieKMB18, author = {Abraham Addisie and Hiwot Kassa and Opeoluwa Matthews and Valeria Bertacco}, title = {Heterogeneous Memory Subsystem for Natural Graph Analytics}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {134--145}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573480}, doi = {10.1109/IISWC.2018.8573480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AddisieKMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AlianSK18, author = {Mohammad Alian and Krishna Parasuram Srinivasan and Nam Sung Kim}, title = {Simulating PCI-Express Interconnect for Future System Exploration}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {168--178}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573496}, doi = {10.1109/IISWC.2018.8573496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AlianSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BalajiL18, author = {Vignesh Balaji and Brandon Lucia}, title = {When is Graph Reordering an Optimization? Studying the Effect of Lightweight Graph Reordering Across Applications and Input Graphs}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {203--214}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573478}, doi = {10.1109/IISWC.2018.8573478}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BalajiL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BasuGVV18, author = {Arkaprava Basu and Joseph L. Greathouse and Guru Venkataramani and J{\'{a}}n Vesel{\'{y}}}, title = {Interference from {GPU} System Service Requests}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {179--190}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573485}, doi = {10.1109/IISWC.2018.8573485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BasuGVV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BullaAMBBB18, author = {Calvin Bulla and Lluc Alvarez and Miquel Moret{\'{o}} and Ramon Bertran and Alper Buyuktosunoglu and Pradip Bose}, title = {ChopStiX: Systematic Extraction of Code-Representative Microbenchmarks}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {80--81}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573473}, doi = {10.1109/IISWC.2018.8573473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BullaAMBBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CritesSLMBD18, author = {Brian Crites and Radhakrishna Sanka and Joshua Lippai and Jeffrey McDaniel and Philip Brisk and Douglas Densmore}, title = {ParchMint: {A} Microfluidics Benchmark Suite}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {78--79}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573487}, doi = {10.1109/IISWC.2018.8573487}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/CritesSLMBD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DetersWXL18, author = {Justin Deters and Jiaye Wu and Yifan Xu and I{-}Ting Angelina Lee}, title = {A NUMA-Aware Provably-Efficient Task-Parallel Platform Based on the Work-First Principle}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {59--70}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573486}, doi = {10.1109/IISWC.2018.8573486}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DetersWXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ElyasiSKD18, author = {Nima Elyasi and Anand Sivasubramaniam and Mahmut T. Kandemir and Chita R. Das}, title = {Reviving Zombie Pages on SSDs}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {156--167}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573481}, doi = {10.1109/IISWC.2018.8573481}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ElyasiSKD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GaoZWLJZZHYWR18, author = {Wanling Gao and Jianfeng Zhan and Lei Wang and Chunjie Luo and Zhen Jia and Daoyi Zheng and Chen Zheng and Xiwen He and Hainan Ye and Haibin Wang and Rui Ren}, title = {Data Motif-based Proxy Benchmarks for Big Data and {AI} Workloads}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {48--58}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573475}, doi = {10.1109/IISWC.2018.8573475}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GaoZWLJZZHYWR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HajkazemiAD18, author = {Mohammad Hossein Hajkazemi and Mania Abdi and Peter Desnoyers}, title = {Minimizing Read Seeks for {SMR} Disk}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {146--155}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573498}, doi = {10.1109/IISWC.2018.8573498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HajkazemiAD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IsmailS18, author = {Mohamed Ismail and G. Edward Suh}, title = {Quantitative Overhead Analysis for Python}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {36--47}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573512}, doi = {10.1109/IISWC.2018.8573512}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/IsmailS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiSC0TB18, author = {Ang Li and Shuaiwen Leon Song and Jieyang Chen and Xu Liu and Nathan R. Tallent and Kevin J. Barker}, title = {Tartan: Evaluating Modern {GPU} Interconnect via a Multi-GPU Benchmark Suite}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {191--202}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573483}, doi = {10.1109/IISWC.2018.8573483}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/LiSC0TB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MammeriJ18, author = {Nadjib Mammeri and Ben H. H. Juurlink}, title = {VComputeBench: {A} Vulkan Benchmark Suite for {GPGPU} on Mobile and Embedded GPUs}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {25--35}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573477}, doi = {10.1109/IISWC.2018.8573477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MammeriJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MojumderLSZAKKJ18, author = {Saiful A. Mojumder and Marcia S. Louis and Yifan Sun and Amir Kavyan Ziabari and Jos{\'{e}} L. Abell{\'{a}}n and John Kim and David R. Kaeli and Ajay Joshi}, title = {Profiling {DNN} Workloads on a Volta-based {DGX-1} System}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {122--133}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573521}, doi = {10.1109/IISWC.2018.8573521}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MojumderLSZAKKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NikolicMM18, author = {Milos Nikolic and Mostafa Mahmoud and Andreas Moshovos}, title = {Characterizing Sources of Ineffectual Computations in Deep Learning Networks}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {86--87}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573509}, doi = {10.1109/IISWC.2018.8573509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NikolicMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RoodiM18, author = {Meysam Roodi and Andreas Moshovos}, title = {Gene Sequencing: Where Time Goes}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {84--85}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573474}, doi = {10.1109/IISWC.2018.8573474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RoodiM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SiuSMM18, author = {Kevin Siu and Dylan Malone Stuart and Mostafa Mahmoud and Andreas Moshovos}, title = {Memory Requirements for Convolutional Neural Network Hardware Accelerators}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {111--121}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573527}, doi = {10.1109/IISWC.2018.8573527}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SiuSMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SriramanW18, author = {Akshitha Sriraman and Thomas F. Wenisch}, title = {{\(\mu\)} Suite: {A} Benchmark Suite for Microservices}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573515}, doi = {10.1109/IISWC.2018.8573515}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SriramanW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TurnerCRCOS18, author = {Jack Turner and Jos{\'{e}} Cano and Valentin Radu and Elliot J. Crowley and Michael F. P. O'Boyle and Amos J. Storkey}, title = {Characterising Across-Stack Optimisations for Deep Convolutional Neural Networks}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {101--110}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573503}, doi = {10.1109/IISWC.2018.8573503}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TurnerCRCOS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WaddenTSWBDWUWS18, author = {Jack Wadden and Tommy Tracy II and Elaheh Sadredini and Lingxi Wu and Chunkun Bo and Jesse Du and Yizhou Wei and Jeffrey Udall and Matthew Wallace and Mircea Stan and Kevin Skadron}, title = {AutomataZoo: {A} Modern Automata Processing Benchmark Suite}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {13--24}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573482}, doi = {10.1109/IISWC.2018.8573482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WaddenTSWBDWUWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WuFSDJ18, author = {Qinzhe Wu and Steven Flolid and Shuang Song and Junyong Deng and Lizy K. John}, title = {Invited Paper for the Hot Workloads Special Session Hot Regions in {SPEC} {CPU2017}}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {71--77}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573479}, doi = {10.1109/IISWC.2018.8573479}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WuFSDJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XiaZC018, author = {Chunwei Xia and Jiacheng Zhao and Huimin Cui and Xiaobing Feng}, title = {Characterizing {DNN} Models for Edge-Cloud Computing}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {82--83}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573514}, doi = {10.1109/IISWC.2018.8573514}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XiaZC018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhuAZPJPSP18, author = {Hongyu Zhu and Mohamed Akrout and Bojian Zheng and Andrew Pelegris and Anand Jayarajan and Amar Phanishayee and Bianca Schroeder and Gennady Pekhimenko}, title = {Benchmarking and Analyzing Deep Neural Network Training}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {88--100}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573476}, doi = {10.1109/IISWC.2018.8573476}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ZhuAZPJPSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2018, title = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8554060/proceeding}, isbn = {978-1-5386-6780-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AkramM17, author = {Riad Akram and Abdullah Muzahid}, title = {Approximeter: Automatically finding and quantifying code sections for approximation}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {116--117}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167765}, doi = {10.1109/IISWC.2017.8167765}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AkramM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CeballosSCB17, author = {Germ{\'{a}}n Ceballos and Andreas Sembrant and Trevor E. Carlson and David Black{-}Schaffer}, title = {Analyzing graphics workloads on tile-based GPUs}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {108--109}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167761}, doi = {10.1109/IISWC.2017.8167761}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CeballosSCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenGDMM17, author = {Shuang Chen and Shay GalOn and Christina Delimitrou and Srilatha Manne and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Workload characterization of interactive cloud services on big and small server platforms}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167770}, doi = {10.1109/IISWC.2017.8167770}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenGDMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenGSWPNVWSMTD17, author = {Zhi Chen and Zhangxiaowen Gong and Justin Josef Szaday and David C. Wong and David A. Padua and Alexandru Nicolau and Alexander V. Veidenbaum and Neftali Watkinson and Zehra Sura and Saeed Maleki and Josep Torrellas and Gerald DeJong}, title = {{LORE:} {A} loop repository for the evaluation of compilers}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {219--228}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167779}, doi = {10.1109/IISWC.2017.8167779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenGSWPNVWSMTD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Chiou17, author = {Derek Chiou}, title = {The microsoft catapult project}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {124}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167769}, doi = {10.1109/IISWC.2017.8167769}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Chiou17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Cong17, author = {Jason Cong}, title = {Characterization and acceleration for genomic sequencing and analysis}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167744}, doi = {10.1109/IISWC.2017.8167744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Cong17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HadidiAMMYK17, author = {Ramyad Hadidi and Bahar Asgari and Burhan Ahmad Mudassar and Saibal Mukhopadhyay and Sudhakar Yalamanchili and Hyesoon Kim}, title = {Demystifying the characteristics of 3D-stacked memories: {A} case study for Hybrid Memory Cube}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167757}, doi = {10.1109/IISWC.2017.8167757}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HadidiAMMYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HelalFJH17, author = {Ahmed E. Helal and Wu{-}chun Feng and Changhee Jung and Yasser Y. Hanafy}, title = {AutoMatch: An automated framework for relative performance estimation and workload distribution on heterogeneous {HPC} systems}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {32--42}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167754}, doi = {10.1109/IISWC.2017.8167754}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HelalFJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HuertaSL17, author = {Yectli A. Huerta and Brent Swartz and David J. Lilja}, title = {Determining work partitioning on closely coupled heterogeneous computing systems using statistical design of experiments}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {118--119}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167766}, doi = {10.1109/IISWC.2017.8167766}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HuertaSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IlbeyiBB17, author = {Berkin Ilbeyi and Carl Friedrich Bolz{-}Tereick and Christopher Batten}, title = {Cross-layer workload characterization of meta-tracing {JIT} VMs}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {97--107}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167760}, doi = {10.1109/IISWC.2017.8167760}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/IlbeyiBB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KohZKYDKJ17, author = {Sungjoon Koh and Jie Zhang and Miryeong Kwon and Jungyeon Yoon and David Donofrio and Nam Sung Kim and Myoungsoo Jung}, title = {Understanding system characteristics of online erasure coding on scalable, distributed and large-scale {SSD} array systems}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {76--86}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167758}, doi = {10.1109/IISWC.2017.8167758}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KohZKYDKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KotraKMK17, author = {Jagadish B. Kotra and Seongbeom Kim and Kamesh Madduri and Mahmut T. Kandemir}, title = {Congestion-aware memory management on {NUMA} platforms: {A} VMware ESXi case study}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {146--155}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167772}, doi = {10.1109/IISWC.2017.8167772}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KotraKMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KwonZPCDSKJ17, author = {Miryeong Kwon and Jie Zhang and Gyuyoung Park and Wonil Choi and David Donofrio and John Shalf and Mahmut T. Kandemir and Myoungsoo Jung}, title = {TraceTracker: Hardware/software co-evaluation for large-scale {I/O} workload reconstruction}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {87--96}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167759}, doi = {10.1109/IISWC.2017.8167759}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KwonZPCDSKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeCJL0A17, author = {Eojin Lee and Jongwook Chung and Daejin Jung and Sukhan Lee and Sheng Li and Jung Ho Ahn}, title = {Work as a team or individual: Characterizing the system-level impacts of main memory partitioning}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {156--166}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167773}, doi = {10.1109/IISWC.2017.8167773}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeCJL0A17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeRSCKA17, author = {Sukhan Lee and Yuhwan Ro and Young Hoon Son and Hyunyoon Cho and Nam Sung Kim and Jung Ho Ahn}, title = {Understanding power-performance relationship of energy-efficient modern {DRAM} devices}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {110--111}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167762}, doi = {10.1109/IISWC.2017.8167762}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeRSCKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeW17, author = {Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {Performance characterization, prediction, and optimization for heterogeneous systems with multi-level memory interference}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {43--53}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167755}, doi = {10.1109/IISWC.2017.8167755}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MakraniH17, author = {Hosein Mohammadi Makrani and Houman Homayoun}, title = {MeNa: {A} memory navigator for modern hardware in a scale-out environment}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {2--11}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167751}, doi = {10.1109/IISWC.2017.8167751}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MakraniH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MakraniH17a, author = {Hosein Mohammadi Makrani and Houman Homayoun}, title = {Memory requirements of hadoop, spark, and {MPI} based big data applications on commodity server class architectures}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {112--113}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167763}, doi = {10.1109/IISWC.2017.8167763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MakraniH17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MalikTH17, author = {Maria Malik and Dean M. Tullsen and Houman Homayoun}, title = {Co-locating and concurrent fine-tuning MapReduce applications on microservers for energy efficiency}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {22--31}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167753}, doi = {10.1109/IISWC.2017.8167753}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/MalikTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MazumdarMKCACOS17, author = {Amrita Mazumdar and Thierry Moreau and Sung Kim and Meghan Cowan and Armin Alaghi and Luis Ceze and Mark Oskin and Visvesh Sathe}, title = {Exploring computation-communication tradeoffs in camera systems}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {177--186}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167775}, doi = {10.1109/IISWC.2017.8167775}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MazumdarMKCACOS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NarayananWMSFJ17, author = {Iyswarya Narayanan and Di Wang and Abdullah{-}Al Mamun and Anand Sivasubramaniam and Hosam K. Fathy and Sean James}, title = {Evaluating energy storage for a multitude of uses in the datacenter}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {12--21}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167752}, doi = {10.1109/IISWC.2017.8167752}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/NarayananWMSFJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RamezaniEAKS17, author = {Morteza Ramezani and Nima Elyasi and Mohammad Arjomand and Mahmut T. Kandemir and Anand Sivasubramaniam}, title = {Exploring the impact of memory block permutation on performance of a crossbar ReRAM main memory}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {167--176}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167774}, doi = {10.1109/IISWC.2017.8167774}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RamezaniEAKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RengasamyZNZSKD17, author = {Prasanna Venkatesh Rengasamy and Haibo Zhang and Nachiappan Chidambaram Nachiappan and Shulin Zhao and Anand Sivasubramaniam and Mahmut T. Kandemir and Chita R. Das}, title = {Characterizing diverse handheld apps for customized hardware acceleration}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {187--196}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167776}, doi = {10.1109/IISWC.2017.8167776}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RengasamyZNZSKD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RodriguesRL17, author = {Crefeda Faviola Rodrigues and Graham D. Riley and Mikel Luj{\'{a}}n}, title = {Fine-grained energy profiling for deep convolutional neural networks on the Jetson {TX1}}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {114--115}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167764}, doi = {10.1109/IISWC.2017.8167764}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RodriguesRL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SasakiSTS17, author = {Hiroshi Sasaki and Fang{-}Hsiang Su and Teruo Tanimoto and Simha Sethumadhavan}, title = {Why do programs have heavy tails?}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {135--145}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167771}, doi = {10.1109/IISWC.2017.8167771}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SasakiSTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SawayaBBGA17, author = {Geoffrey Sawaya and Michael Bentley and Ian Briggs and Ganesh Gopalakrishnan and Dong H. Ahn}, title = {FLiT: Cross-platform floating-point result-consistency tester and workload}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {229--238}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167780}, doi = {10.1109/IISWC.2017.8167780}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SawayaBBGA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SembrantCHB17, author = {Andreas Sembrant and Trevor E. Carlson and Erik Hagersten and David Black{-}Schaffer}, title = {A graphics tracing framework for exploring {CPU+GPU} memory systems}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {54--65}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167756}, doi = {10.1109/IISWC.2017.8167756}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SembrantCHB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SinclairAA17, author = {Matthew D. Sinclair and Johnathan Alsop and Sarita V. Adve}, title = {HeteroSync: {A} benchmark suite for fine-grained synchronization on tightly coupled GPUs}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {239--249}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167781}, doi = {10.1109/IISWC.2017.8167781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SinclairAA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SurineniGNB17, author = {Sruthikesh Surineni and Ruidong Gu and Huyen Nguyen and Michela Becchi}, title = {Understanding the performance-accuracy tradeoffs of floating-point arithmetic on GPUs}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {207--218}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167778}, doi = {10.1109/IISWC.2017.8167778}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SurineniGNB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WibowoAT17, author = {Bagus Wibowo and Abhinav Agrawal and James Tuck}, title = {Characterizing the impact of soft errors across microarchitectural structures and implications for predictability}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {250--260}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167782}, doi = {10.1109/IISWC.2017.8167782}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WibowoAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YuGSFRK17, author = {Leiming Yu and Xun Gong and Yifan Sun and Qianqian Fang and Norm Rubin and David R. Kaeli}, title = {Moka: Model-based concurrent kernel analysis}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {197--206}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167777}, doi = {10.1109/IISWC.2017.8167777}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YuGSFRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YuHWF17, author = {Xiaodong Yu and Kaixi Hou and Hao Wang and Wu{-}chun Feng}, title = {A framework for fast and fair evaluation of automata processing hardware}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {120--121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167767}, doi = {10.1109/IISWC.2017.8167767}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/YuHWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YuW17, author = {Ying{-}Ju Yu and Carole{-}Jean Wu}, title = {Understanding the thermal challenges of high-performance mobile devices with a detailed platform temperature model}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {122--123}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167768}, doi = {10.1109/IISWC.2017.8167768}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YuW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2017, title = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8122074/proceeding}, isbn = {978-1-5386-1233-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AdhinarayananPG16, author = {Vignesh Adhinarayanan and Indrani Paul and Joseph L. Greathouse and Wei Huang and Ashutosh Pattnaik and Wu{-}chun Feng}, title = {Measuring and modeling on-chip interconnect power on real hardware}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {23--33}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581263}, doi = {10.1109/IISWC.2016.7581263}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AdhinarayananPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AdolfRRWB16, author = {Robert Adolf and Saketh Rama and Brandon Reagen and Gu{-}Yeon Wei and David M. Brooks}, title = {Fathom: reference workloads for modern deep learning methods}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {148--157}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581275}, doi = {10.1109/IISWC.2016.7581275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AdolfRRWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AhmadK16, author = {Masab Ahmad and Omer Khan}, title = {{GPU} concurrency choices in graph analytics}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {178--187}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581278}, doi = {10.1109/IISWC.2016.7581278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AhmadK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ArunkumarLW16, author = {Akhil Arunkumar and Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {ID-cache: instruction and memory divergence based cache management for GPUs}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {158--167}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581276}, doi = {10.1109/IISWC.2016.7581276}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ArunkumarLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Cano0BPSGM016, author = {Jos{\'{e}} Cano and Rakesh Kumar and Aleksandar Brankovic and Demos Pavlou and Kyriakos Stavrou and Enric Gibert and Alejandro Mart{\'{\i}}nez and Antonio Gonz{\'{a}}lez}, title = {Quantitative characterization of the software layer of a {HW/SW} co-designed processor}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {138--147}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581274}, doi = {10.1109/IISWC.2016.7581274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Cano0BPSGM016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DevZR16, author = {Kapil Dev and Xin Zhan and Sherief Reda}, title = {Power-aware characterization and mapping of workloads on {CPU-GPU} processors}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {225--226}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581285}, doi = {10.1109/IISWC.2016.7581285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DevZR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DublishNT16, author = {Saumay Dublish and Vijay Nagarajan and Nigel P. Topham}, title = {Characterizing memory bottlenecks in {GPGPU} workloads}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {229--230}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581287}, doi = {10.1109/IISWC.2016.7581287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DublishNT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FerreronJR16, author = {Alexandra Ferreron and Radhika Jagtap and Roxana Rusitoru}, title = {Identifying representative regions of parallel {HPC} applications: a cross-architectural evaluation}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {223--224}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581284}, doi = {10.1109/IISWC.2016.7581284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FerreronJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FuLFCX16, author = {Min Fu and Patrick P. C. Lee and Dan Feng and Zuoning Chen and Yu Xiao}, title = {A simulation analysis of reliability in primary storage deduplication}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {199--208}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581280}, doi = {10.1109/IISWC.2016.7581280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FuLFCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GarciaGGRAP16, author = {Victor Garcia and Juan G{\'{o}}mez{-}Luna and Thomas Grass and Alejandro Rico and Eduard Ayguad{\'{e}} and Antonio J. Pe{\~{n}}a}, title = {Evaluating the effect of last-level cache sharing on integrated {GPU-CPU} systems with heterogeneous applications}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {168--177}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581277}, doi = {10.1109/IISWC.2016.7581277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GarciaGGRAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HegdeLK16, author = {Nikhil Hegde and Jianqiao Liu and Milind Kulkarni}, title = {Treelogy: a benchmark suite for tree traversal applications}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {227--228}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581286}, doi = {10.1109/IISWC.2016.7581286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HegdeLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/InagakiUO16, author = {Tatsushi Inagaki and Yohei Ueda and Moriyoshi Ohara}, title = {Container management as emerging workload for operating systems}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {65--74}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581267}, doi = {10.1109/IISWC.2016.7581267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/InagakiUO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Iyer16, author = {Ravishankar R. Iyer}, title = {The convergence of physical/digital worlds: implications on workloads {\&} architecture}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581260}, doi = {10.1109/IISWC.2016.7581260}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/Iyer16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KastureS16, author = {Harshad Kasture and Daniel S{\'{a}}nchez}, title = {Tailbench: a benchmark suite and evaluation methodology for latency-critical applications}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {3--12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581261}, doi = {10.1109/IISWC.2016.7581261}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KastureS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KumarSS16, author = {Snehasish Kumar and William N. Sumner and Arrvindh Shriraman}, title = {{SPEC-AX} and {PARSEC-AX:} extracting accelerator benchmarks from microprocessor benchmarks}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {117--127}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581272}, doi = {10.1109/IISWC.2016.7581272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KumarSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MahmoudM16, author = {Mostafa Mahmoud and Andreas Moshovos}, title = {Memory controller design under cloud workloads}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {188--198}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581279}, doi = {10.1109/IISWC.2016.7581279}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MahmoudM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MilicCRR16, author = {Ugljesa Milic and Paul M. Carpenter and Alejandro Rico and Alex Ram{\'{\i}}rez}, title = {Rebalancing the core front-end through {HPC} code analysis}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {128--137}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581273}, doi = {10.1109/IISWC.2016.7581273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MilicCRR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ParkHB16, author = {Jinsu Park and Myeonggyun Han and Woongki Baek}, title = {Quantifying the performance impact of large pages on in-memory big-data workloads}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {209--218}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581281}, doi = {10.1109/IISWC.2016.7581281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ParkHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PoyrazM16, author = {Emirhan Poyraz and Gokhan Memik}, title = {Analyzing power consumption and characterizing user activities on smartwatches: summary}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {219--220}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581282}, doi = {10.1109/IISWC.2016.7581282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PoyrazM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RisqueJ16, author = {Robert Risque and Adwait Jog}, title = {Characterization of quantum workloads on {SIMD} architectures}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {34--42}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581264}, doi = {10.1109/IISWC.2016.7581264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RisqueJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Sample16, author = {Alanson P. Sample}, title = {Running on empty: getting work done on battery-free energy harvesting platforms}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581259}, doi = {10.1109/IISWC.2016.7581259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Sample16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SasakiBVB16, author = {Hiroshi Sasaki and Alper Buyuktosunoglu and Augusto Vega and Pradip Bose}, title = {Characterization and mitigation of power contention across multiprogrammed workloads}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {55--64}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581266}, doi = {10.1109/IISWC.2016.7581266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SasakiBVB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SouthernR16, author = {Gabriel Southern and Jose Renau}, title = {Overhead of deoptimization checks in the {V8} javascript engine}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {75--84}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581268}, doi = {10.1109/IISWC.2016.7581268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SouthernR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SummersBEG16, author = {Jim Summers and Tim Brecht and Derek L. Eager and Alex Gutarin}, title = {Characterizing the workload of a netflix streaming video server}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {43--54}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581265}, doi = {10.1109/IISWC.2016.7581265}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SummersBEG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SunGZYLMMVK16, author = {Yifan Sun and Xiang Gong and Amir Kavyan Ziabari and Leiming Yu and Xiangyu Li and Saoni Mukherjee and Carter McCardwell and Alejandro Villegas and David R. Kaeli}, title = {Hetero-mark, a benchmark suite for {CPU-GPU} collaborative computing}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {13--22}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581262}, doi = {10.1109/IISWC.2016.7581262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SunGZYLMMVK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/UedaNO16, author = {Takanori Ueda and Takuya Nakaike and Moriyoshi Ohara}, title = {Workload characterization for microservices}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {85--94}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581269}, doi = {10.1109/IISWC.2016.7581269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/UedaNO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/VenkatagiriSLWB16, author = {Radha Venkatagiri and Karthik Swaminathan and Chung{-}Ching Lin and Liang Wang and Alper Buyuktosunoglu and Pradip Bose and Sarita V. Adve}, title = {Resilience characterization of a vision analytics application under varying degrees of approximation}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {221--222}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581283}, doi = {10.1109/IISWC.2016.7581283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/VenkatagiriSLWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WaddenDBTGSWBRS16, author = {Jack Wadden and Vinh Dang and Nathan Brunelle and Tommy Tracy II and Deyuan Guo and Elaheh Sadredini and Ke Wang and Chunkun Bo and Gabriel Robins and Mircea Stan and Kevin Skadron}, title = {ANMLzoo: a benchmark suite for exploring bottlenecks in automata processing engines and architectures}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {105--166}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581271}, doi = {10.1109/IISWC.2016.7581271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WaddenDBTGSWBRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YangLSZJX16, author = {Fan Yang and Feng Lin and Chen Song and Chi Zhou and Zhanpeng Jin and Wenyao Xu}, title = {Pbench: a benchmark suite for characterizing 3D printing prefabrication}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {95--104}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581270}, doi = {10.1109/IISWC.2016.7581270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YangLSZJX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2016, title = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7581236/proceeding}, isbn = {978-1-5090-3896-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/0001CA15, author = {Daniel Wong and Julia Chen and Murali Annavaram}, title = {A Retrospective Look Back on the Road Towards Energy Proportionality}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {110--111}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.18}, doi = {10.1109/IISWC.2015.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/0001CA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AgrawalWT15, author = {Abhinav Agrawal and Bagus Wibowo and James Tuck}, title = {Source Mark: {A} Source-Level Approach for Identifying Architecture and Optimization Agnostic Regions for Performance Analysis}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {160--171}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.27}, doi = {10.1109/IISWC.2015.27}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AgrawalWT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AhmadHSK15, author = {Masab Ahmad and Farrukh Hijaz and Qingchuan Shi and Omer Khan}, title = {{CRONO:} {A} Benchmark Suite for Multithreaded Graph Algorithms Executing on Futuristic Multicores}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {44--55}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.11}, doi = {10.1109/IISWC.2015.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AhmadHSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AliZMS15, author = {Qasim Ali and Haoqiang Zheng and Tim Mann and Raghunathan Srinivasan}, title = {Power Aware {NUMA} Scheduler in VMware's ESXi Hypervisor}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {193--202}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.30}, doi = {10.1109/IISWC.2015.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AliZMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AzimiZR15, author = {Reza Azimi and Xin Zhan and Sherief Reda}, title = {How Good Are Low-Power 64-Bit SoCs for Server-Class Workloads?}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {116--117}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.21}, doi = {10.1109/IISWC.2015.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AzimiZR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BeamerAP15, author = {Scott Beamer and Krste Asanovic and David A. Patterson}, title = {Locality Exists in Graph Processing: Workload Characterization on an Ivy Bridge Server}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {56--65}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.12}, doi = {10.1109/IISWC.2015.12}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/BeamerAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BegumWHPC15, author = {Rizwana Begum and David Werner and Mark Hempstead and Guru Prasad and Geoffrey Challen}, title = {Energy-Performance Trade-offs on Energy-Constrained Devices with Multi-component {DVFS}}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {34--43}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.10}, doi = {10.1109/IISWC.2015.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BegumWHPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ClappDKVW15, author = {Russell M. Clapp and Martin Dimitrov and Karthik Kumar and Vish Viswanathan and Thomas Willhalm}, title = {Quantifying the Performance Impact of Memory Latency and Bandwidth for Big Data Workloads}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {213--224}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.32}, doi = {10.1109/IISWC.2015.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ClappDKVW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DagaTF15, author = {Mayank Daga and Zachary S. Tschirhart and Chip Freitag}, title = {Exploring Parallel Programming Models for Heterogeneous Computing Systems}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {98--107}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.16}, doi = {10.1109/IISWC.2015.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DagaTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DongDC15, author = {Xiaowan Dong and Sandhya Dwarkadas and Alan L. Cox}, title = {Characterization of Shared Library Access Patterns of Android Applications}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {112--113}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.19}, doi = {10.1109/IISWC.2015.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DongDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/George15, author = {Vinod Mohan George}, title = {3D Workload Subsetting for {GPU} Architecture Pathfinding}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {130--139}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.24}, doi = {10.1109/IISWC.2015.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/George15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GoswamiLQLL15, author = {Nilanjan Goswami and Yuhai Li and Amer Qouneh and Chao Li and Tao Li}, title = {On Power-Performance Characterization of Concurrent Throughput Kernels}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {108--109}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.17}, doi = {10.1109/IISWC.2015.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GoswamiLQLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HestnessKW15, author = {Joel Hestness and Stephen W. Keckler and David A. Wood}, title = {{GPU} Computing Pipeline Inefficiencies and Optimization Opportunities in Heterogeneous {CPU-GPU} Processors}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {87--97}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.15}, doi = {10.1109/IISWC.2015.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HestnessKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HuangFZS15, author = {Song Huang and Song Fu and Quan Zhang and Weisong Shi}, title = {Characterizing Disk Failures with Quantified Disk Degradation Signatures: An Early Experience}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {150--159}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.26}, doi = {10.1109/IISWC.2015.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HuangFZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KaliorakisTCFG15, author = {Manolis Kaliorakis and Sotiris Tselonis and Athanasios Chatzidimitriou and Nikos Foutris and Dimitris Gizopoulos}, title = {Differential Fault Injection on Microarchitectural Simulators}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {172--182}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.28}, doi = {10.1109/IISWC.2015.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KaliorakisTCFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KambadurHCPLSK15, author = {Melanie Kambadur and Sunpyo Hong and Juan Cabral and Harish Patil and Chi{-}Keung Luk and Sohaib Sajid and Martha A. Kim}, title = {Fast Computational {GPU} Design with GT-Pin}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {76--86}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.14}, doi = {10.1109/IISWC.2015.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KambadurHCPLSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KooJA15, author = {Gunjae Koo and Hyeran Jeon and Murali Annavaram}, title = {Revealing Critical Loads and Hidden Data Locality in {GPGPU} Applications}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {120--129}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.23}, doi = {10.1109/IISWC.2015.23}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/KooJA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MajumdarWDGPHVP15, author = {Abhinandan Majumdar and Gene Y. Wu and Kapil Dev and Joseph L. Greathouse and Indrani Paul and Wei Huang and Arjun{-}Karthik Venugopal and Leonardo Piga and Chip Freitag and Sooraj Puthoor}, title = {A Taxonomy of {GPGPU} Performance Scaling}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {118--119}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.22}, doi = {10.1109/IISWC.2015.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MajumdarWDGPHVP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RashidKAK15, author = {Al M. Rashid and Bob Kuhn and Bijan Arbab and David Kuck}, title = {{PC} Design, Use, and Purchase Relations}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {140--149}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.25}, doi = {10.1109/IISWC.2015.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RashidKAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SandbergNCHKB15, author = {Andreas Sandberg and Nikos Nikoleris and Trevor E. Carlson and Erik Hagersten and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {183--192}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.29}, doi = {10.1109/IISWC.2015.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SandbergNCHKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SeoICH15, author = {Wonik Seo and Daegil Im and Jeongim Choi and Jaehyuk Huh}, title = {Big or Little: {A} Study of Mobile Interactive Applications on an Asymmetric Multi-core Platform}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.7}, doi = {10.1109/IISWC.2015.7}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SeoICH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShingariAW15, author = {Davesh Shingari and Akhil Arunkumar and Carole{-}Jean Wu}, title = {Characterization and Throttling-Based Mitigation of Memory Interference for Heterogeneous Smartphones}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {22--33}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.9}, doi = {10.1109/IISWC.2015.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ShingariAW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TootaghajFAFKSD15, author = {Diman Zad Tootaghaj and Farshid Farhat and Mohammad Arjomand and Paolo Faraboschi and Mahmut Taylan Kandemir and Anand Sivasubramaniam and Chita R. Das}, title = {Evaluating the Combined Impact of Node Architecture and Cloud Workload Characteristics on Network Traffic and Performance/Cost}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {203--212}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.31}, doi = {10.1109/IISWC.2015.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TootaghajFAFKSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WuWPYO15, author = {Yuduo Wu and Yangzihao Wang and Yuechao Pan and Carl Yang and John D. Owens}, title = {Performance Characterization of High-Level Programming Models for {GPU} Graph Analytics}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.13}, doi = {10.1109/IISWC.2015.13}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WuWPYO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XieLZJZWZ15, author = {Biwei Xie and Xu Liu and Jianfeng Zhan and Zhen Jia and Yuqing Zhu and Lei Wang and Lixin Zhang}, title = {Characterizing Data Analytics Workloads on Intel Xeon Phi}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {114--115}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.20}, doi = {10.1109/IISWC.2015.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XieLZJZWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhouPWX15, author = {Deng Zhou and Wen Pan and Wei Wang and Tao Xie}, title = {{I/O} Characteristics of Smartphone Applications and Their Implications for eMMC Design}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {12--21}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.8}, doi = {10.1109/IISWC.2015.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhouPWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2015, title = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7313079/proceeding}, isbn = {978-1-5090-0088-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AnghelRP14, author = {Andreea Anghel and Germ{\'{a}}n Rodr{\'{\i}}guez and Bogdan Prisacari}, title = {The importance and characteristics of communication in high performance data analytics}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {80--81}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983044}, doi = {10.1109/IISWC.2014.6983044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AnghelRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CabezasP14, author = {Victoria Caparr{\'{o}}s Cabezas and Markus P{\"{u}}schel}, title = {Extending the roofline model: Bottleneck analysis with microarchitectural constraints}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {222--231}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983061}, doi = {10.1109/IISWC.2014.6983061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CabezasP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DingYK14, author = {Yang Ding and Praveen Yedlapalli and Mahmut T. Kandemir}, title = {QoS aware dynamic time-slice tuning}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {84--85}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983046}, doi = {10.1109/IISWC.2014.6983046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DingYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HestnessKW14, author = {Joel Hestness and Stephen W. Keckler and David A. Wood}, title = {A comparative analysis of microarchitecture effects on {CPU} and {GPU} memory system behavior}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {150--160}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983054}, doi = {10.1109/IISWC.2014.6983054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HestnessKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/InoueN14, author = {Hiroshi Inoue and Toshio Nakatani}, title = {Adaptive {SMT} control for more responsive web applications}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {41--50}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983038}, doi = {10.1109/IISWC.2014.6983038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/InoueN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JiaZWHMYLL14, author = {Zhen Jia and Jianfeng Zhan and Lei Wang and Rui Han and Sally A. McKee and Qiang Yang and Chunjie Luo and Jingwei Li}, title = {Characterizing and subsetting big data workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {191--201}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983058}, doi = {10.1109/IISWC.2014.6983058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JiaZWHMYLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JiangZHCMJS14, author = {Tao Jiang and Qianlong Zhang and Rui Hou and Lin Chai and Sally A. McKee and Zhen Jia and Ninghui Sun}, title = {Understanding the behavior of in-memory computing workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {22--30}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983036}, doi = {10.1109/IISWC.2014.6983036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JiangZHCMJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KanevHWB14, author = {Svilen Kanev and Kim M. Hazelwood and Gu{-}Yeon Wei and David M. Brooks}, title = {Tradeoffs between power management and tail latency in warehouse-scale applications}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {31--40}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983037}, doi = {10.1109/IISWC.2014.6983037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KanevHWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KarakostasUNCS14, author = {Vasileios Karakostas and Osman S. Unsal and Mario Nemirovsky and Adri{\'{a}}n Cristal and Michael M. Swift}, title = {Performance analysis of the memory management unit under scale-out workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983034}, doi = {10.1109/IISWC.2014.6983034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KarakostasUNCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KimLJR14, author = {Keunsoo Kim and Changmin Lee and Jung Ho Jung and Won Woo Ro}, title = {Workload synthesis: Generating benchmark workloads from statistical execution profile}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {120--129}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983051}, doi = {10.1109/IISWC.2014.6983051}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KimLJR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NakaikeISO14, author = {Takuya Nakaike and Hiroshi Inoue and Toshio Suganuma and Moriyoshi Ohara}, title = {Characterization of call-graph profiles in Java workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {161--170}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983055}, doi = {10.1109/IISWC.2014.6983055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NakaikeISO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ONeilB14, author = {Molly A. O'Neil and Martin Burtscher}, title = {Microarchitectural performance characterization of irregular {GPU} kernels}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {130--139}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983052}, doi = {10.1109/IISWC.2014.6983052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ONeilB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OdairaN14, author = {Rei Odaira and Takuya Nakaike}, title = {Thread-level speculation on off-the-shelf hardware transactional memory}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {212--221}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983060}, doi = {10.1109/IISWC.2014.6983060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OdairaN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Ogasawara14, author = {Takeshi Ogasawara}, title = {Workload characterization of server-side JavaScript}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {13--21}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983035}, doi = {10.1109/IISWC.2014.6983035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Ogasawara14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OrhanDYKO14, author = {Umut Orhan and Wei Ding and Praveen Yedlapalli and Mahmut T. Kandemir and {\"{O}}zcan {\"{O}}zturk}, title = {A cache topology-aware multi-query scheduler for multicore architectures}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {86--87}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983047}, doi = {10.1109/IISWC.2014.6983047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OrhanDYKO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OzturkKS14, author = {Celal {\"{O}}zt{\"{u}}rk and Ibrahim Burak Karsli and Resit Sendag}, title = {Automatic source code analysis of branch mispredictions}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {82--83}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983045}, doi = {10.1109/IISWC.2014.6983045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OzturkKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OzturkKS14a, author = {Celal {\"{O}}zt{\"{u}}rk and Ibrahim Burak Karsli and Resit Sendag}, title = {An analysis of address and branch patterns with PatternFinder}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {232--242}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983062}, doi = {10.1109/IISWC.2014.6983062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OzturkKS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PandiyanW14, author = {Dhinakaran Pandiyan and Carole{-}Jean Wu}, title = {Quantifying the energy cost of data movement for emerging smart phone workloads on mobile platforms}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {171--180}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983056}, doi = {10.1109/IISWC.2014.6983056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PandiyanW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PatilJCHMC14, author = {Shruti Patil and Ali JavadiAbhari and Chen{-}Fu Chiang and Jeff Heckey and Margaret Martonosi and Frederic T. Chong}, title = {Characterizing the performance effect of trials and rotations in applications that use Quantum Phase Estimation}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {181--190}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983057}, doi = {10.1109/IISWC.2014.6983057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PatilJCHMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ReagenASWB14, author = {Brandon Reagen and Robert Adolf and Yakun Sophia Shao and Gu{-}Yeon Wei and David M. Brooks}, title = {MachSuite: Benchmarks for accelerator design and customized architectures}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {110--119}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983050}, doi = {10.1109/IISWC.2014.6983050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ReagenASWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RenSW14, author = {Zujie Ren and Weisong Shi and Jian Wan}, title = {Towards realistic benchmarking for cloud file systems: Early experiences}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {88--98}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983048}, doi = {10.1109/IISWC.2014.6983048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RenSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RyooLIJ14, author = {Jee Ho Ryoo and Michael LeBeane and Muhammad Faisal Iqbal and Lizy K. John}, title = {Control flow behavior of cloud workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {71--73}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983041}, doi = {10.1109/IISWC.2014.6983041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RyooLIJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SeekerPLF14, author = {Volker Seeker and Pavlos Petoumenos and Hugh Leather and Bj{\"{o}}rn Franke}, title = {Measuring QoE of interactive workloads and characterising frequency governors on mobile devices}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {61--70}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983040}, doi = {10.1109/IISWC.2014.6983040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SeekerPLF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SoundararajanAHST14, author = {Vijayaraghavan Soundararajan and Banit Agrawal and Bruce Herndon and Priya Sethuraman and H. Reza Taheri}, title = {Benchmarking a virtualization platform}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {99--109}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983049}, doi = {10.1109/IISWC.2014.6983049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SoundararajanAHST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ThomasGTCLGT14, author = {Shelby Thomas and Chetan Gohkale and Enrico Tanuwidjaja and Tony Chong and David Lau and Saturnino Garcia and Michael Bedford Taylor}, title = {CortexSuite: {A} synthetic brain benchmark suite}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {76--79}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983043}, doi = {10.1109/IISWC.2014.6983043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ThomasGTCLGT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangBBBS14, author = {Liang Wang and Ramon Bertran and Alper Buyuktosunoglu and Pradip Bose and Kevin Skadron}, title = {Characterization of transient error tolerance for a class of mobile embedded applications}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {74--75}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983042}, doi = {10.1109/IISWC.2014.6983042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WangBBBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangY14, author = {Jin Wang and Sudhakar Yalamanchili}, title = {Characterization and analysis of dynamic parallelism in unstructured {GPU} applications}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {51--60}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983039}, doi = {10.1109/IISWC.2014.6983039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WangY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuJA14, author = {Qiumin Xu and Hyeran Jeon and Murali Annavaram}, title = {Graph processing on GPUs: Where are the bottlenecks?}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {140--149}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983053}, doi = {10.1109/IISWC.2014.6983053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XuJA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YassinBM14, author = {Ahmad Yasin and Yosi Ben{-}Asher and Avi Mendelson}, title = {Deep-dive analysis of the data analytics workload in CloudSuite}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {202--211}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983059}, doi = {10.1109/IISWC.2014.6983059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YassinBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2014, title = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6966889/proceeding}, isbn = {978-1-4799-6452-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AbeSKIEP13, author = {Yuki Abe and Hiroshi Sasaki and Shinpei Kato and Koji Inoue and Masato Edahiro and Martin Peres}, title = {Power and performance of GPU-accelerated systems: {A} closer look}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {109--110}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704675}, doi = {10.1109/IISWC.2013.6704675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AbeSKIEP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CesarADRBA13, author = {Divino Cesar S. Lucas and Rafael Auler and Rafael Dalibera and Sandro Rigo and Edson Borin and Guido Araujo}, title = {Modeling virtual machines misprediction overhead}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {153--162}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704681}, doi = {10.1109/IISWC.2013.6704681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CesarADRBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheBRS13, author = {Shuai Che and Bradford M. Beckmann and Steven K. Reinhardt and Kevin Skadron}, title = {Pannotia: Understanding irregular {GPGPU} graph applications}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {185--195}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704684}, doi = {10.1109/IISWC.2013.6704684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CheBRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DelgadoK13, author = {Brian Delgado and Karen L. Karavanic}, title = {Performance implications of System Management Mode}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {163--173}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704682}, doi = {10.1109/IISWC.2013.6704682}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DelgadoK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DelimitrouK13, author = {Christina Delimitrou and Christos Kozyrakis}, title = {iBench: Quantifying interference for datacenter applications}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {23--33}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704667}, doi = {10.1109/IISWC.2013.6704667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DelimitrouK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DuarteSV13, author = {Ronald Duarte and Resit Sendag and Frederick J. Vetter}, title = {On the performance and energy-efficiency of multi-core {SIMD} CPUs and CUDA-enabled GPUs}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {174--184}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704683}, doi = {10.1109/IISWC.2013.6704683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DuarteSV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JiaWZZL13, author = {Zhen Jia and Lei Wang and Jianfeng Zhan and Lixin Zhang and Chunjie Luo}, title = {Characterizing data analysis workloads in data centers}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {66--76}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704671}, doi = {10.1109/IISWC.2013.6704671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JiaWZZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KestorGKH13, author = {Gokcen Kestor and Roberto Gioiosa and Darren J. Kerbyson and Adolfy Hoisie}, title = {Quantifying the energy cost of data movement in scientific applications}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {56--65}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704670}, doi = {10.1109/IISWC.2013.6704670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KestorGKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MajoG13, author = {Zoltan Maj{\'{o}} and Thomas R. Gross}, title = {(Mis)understanding the {NUMA} memory system performance of multithreaded workloads}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {11--22}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704666}, doi = {10.1109/IISWC.2013.6704666}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/MajoG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NatarajanC13, author = {Ragavendra Natarajan and Mainak Chaudhuri}, title = {Characterizing multi-threaded applications for designing sharing-aware last-level cache replacement policies}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704665}, doi = {10.1109/IISWC.2013.6704665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NatarajanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NilakantanH13, author = {Siddharth Nilakantan and Mark Hempstead}, title = {Platform-independent analysis of function-level communication in workloads}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {196--206}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704685}, doi = {10.1109/IISWC.2013.6704685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NilakantanH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OdairaCN13, author = {Rei Odaira and Jos{\'{e}} G. Casta{\~{n}}os and Takuya Nakaike}, title = {Do {C} and Java programs scale differently on Hardware Transactional Memory?}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {34--43}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704668}, doi = {10.1109/IISWC.2013.6704668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OdairaCN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PakinM13, author = {Scott Pakin and Patrick S. McCormick}, title = {Hardware-independent application characterization}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {111--112}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704676}, doi = {10.1109/IISWC.2013.6704676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PakinM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PandiyanLW13, author = {Dhinakaran Pandiyan and Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {Performance, energy characterizations and architectural implications of an emerging mobile platform benchmark suite - MobileBench}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704679}, doi = {10.1109/IISWC.2013.6704679}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PandiyanLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SaletoreKVT13, author = {Vikram A. Saletore and Karthik Krishnan and Vish Viswanathan and Matthew E. Tolentino}, title = {HcBench: Methodology, development, and characterization of a customer usage representative big data/Hadoop benchmark}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {77--86}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704672}, doi = {10.1109/IISWC.2013.6704672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SaletoreKVT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SoundararajanS13, author = {Vijayaraghavan Soundararajan and Lawrence Spracklen}, title = {Revisiting the management control plane in virtualized cloud computing infrastructure}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {143--152}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704680}, doi = {10.1109/IISWC.2013.6704680}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SoundararajanS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SunwooWGSBEP13, author = {Dam Sunwoo and William Wang and Mrinmoy Ghosh and Chander Sudanthi and Geoffrey Blake and Christopher D. Emmons and Nigel C. Paver}, title = {A structured approach to the simulation, analysis and characterization of smartphone applications}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {113--122}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704677}, doi = {10.1109/IISWC.2013.6704677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SunwooWGSBEP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangRGSUKV13, author = {Di Wang and Chuangang Ren and Sriram Govindan and Anand Sivasubramaniam and Bhuvan Urgaonkar and Aman Kansal and Kushagra Vaid}, title = {{ACE:} Abstracting, characterizing and exploiting datacenter power demands}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {44--55}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704669}, doi = {10.1109/IISWC.2013.6704669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WangRGSUKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuHR13, author = {Zhihong Xu and Martin Hirzel and Gregg Rothermel}, title = {Semantic characterization of MapReduce workloads}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {87--97}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704673}, doi = {10.1109/IISWC.2013.6704673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XuHR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhengCDCAMM13, author = {Qi Zheng and Yajing Chen and Ronald G. Dreslinski and Chaitali Chakrabarti and Achilleas Anastasopoulos and Scott A. Mahlke and Trevor N. Mudge}, title = {WiBench: An open source kernel suite for benchmarking wireless systems}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704678}, doi = {10.1109/IISWC.2013.6704678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhengCDCAMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhouLL13, author = {Ruijin Zhou and Ming Liu and Tao Li}, title = {Characterizing the efficiency of data deduplication for big data storage management}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {98--108}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704674}, doi = {10.1109/IISWC.2013.6704674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhouLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2013, title = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6689493/proceeding}, isbn = {978-1-4799-0553-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AbadRLC12, author = {Cristina L. Abad and Nathan Roberts and Yi Lu and Roy H. Campbell}, title = {A storage-centric analysis of MapReduce workloads: File popularity, temporal locality and arrival patterns}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {100--109}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402909}, doi = {10.1109/IISWC.2012.6402909}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AbadRLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BurtscherNP12, author = {Martin Burtscher and Rupesh Nasre and Keshav Pingali}, title = {A quantitative study of irregular programs on GPUs}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {141--151}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402918}, doi = {10.1109/IISWC.2012.6402918}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BurtscherNP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenCDGHLNQST12, author = {Tianshi Chen and Yunji Chen and Marc Duranton and Qi Guo and Atif Hashmi and Mikko H. Lipasti and Andrew Nere and Shi Qiu and Mich{\`{e}}le Sebag and Olivier Temam}, title = {BenchNN: On the broad potential application scope of hardware neural network accelerators}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {36--45}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402898}, doi = {10.1109/IISWC.2012.6402898}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenCDGHLNQST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DavisRGA12, author = {John D. Davis and Suzanne Rivoire and Mois{\'{e}}s Goldszmidt and Ehsan K. Ardestani}, title = {{CHAOS:} Composable Highly Accurate OS-based power models}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {153--163}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402920}, doi = {10.1109/IISWC.2012.6402920}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DavisRGA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DelimitrouSKK12, author = {Christina Delimitrou and Sriram Sankar and Aman Kansal and Christos Kozyrakis}, title = {{ECHO:} Recreating network traffic maps for datacenters with tens of thousands of servers}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {14--24}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402896}, doi = {10.1109/IISWC.2012.6402896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DelimitrouSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DemertziZRA12, author = {Melina Demertzi and Bardia Zandian and Ricardo Rojas and Murali Annavaram}, title = {Benchmarking {ISA} reliability to intermittent errors}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {86--87}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402906}, doi = {10.1109/IISWC.2012.6402906}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DemertziZRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Deniz0HK12, author = {Etem Deniz and Alper Sen and Jim Holt and Brian Kahne}, title = {Using software architectural patterns for synthetic embedded multicore benchmark development}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {89--99}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402908}, doi = {10.1109/IISWC.2012.6402908}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Deniz0HK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Holmes12, author = {David R. Holmes}, title = {Keynote address: Clinical Decision Support: The challenge of big data and big computation}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {118}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402914}, doi = {10.1109/IISWC.2012.6402914}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Holmes12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JiangHZZCCS12, author = {Tao Jiang and Rui Hou and Lixin Zhang and Ke Zhang and Licheng Chen and Mingyu Chen and Ninghui Sun}, title = {Micro-architectural characterization of desktop cloud workloads}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {131--140}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402917}, doi = {10.1109/IISWC.2012.6402917}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JiangHZZCCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Kumar12, author = {Sanjeev Kumar}, title = {Analyzing the Facebook workload}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {111--112}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402911}, doi = {10.1109/IISWC.2012.6402911}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Kumar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeA12, author = {Sangwon Lee and Murali Annavaram}, title = {Wireless Body Area Networks: Where does energy go?}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {25--35}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402897}, doi = {10.1109/IISWC.2012.6402897}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ManousopoulosMGKC12, author = {Stelios Manousopoulos and Miquel Moret{\'{o}} and Roberto Gioiosa and Nectarios Koziris and Francisco J. Cazorla}, title = {Characterizing thread placement in the {IBM} {POWER7} processor}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {120--130}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402916}, doi = {10.1109/IISWC.2012.6402916}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ManousopoulosMGKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RenXWSZ12, author = {Zujie Ren and Xianghua Xu and Jian Wan and Weisong Shi and Min Zhou}, title = {Workload characterization on a production Hadoop cluster: {A} case study on Taobao}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {3--13}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402895}, doi = {10.1109/IISWC.2012.6402895}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RenXWSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ReshadiC12, author = {Mehrdad Reshadi and Calin Cascaval}, title = {Multidimensional dynamic behavior in mobile computing}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {113--115}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402912}, doi = {10.1109/IISWC.2012.6402912}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ReshadiC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RomoserFJZ12, author = {Brian Romoser and Ribel Fares and Peter Janovics and Ziliang Zong}, title = {Characterizing global user download behavior on a large-scale satellite image distribution system}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {84--85}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402905}, doi = {10.1109/IISWC.2012.6402905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RomoserFJZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RothBMF12, author = {Mark Roth and Micah J. Best and Craig Mustard and Alexandra Fedorova}, title = {Deconstructing the overhead in parallel applications}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {59--68}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402901}, doi = {10.1109/IISWC.2012.6402901}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RothBMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SampsonCCMG12, author = {Adrian Sampson and Calin Cascaval and Luis Ceze and Pablo Montesinos and Dar{\'{\i}}o Su{\'{a}}rez Gracia}, title = {Automatic discovery of performance and energy pitfalls in {HTML} and {CSS}}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {82--83}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402904}, doi = {10.1109/IISWC.2012.6402904}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SampsonCCMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SatoIN12, author = {Yukinori Sato and Yasushi Inoguchi and Tadao Nakamura}, title = {Whole program data dependence profiling to unveil parallel regions in the dynamic execution}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {69--80}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402902}, doi = {10.1109/IISWC.2012.6402902}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SatoIN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SembrantBH12, author = {Andreas Sembrant and David Black{-}Schaffer and Erik Hagersten}, title = {Phase behavior in serial and parallel applications}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {47--58}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402900}, doi = {10.1109/IISWC.2012.6402900}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SembrantBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Simons12, author = {Joshua E. Simons}, title = {Virtualization for {HPC}}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {116--117}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402913}, doi = {10.1109/IISWC.2012.6402913}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Simons12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SuLNCSL12, author = {Chun{-}Yi Su and Dong Li and Dimitrios S. Nikolopoulos and Kirk W. Cameron and Bronis R. de Supinski and Edgar A. Le{\'{o}}n}, title = {Model-based, memory-centric performance and power optimization on {NUMA} multiprocessors}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {164--173}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402921}, doi = {10.1109/IISWC.2012.6402921}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SuLNCSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Yelick12, author = {Katherine A. Yelick}, title = {Keynote address: Moving a science workload to exascale computing}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402893}, doi = {10.1109/IISWC.2012.6402893}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Yelick12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2012, title = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6387512/proceeding}, isbn = {978-1-4673-4531-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenJ11, author = {Jian Chen and Lizy K. John}, title = {Autocorrelation analysis: {A} new and improved method for branch predictability characterization}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {194--203}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114179}, doi = {10.1109/IISWC.2011.6114179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ClemonsZSA11, author = {Jason Clemons and Haishan Zhu and Silvio Savarese and Todd M. Austin}, title = {MEVBench: {A} mobile computer vision benchmarking suite}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {91--102}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114206}, doi = {10.1109/IISWC.2011.6114206}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ClemonsZSA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CraeynestE11, author = {Kenzo Van Craeynest and Lieven Eeckhout}, title = {The Multi-Program Performance Model: Debunking current practice in multi-core simulation}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {26--37}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114194}, doi = {10.1109/IISWC.2011.6114194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CraeynestE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DayarathnaTS11, author = {Miyuru Dayarathna and Souhei Takeno and Toyotaro Suzumura}, title = {A performance study on operator-based stream processing systems}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {79}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114204}, doi = {10.1109/IISWC.2011.6114204}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DayarathnaTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DelimitrouSVK11, author = {Christina Delimitrou and Sriram Sankar and Kushagra Vaid and Christos Kozyrakis}, title = {Decoupling datacenter studies from access to large-scale applications: {A} modeling approach for storage workloads}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {51--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114196}, doi = {10.1109/IISWC.2011.6114196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DelimitrouSVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DemertziAH11, author = {Melina Demertzi and Murali Annavaram and Mary W. Hall}, title = {Analyzing the effects of compiler optimizations on application reliability}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {184--193}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114178}, doi = {10.1109/IISWC.2011.6114178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DemertziAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DuanPL11, author = {Lide Duan and Lu Peng and Bin Li}, title = {Two-level soft error vulnerability prediction on {SMT/CMP} architectures}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {78}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114203}, doi = {10.1109/IISWC.2011.6114203}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DuanPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GuerinLDSP11, author = {Xavier Guerin and Yanbin Liu and Parijat Dube and Seetharami Seelam and Pierre{-}Andre Paumelle}, title = {Scalability analysis of enterprise javaworkloads on a multi-core system}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {77}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114202}, doi = {10.1109/IISWC.2011.6114202}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GuerinLDSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GutierrezDWMSEP11, author = {Anthony Gutierrez and Ronald G. Dreslinski and Thomas F. Wenisch and Trevor N. Mudge and Ali G. Saidi and Christopher D. Emmons and Nigel C. Paver}, title = {Full-system analysis and characterization of interactive smartphone applications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {81--90}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114205}, doi = {10.1109/IISWC.2011.6114205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GutierrezDWMSEP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HeirmanCCSE11, author = {Wim Heirman and Trevor E. Carlson and Shuai Che and Kevin Skadron and Lieven Eeckhout}, title = {Using cycle stacks to understand scaling bottlenecks in multi-threaded workloads}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {38--49}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114195}, doi = {10.1109/IISWC.2011.6114195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HeirmanCCSE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JongeriusSC11, author = {Rik Jongerius and Phillip Stanley{-}Marbell and Henk Corporaal}, title = {Quantifying the common computational problems in contemporary applications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {74}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114199}, doi = {10.1109/IISWC.2011.6114199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JongeriusSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MeswaniCUSBP11, author = {Mitesh R. Meswani and Laura Carrington and Didem Unat and Allan Snavely and Scott B. Baden and Stephen Poole}, title = {Modeling and predicting application performance on hardware accelerators}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {73}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114198}, doi = {10.1109/IISWC.2011.6114198}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/MeswaniCUSBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OlschanowskySC11, author = {Catherine Mills Olschanowsky and Allan Snavely and Laura Carrington}, title = {A tool for characterizing and succinctly representing the data access patterns of applications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {126--135}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114173}, doi = {10.1109/IISWC.2011.6114173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OlschanowskySC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PavlovicER11, author = {Milan Pavlovic and Yoav Etsion and Alex Ram{\'{\i}}rez}, title = {On the memory system requirements of future scientific applications: Four case-studies}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {159--170}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114176}, doi = {10.1109/IISWC.2011.6114176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PavlovicER11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PiccartGBE11, author = {Beau Piccart and Andy Georges and Hendrik Blockeel and Lieven Eeckhout}, title = {Ranking commercial machines through data transposition}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {3--14}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114192}, doi = {10.1109/IISWC.2011.6114192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PiccartGBE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PigaBKAR11, author = {Leonardo Piga and Reinaldo A. Bergamaschi and Felipe Klein and Rodolfo Azevedo and Sandro Rigo}, title = {Empirical Web server power modeling and characterization}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {75}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114200}, doi = {10.1109/IISWC.2011.6114200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PigaBKAR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PusukuriGB11, author = {Kishore Kumar Pusukuri and Rajiv Gupta and Laxmi N. Bhuyan}, title = {Thread reinforcer: Dynamically determining number of threads via {OS} level monitoring}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {116--125}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114208}, doi = {10.1109/IISWC.2011.6114208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PusukuriGB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/QounehLL11, author = {Amer Qouneh and Chao Li and Tao Li}, title = {A quantitative analysis of cooling power in container-based data centers}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {61--71}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114197}, doi = {10.1109/IISWC.2011.6114197}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/QounehLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SembrantEH11, author = {Andreas Sembrant and David Eklov and Erik Hagersten}, title = {Efficient software-based online phase classification}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {104--115}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114207}, doi = {10.1109/IISWC.2011.6114207}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SembrantEH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SeoJL11, author = {Sangmin Seo and Gangwon Jo and Jaejin Lee}, title = {Performance characterization of the {NAS} Parallel Benchmarks in OpenCL}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {137--148}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114174}, doi = {10.1109/IISWC.2011.6114174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SeoJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SuzumuraUSFM11, author = {Toyotaro Suzumura and Koji Ueno and Hitoshi Sato and Katsuki Fujisawa and Satoshi Matsuoka}, title = {Performance characteristics of Graph500 on large-scale distributed environment}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {149--158}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114175}, doi = {10.1109/IISWC.2011.6114175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SuzumuraUSFM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TanGLF11, author = {Jingweijia Tan and Nilanjan Goswami and Tao Li and Xin Fu}, title = {Analyzing soft-error vulnerability on {GPGPU} microarchitecture}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {226--235}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114182}, doi = {10.1109/IISWC.2011.6114182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TanGLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/VasiliadisPI11, author = {Giorgos Vasiliadis and Michalis Polychronakis and Sotiris Ioannidis}, title = {Parallelization and characterization of pattern matching using GPUs}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {216--225}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114181}, doi = {10.1109/IISWC.2011.6114181}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/VasiliadisPI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangJ11, author = {Zhe Wang and Daniel A. Jim{\'{e}}nez}, title = {Program Interferometry}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {172--175}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114177}, doi = {10.1109/IISWC.2011.6114177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WangJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XiZJHWZSL11, author = {Huafeng Xi and Jianfeng Zhan and Zhen Jia and Xuehai Hong and Lei Wang and Lixin Zhang and Ninghui Sun and Gang Lu}, title = {Characterization of real workloads of web search engines}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {15--25}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114193}, doi = {10.1109/IISWC.2011.6114193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XiZJHWZSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YuJGLJ11, author = {Zhibin Yu and Hai Jin and Nilanjan Goswami and Tao Li and Lizy K. John}, title = {Hierarchically characterizing {CUDA} program behavior}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {76}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114201}, doi = {10.1109/IISWC.2011.6114201}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YuJGLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZhangPLPC11, author = {Ying Zhang and Lu Peng and Bin Li and Jih{-}Kwon Peir and Jianmin Chen}, title = {Architecture comparisons between Nvidia and {ATI} GPUs: Computation parallelism and data communications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {205--215}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114180}, doi = {10.1109/IISWC.2011.6114180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZhangPLPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2011, title = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6107922/proceeding}, isbn = {978-1-4577-2064-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BieniaL10, author = {Christian Bienia and Kai Li}, title = {Fidelity and scaling of the {PARSEC} benchmark inputs}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649519}, doi = {10.1109/IISWC.2010.5649519}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BieniaL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheSBSWS10, author = {Shuai Che and Jeremy W. Sheaffer and Michael Boyer and Lukasz G. Szafaryn and Liang Wang and Kevin Skadron}, title = {A characterization of the Rodinia benchmark suite with comparison to contemporary {CMP} workloads}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650274}, doi = {10.1109/IISWC.2010.5650274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CheSBSWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChungCM10, author = {JaeWoong Chung and Dhruva R. Chakrabarti and Chi Cao Minh}, title = {Analysis on semantic transactional memory footprint for hardware transactional memory}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649529}, doi = {10.1109/IISWC.2010.5649529}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChungCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DhanotiaGB10, author = {Abhishek Dhanotia and Sabina Grover and Gregory T. Byrd}, title = {Analyzing and scaling parallelism for network routing protocols}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650317}, doi = {10.1109/IISWC.2010.5650317}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DhanotiaGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DongZZDLLZG10, author = {Yaozu Dong and Xudong Zheng and Xiantao Zhang and Jinquan Dai and Jianhui Li and Xin Li and Gang Zhai and Haibing Guan}, title = {Improving virtualization performance and scalability with advanced hardware accelerations}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649499}, doi = {10.1109/IISWC.2010.5649499}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DongZZDLLZG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ErtveldeE10, author = {Luk Van Ertvelde and Lieven Eeckhout}, title = {Benchmark synthesis for architecture and compiler exploration}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650208}, doi = {10.1109/IISWC.2010.5650208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ErtveldeE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FortunaACE10, author = {Emily Fortuna and Owen Anderson and Luis Ceze and Susan J. Eggers}, title = {A limit study of JavaScript parallelism}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649419}, doi = {10.1109/IISWC.2010.5649419}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FortunaACE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GordonSC10, author = {Brian Gordon and Sohum Sohoni and Damon M. Chandler}, title = {Data handling inefficiencies between CUDA, 3D rendering, and system memory}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5648828}, doi = {10.1109/IISWC.2010.5648828}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GordonSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GoswamiSJL10, author = {Nilanjan Goswami and Ramkumar Shankar and Madhura Joshi and Tao Li}, title = {Exploring {GPGPU} workloads: Characterization methodology, analysis and microarchitecture evaluation implications}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649549}, doi = {10.1109/IISWC.2010.5649549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GoswamiSJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HongOCBKO10, author = {Sungpack Hong and Tayo Oguntebi and Jared Casper and Nathan Grasso Bronson and Christos Kozyrakis and Kunle Olukotun}, title = {Eigenbench: {A} simple exploration tool for orthogonal {TM} characteristics}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5648812}, doi = {10.1109/IISWC.2010.5648812}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HongOCBKO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/InoueN10, author = {Hiroshi Inoue and Toshio Nakatani}, title = {Performance of multi-process and multi-thread processing on multi-core {SMT} processors}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650174}, doi = {10.1109/IISWC.2010.5650174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/InoueN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IoannouSKXYPBLWC10, author = {Nikolas Ioannou and Jeremy Singer and Salman Khan and Polychronis Xekalakis and Paraskevas Yiapanis and Adam Craig Pocock and Gavin Brown and Mikel Luj{\'{a}}n and Ian Watson and Marcelo Cintra}, title = {Toward a more accurate understanding of the limits of the {TLS} execution paradigm}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649169}, doi = {10.1109/IISWC.2010.5649169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/IoannouSKXYPBLWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiuTY10, author = {Ren{-}Shuo Liu and Yun{-}Cheng Tsai and Chia{-}Lin Yang}, title = {Parallelization and characterization of {GARCH} option pricing on GPUs}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5648864}, doi = {10.1109/IISWC.2010.5648864}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiuTY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LvZHD10, author = {Hui Lv and Xudong Zheng and Zhiteng Huang and Jiangang Duan}, title = {Tackling the challenges of server consolidation on multi-core systems}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5654398}, doi = {10.1109/IISWC.2010.5654398}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LvZHD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NakaikeONM10, author = {Takuya Nakaike and Rei Odaira and Toshio Nakatani and Maged M. Michael}, title = {Real Java applications in software transactional memory}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5654431}, doi = {10.1109/IISWC.2010.5654431}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NakaikeONM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ParkL10, author = {Nohhyun Park and David J. Lilja}, title = {Characterizing datasets for data deduplication in backup applications}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650369}, doi = {10.1109/IISWC.2010.5650369}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ParkL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PoggiCGTA10, author = {Nicol{\'{a}}s Poggi and David Carrera and Ricard Gavald{\`{a}} and Jordi Torres and Eduard Ayguad{\'{e}}}, title = {Characterization of workload and resource consumption for an online travel and booking site}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5649408}, doi = {10.1109/IISWC.2010.5649408}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PoggiCGTA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SarikayaIB10, author = {Ruhi Sarikaya and Canturk Isci and Alper Buyuktosunoglu}, title = {Runtime workload behavior prediction using statistical metric modeling with application to dynamic power management}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650339}, doi = {10.1109/IISWC.2010.5650339}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SarikayaIB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SreeramP10, author = {Jaswanth Sreeram and Santosh Pande}, title = {Exploiting approximate value locality for data synchronization on multi-core processors}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650333}, doi = {10.1109/IISWC.2010.5650333}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SreeramP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SrinivasanZSFLWIL10, author = {Sadagopan Srinivasan and Li Zhao and Lin Sun and Zhen Fang and Peng Li and Tao Wang and Ravishankar R. Iyer and Dong Liu}, title = {Performance characterization and acceleration of Optical Character Recognition on handheld platforms}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5648852}, doi = {10.1109/IISWC.2010.5648852}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SrinivasanZSFLWIL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/UedaN10, author = {Yohei Ueda and Toshio Nakatani}, title = {Performance variations of two open-source cloud platforms}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650280}, doi = {10.1109/IISWC.2010.5650280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/UedaN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2010, title = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5644749/proceeding}, isbn = {978-1-4244-9297-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Barrow-WilliamsFM09, author = {Nick Barrow{-}Williams and Christian Fensch and Simon W. Moore}, title = {A communication characterisation of Splash-2 and Parsec}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {86--97}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306792}, doi = {10.1109/IISWC.2009.5306792}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Barrow-WilliamsFM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BhadauriaWM09, author = {Major Bhadauria and Vincent M. Weaver and Sally A. McKee}, title = {Understanding {PARSEC} performance on contemporary CMPs}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {98--107}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306793}, doi = {10.1109/IISWC.2009.5306793}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BhadauriaWM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BorinW09, author = {Edson Borin and Youfeng Wu}, title = {Characterization of {DBT} overhead}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {178--187}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306785}, doi = {10.1109/IISWC.2009.5306785}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BorinW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CharlesJASF09, author = {James Charles and Preet Jassi and Narayan S. Ananth and Abbas Sadat and Alexandra Fedorova}, title = {Evaluation of the Intel}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {188--197}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306782}, doi = {10.1109/IISWC.2009.5306782}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/CharlesJASF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheBMTSLS09, author = {Shuai Che and Michael Boyer and Jiayuan Meng and David Tarjan and Jeremy W. Sheaffer and Sang{-}Ha Lee and Kevin Skadron}, title = {Rodinia: {A} benchmark suite for heterogeneous computing}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {44--54}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306797}, doi = {10.1109/IISWC.2009.5306797}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/CheBMTSLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GuoLB09, author = {Danhua Guo and Guangdeng Liao and Laxmi N. Bhuyan}, title = {Performance characterization and cache-aware core scheduling in a virtualized multi-core server under 10GbE}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {168--177}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306784}, doi = {10.1109/IISWC.2009.5306784}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GuoLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HughesPQL09, author = {Clay Hughes and James Poe and Amer Qouneh and Tao Li}, title = {On the (dis)similarity of transactional memory workloads}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {108--117}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306790}, doi = {10.1109/IISWC.2009.5306790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HughesPQL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IshizakiND09, author = {Kazuaki Ishizaki and Toshio Nakatani and Shahrokh Daijavad}, title = {Analyzing and improving performance scalability of commercial server workloads on a chip multiprocessor}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {217--226}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306781}, doi = {10.1109/IISWC.2009.5306781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/IshizakiND09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JibajaS09, author = {Ivan Jibaja and Kelly A. Shaw}, title = {Understanding the applicability of {CMP} performance optimizations on data mining applications}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {227--236}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306779}, doi = {10.1109/IISWC.2009.5306779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JibajaS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KerrDY09, author = {Andrew Kerr and Gregory F. Diamos and Sudhakar Yalamanchili}, title = {A characterization and analysis of {PTX} kernels}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {3--12}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306801}, doi = {10.1109/IISWC.2009.5306801}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KerrDY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LugonesFRMLAFOF09, author = {Diego Lugones and Daniel Franco and Dolores Rexachs and Juan C. Moure and Emilio Luque and Eduardo Argollo and Ayose Falc{\'{o}}n and Daniel Ortega and Paolo Faraboschi}, title = {High-speed network modeling for full system simulation}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {24--33}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306799}, doi = {10.1109/IISWC.2009.5306799}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LugonesFRMLAFOF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MalkowskiHP09, author = {Simon Malkowski and Markus Hedwig and Calton Pu}, title = {Experimental evaluation of N-tier systems: Observation and analysis of multi-bottlenecks}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {118--127}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306791}, doi = {10.1109/IISWC.2009.5306791}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MalkowskiHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MurphyKW09, author = {Mark Murphy and Kurt Keutzer and Hong Wang}, title = {Image feature extraction for mobile processors}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {138--147}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306789}, doi = {10.1109/IISWC.2009.5306789}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MurphyKW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Najaf-abadiR09, author = {Hashem Hashemi Najaf{-}abadi and Eric Rotenberg}, title = {The importance of accurate task arrival characterization in the design of processing cores}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {75--85}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306795}, doi = {10.1109/IISWC.2009.5306795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Najaf-abadiR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Ranganathan09, author = {Partha Ranganathan}, title = {Green clouds and black swans in the exascale era}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306800}, doi = {10.1109/IISWC.2009.5306800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Ranganathan09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RiskaR09, author = {Alma Riska and Erik Riedel}, title = {Evaluation of disk-level workloads at different time-scales}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {158--167}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306787}, doi = {10.1109/IISWC.2009.5306787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RiskaR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SankarV09, author = {Sriram Sankar and Kushagra Vaid}, title = {Storage characterization for unstructured data in online services applications}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {148--157}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306786}, doi = {10.1109/IISWC.2009.5306786}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SankarV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ScarpazzaB09, author = {Daniele Paolo Scarpazza and Gordon W. Braudaway}, title = {Workload characterization and optimization of high-performance text indexing on the Cell Broadband Engine}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {13--23}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306798}, doi = {10.1109/IISWC.2009.5306798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ScarpazzaB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SrinivasanFIZENCWKH09, author = {Sadagopan Srinivasan and Zhen Fang and Ravi R. Iyer and Steven Zhang and Mike Espig and Don Newell and Daniel Cermak and Yi Wu and Igor Kozintsev and Horst W. Haussecker}, title = {Performance characterization and optimization of mobile augmented reality on handheld platforms}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {128--137}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306788}, doi = {10.1109/IISWC.2009.5306788}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/SrinivasanFIZENCWKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/VenkataAJGLGBT09, author = {Sravanthi Kota Venkata and Ikkjin Ahn and Donghwan Jeon and Anshuman Gupta and Christopher M. Louie and Saturnino Garcia and Serge J. Belongie and Michael Bedford Taylor}, title = {{SD-VBS:} The San Diego Vision Benchmark Suite}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {55--64}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306794}, doi = {10.1109/IISWC.2009.5306794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/VenkataAJGLGBT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuHDD09, author = {Shu Xu and Bo Huang and Junyong Ding and Jinquan Dai}, title = {Browser workload characterization for an Ajax-based commercial online service}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {208--216}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306780}, doi = {10.1109/IISWC.2009.5306780}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/XuHDD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuSZV09, author = {Qiang Xu and Jaspal Subhlok and Rong Zheng and Sara Voss}, title = {Logicalization of communication traces from parallel execution}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {34--43}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306796}, doi = {10.1109/IISWC.2009.5306796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XuSZV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YooRK09, author = {Richard M. Yoo and Anthony Romano and Christos Kozyrakis}, title = {Phoenix rebirth: Scalable MapReduce on a large-scale shared-memory system}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {198--207}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306783}, doi = {10.1109/IISWC.2009.5306783}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YooRK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2009, title = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5289333/proceeding}, isbn = {978-1-4244-5156-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ApparaoIN08, author = {Padma Apparao and Ravi R. Iyer and Donald Newell}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Implications of cache asymmetry on server consolidation performance}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {24--32}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636088}, doi = {10.1109/IISWC.2008.4636088}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ApparaoIN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BecchiFC08, author = {Michela Becchi and Mark A. Franklin and Patrick Crowley}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {A workload for evaluating deep packet inspection architectures}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {79--89}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636093}, doi = {10.1109/IISWC.2008.4636093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BecchiFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BieniaKL08, author = {Christian Bienia and Sanjeev Kumar and Kai Li}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {{PARSEC} vs. {SPLASH-2:} {A} quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {47--56}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636090}, doi = {10.1109/IISWC.2008.4636090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BieniaKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenJ08, author = {Jian Chen and Lizy Kurian John}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Energy-aware application scheduling on a heterogeneous multi-core system}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {5--13}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636086}, doi = {10.1109/IISWC.2008.4636086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ContrerasM08, author = {Gilberto Contreras and Margaret Martonosi}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Characterizing and improving the performance of Intel Threading Building Blocks}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {57--66}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636091}, doi = {10.1109/IISWC.2008.4636091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ContrerasM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Diwan08, author = {Amer Diwan}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {We have it easy, but do we have it right?}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636085}, doi = {10.1109/IISWC.2008.4636085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Diwan08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DixonS08, author = {Ryan Dixon and Timothy Sherwood}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Whiteboards that compute: {A} workload analysis}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636092}, doi = {10.1109/IISWC.2008.4636092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DixonS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/FengLCZ08, author = {Hao Feng and Eric Q. Li and Yurong Chen and Yimin Zhang}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Parallelization and characterization of {SIFT} on multi-core systems}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {14--23}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636087}, doi = {10.1109/IISWC.2008.4636087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/FengLCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HughesL08, author = {Clay Hughes and Tao Li}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Accelerating multi-core processor design space evaluation using automatic multi-threaded workload synthesis}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {163--172}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636101}, doi = {10.1109/IISWC.2008.4636101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HughesL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IsenJCS08, author = {Ciji Isen and Lizy Kurian John and Jung Pil Choi and Hyo Jung Song}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {On the representativeness of embedded Java benchmarks}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {153--162}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636100}, doi = {10.1109/IISWC.2008.4636100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/IsenJCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KavalanekarWZS08, author = {Swaroop Kavalanekar and Bruce L. Worthington and Qi Zhang and Vishal Sharda}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Characterization of storage workload traces from production Windows Servers}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {119--128}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636097}, doi = {10.1109/IISWC.2008.4636097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KavalanekarWZS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MinhCKO08, author = {Chi Cao Minh and JaeWoong Chung and Christos Kozyrakis and Kunle Olukotun}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {{STAMP:} Stanford Transactional Applications for Multi-Processing}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {35--46}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636089}, doi = {10.1109/IISWC.2008.4636089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MinhCKO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NagpurkarHGDJP08, author = {Priya Nagpurkar and William Horn and U. Gopalakrishnan and Niteesh Dubey and Joefon Jann and Pratap Pattnaik}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Workload characterization of selected JEE-based Web 2.0 applications}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {109--118}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636096}, doi = {10.1109/IISWC.2008.4636096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NagpurkarHGDJP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PereiraPC08, author = {Cristiano Pereira and Harish Patil and Brad Calder}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Reproducible simulation of multi-threaded workloads for architecture design exploration}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {173--182}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636102}, doi = {10.1109/IISWC.2008.4636102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PereiraPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Ruiz-AlvarezH08, author = {Arkaitz Ruiz{-}Alvarez and Kim M. Hazelwood}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Evaluating the impact of dynamic binary translation systems on hardware cache performance}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {131--140}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636098}, doi = {10.1109/IISWC.2008.4636098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Ruiz-AlvarezH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/StewartLS08, author = {Christopher Stewart and Matthew Leventi and Kai Shen}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Empirical examination of a collaborative web application}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {90--96}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636094}, doi = {10.1109/IISWC.2008.4636094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/StewartLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Sweeney08, author = {Tim Sweeney}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Wild speculation on consumer workloads in 2010-2020}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636084}, doi = {10.1109/IISWC.2008.4636084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Sweeney08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WeaverM08, author = {Vincent M. Weaver and Sally A. McKee}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Can hardware performance counters be trusted?}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {141--150}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636099}, doi = {10.1109/IISWC.2008.4636099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WeaverM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WenischFAFM08, author = {Thomas F. Wenisch and Michael Ferdman and Anastasia Ailamaki and Babak Falsafi and Andreas Moshovos}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Temporal streams in commercial server applications}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {99--108}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636095}, doi = {10.1109/IISWC.2008.4636095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WenischFAFM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2008, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4629859/proceeding}, isbn = {978-1-4244-2778-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Ahmad07, author = {Irfan Ahmad}, title = {Easy and Efficient Disk {I/O} Workload Characterization in VMware {ESX} Server}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {149--158}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362191}, doi = {10.1109/IISWC.2007.4362191}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Ahmad07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AlvarezSRV07, author = {Mauricio Alvarez and Esther Salam{\'{\i}} and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {HD-VideoBench. {A} Benchmark for Evaluating High Definition Digital Video Applications}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {120--125}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362188}, doi = {10.1109/IISWC.2007.4362188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AlvarezSRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BiesbrouckEC07, author = {Michael Van Biesbrouck and Lieven Eeckhout and Brad Calder}, title = {Representative Multiprogram Workloads for Multithreaded Processor Simulation}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {193--203}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362195}, doi = {10.1109/IISWC.2007.4362195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BiesbrouckEC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BolmeSB07, author = {David S. Bolme and Michelle Strout and J. Ross Beveridge}, title = {FacePerf: Benchmarks for Face Recognition Algorithms}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {114--119}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362187}, doi = {10.1109/IISWC.2007.4362187}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BolmeSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChoZL07, author = {Chang{-}Burm Cho and Wangyuan Zhang and Tao Li}, title = {Characterizing the Effect of Microarchitecture Design Parameters on Workload Dynamic Behavior}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {5--14}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362176}, doi = {10.1109/IISWC.2007.4362176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChoZL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GmachRCK07, author = {Daniel Gmach and Jerry Rolia and Ludmila Cherkasova and Alfons Kemper}, title = {Workload Analysis and Demand Prediction of Enterprise Data Center Applications}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {171--180}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362193}, doi = {10.1109/IISWC.2007.4362193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GmachRCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Henning07, author = {John L. Henning}, title = {The {SPEC} Gorilla Turns One. So What?}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362174}, doi = {10.1109/IISWC.2007.4362174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Henning07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Herlihy07, author = {Maurice Herlihy}, title = {Taking Concurrency Seriously: the Multicore Challenge}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362175}, doi = {10.1109/IISWC.2007.4362175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Herlihy07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JergerVL07, author = {Natalie D. Enright Jerger and Dana Vantrease and Mikko H. Lipasti}, title = {An Evaluation of Server Consolidation Workloads for Multi-Core Designs}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {47--56}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362180}, doi = {10.1109/IISWC.2007.4362180}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/JergerVL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KodakaraKLHY07, author = {Sreekumar V. Kodakara and Jinpyo Kim and David J. Lilja and Wei{-}Chung Hsu and Pen{-}Chung Yew}, title = {Analysis of Statistical Sampling in Microarchitecture Simulation: Metric, Methodology and Program Characterization}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {139--148}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362190}, doi = {10.1109/IISWC.2007.4362190}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/KodakaraKLHY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LeeASGM07, author = {Gregory L. Lee and Dong H. Ahn and Bronis R. de Supinski and John C. Gyllenhaal and Patrick Miller}, title = {Pynamic: the Python Dynamic Benchmark}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362185}, doi = {10.1109/IISWC.2007.4362185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LeeASGM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MoseleyGP07, author = {Tipp Moseley and Dirk Grunwald and Ramesh Peri}, title = {Seekable Compressed Traces}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {129--138}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362189}, doi = {10.1109/IISWC.2007.4362189}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MoseleyGP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Murphy07, author = {Richard Murphy}, title = {On the Effects of Memory Latency and Bandwidth on Supercomputer Application Performance}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {35--43}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362179}, doi = {10.1109/IISWC.2007.4362179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Murphy07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PuSPJBCGILL07, author = {Calton Pu and Akhil Sahai and Jason Parekh and Gueyoung Jung and Ji Bae and You{-}Kyung Cha and Timothy Garcia and Danesh Irani and Jae Lee and Qifeng Lin}, title = {An Observation-Based Approach to Performance Characterization of Distributed n-Tier Applications}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {161--170}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362192}, doi = {10.1109/IISWC.2007.4362192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PuSPJBCGILL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SachdevaSSC07, author = {Vipin Sachdeva and Evan Speight and Mark W. Stephenson and Lei Chen}, title = {Characterizing and Improving the Performance of Bioinformatics Workloads on the {POWER5} Architecture}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {89--97}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362184}, doi = {10.1109/IISWC.2007.4362184}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SachdevaSSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SarikayaB07, author = {Ruhi Sarikaya and Alper Buyuktosunoglu}, title = {Predicting Program Behavior Based On Objective Function Minimization}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {25--34}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362178}, doi = {10.1109/IISWC.2007.4362178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SarikayaB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ScottSDM07, author = {Michael L. Scott and Michael F. Spear and Luke Dalessandro and Virendra J. Marathe}, title = {Delaunay Triangulation with Transactions and Barriers}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {107--113}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362186}, doi = {10.1109/IISWC.2007.4362186}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ScottSDM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ShivIBIJMDN07, author = {Kumar Shiv and Ravi R. Iyer and Mahesh Bhat and Ramesh Illikkal and Michael Jones and Srihari Makineni and Jason Domer and Donald Newell}, title = {Addressing Cache/Memory Overheads in Enterprise Java {CMP} Servers}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {66--75}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362182}, doi = {10.1109/IISWC.2007.4362182}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/ShivIBIJMDN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SkarieDLM07, author = {James Skarie and Biplob K. Debnath and David J. Lilja and Mohamed F. Mokbel}, title = {{SCRAP:} {A} Statistical Approach for Creating a Database Query Workload Based on Performance Bottlenecks}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {183--192}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362194}, doi = {10.1109/IISWC.2007.4362194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SkarieDLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TsengYNDFPIN07, author = {Jessica H. Tseng and Hao Yu and Shailabh Nagar and Niteesh Dubey and Hubertus Franke and Pratap Pattnaik and Hiroshi Inoue and Toshio Nakatani}, title = {Performance Studies of Commercial Workloads on a Multi-core System}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {57--65}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362181}, doi = {10.1109/IISWC.2007.4362181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TsengYNDFPIN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WuLWG07, author = {Qiang Wu and Yong Liao and Tilman Wolf and Lixin Gao}, title = {Benchmarking {BGP} Routers}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {79--88}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362183}, doi = {10.1109/IISWC.2007.4362183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WuLWG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YooLLC07, author = {Richard M. Yoo and Hsien{-}Hsin S. Lee and Han Lee and Kingsum Chow}, title = {Hierarchical Means: Single Number Benchmarking with Workload Cluster Analysis}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {204--213}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362196}, doi = {10.1109/IISWC.2007.4362196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YooLLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ZillesR07, author = {Craig B. Zilles and Ravi Rajwar}, title = {Implications of False Conflict Rate Trends for Robust Software Transactional Memory}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {15--24}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362177}, doi = {10.1109/IISWC.2007.4362177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ZillesR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2007, title = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4362166/proceeding}, isbn = {978-1-4244-1561-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AlamBKRV06, author = {Sadaf R. Alam and Richard F. Barrett and Jeffery A. Kuehn and Philip C. Roth and Jeffrey S. Vetter}, title = {Characterization of Scientific Workloads on Systems with Multi-Core Processors}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {225--236}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302747}, doi = {10.1109/IISWC.2006.302747}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AlamBKRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AltherrBHM06, author = {Rick Altherr and Ryan Du Bois and Lance Hammond and Eric Miller}, title = {"Software Performance Tuning with the Apple {CHUD} Tools"}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302722}, doi = {10.1109/IISWC.2006.302722}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AltherrBHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AltunDA06, author = {Oguz Altun and Nilgun Dursunoglu and Mehmet Fatih Amasyali}, title = {Clustering Application Benchmark}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {178--181}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302742}, doi = {10.1109/IISWC.2006.302742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/AltunDA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Barroso06, author = {Luiz Andr{\'{e}} Barroso}, title = {Warehouse-Sized Workloads}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302724}, doi = {10.1109/IISWC.2006.302724}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Barroso06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BinderHM06, author = {Walter Binder and Jarle Hulaas and Philippe Moret}, title = {A Quantitative Evaluation of the Contribution of Native Code to Java Workloads}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {201--209}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302745}, doi = {10.1109/IISWC.2006.302745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BinderHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BrevikNW06, author = {John Brevik and Daniel Nurmi and Richard Wolski}, title = {Predicting Bounds on Queuing Delay in Space-shared Computing Environments}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {213--224}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302746}, doi = {10.1109/IISWC.2006.302746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BrevikNW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ContrerasM06, author = {Gilberto Contreras and Margaret Martonosi}, title = {Techniques for Real-System Characterization of Java Virtual Machine Energy and Power Behavior}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {29--38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302727}, doi = {10.1109/IISWC.2006.302727}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ContrerasM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HosteE06, author = {Kenneth Hoste and Lieven Eeckhout}, title = {Comparing Benchmarks Using Key Microarchitecture-Independent Characteristics}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {83--92}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302732}, doi = {10.1109/IISWC.2006.302732}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HosteE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/IyerBZIMJSN06, author = {Ravi R. Iyer and Mahesh Bhat and Li Zhao and Ramesh Illikkal and Srihari Makineni and Michael Jones and Kumar Shiv and Donald Newell}, title = {Exploring Small-Scale and Large-Scale {CMP} Architectures for Commercial Java Servers}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {191--200}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302744}, doi = {10.1109/IISWC.2006.302744}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/IyerBZIMJSN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JoshiEBJ06, author = {Ajay Joshi and Lieven Eeckhout and Robert H. Bell Jr. and Lizy Kurian John}, title = {Performance Cloning: {A} Technique for Disseminating Proprietary Applications as Benchmarks}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {105--115}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302734}, doi = {10.1109/IISWC.2006.302734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JoshiEBJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiLDCWZ06, author = {Wenlong Li and Eric Q. Li and Carole Dulong and Yen{-}Kuang Chen and Tao Wang and Yimin Zhang}, title = {Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {7--16}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302725}, doi = {10.1109/IISWC.2006.302725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiLDCWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MurphyBMHGL06, author = {Richard C. Murphy and Jonathan W. Berry and William McLendon and Bruce Hendrickson and Douglas P. Gregor and Andrew Lumsdaine}, title = {{DFS:} {A} Simple to Write Yet Difficult to Execute Benchmark}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {175--177}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302741}, doi = {10.1109/IISWC.2006.302741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MurphyBMHGL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NarayananOZMC06, author = {Ramanathan Narayanan and Berkin {\"{O}}zisikyilmaz and Joseph Zambreno and Gokhan Memik and Alok N. Choudhary}, title = {MineBench: {A} Benchmark Suite for Data Mining Workloads}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {182--188}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302743}, doi = {10.1109/IISWC.2006.302743}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NarayananOZMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NethercoteWF06, author = {Nicholas Nethercote and Robert Walsh and Jeremy Fitzhardinge}, title = {Building Workload Characterization Tools with Valgrind}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302723}, doi = {10.1109/IISWC.2006.302723}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NethercoteWF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OzisikyilmazNZMC06, author = {Berkin {\"{O}}zisikyilmaz and Ramanathan Narayanan and Joseph Zambreno and Gokhan Memik and Alok N. Choudhary}, title = {An Architectural Characterization Study of Data Mining and Bioinformatics Workloads}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {61--70}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302730}, doi = {10.1109/IISWC.2006.302730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OzisikyilmazNZMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PetoumenosKZKH06, author = {Pavlos Petoumenos and Georgios Keramidas and H{\aa}kan Zeffer and Stefanos Kaxiras and Erik Hagersten}, title = {Modeling Cache Sharing on Chip Multiprocessor Architectures}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {160--171}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302740}, doi = {10.1109/IISWC.2006.302740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PetoumenosKZKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RajamaniHRGR06, author = {Karthick Rajamani and Heather Hanson and Juan Rubio and Soraya Ghiasi and Freeman L. Rawson III}, title = {Application-Aware Power Management}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {39--48}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302728}, doi = {10.1109/IISWC.2006.302728}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RajamaniHRGR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RatanaworabhanB06, author = {Paruj Ratanaworabhan and Martin Burtscher}, title = {Load Instruction Characterization and Acceleration of the BioPerf Programs}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {71--79}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302731}, doi = {10.1109/IISWC.2006.302731}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RatanaworabhanB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Reilly06, author = {Jeff Reilly}, title = {Evolve or Die: Making SPEC's {CPU} Suite Relevant Today and Tomorrow}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {119}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302735}, doi = {10.1109/IISWC.2006.302735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Reilly06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/RocaBGSFE06, author = {Jordi Roca and Victor Moya Del Barrio and Carlos Gonz{\'{a}}lez and Chema Solis and Agust{\'{\i}}n Fern{\'{a}}ndez and Roger Espasa}, title = {Workload Characterization of 3D Games}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {17--26}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302726}, doi = {10.1109/IISWC.2006.302726}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/RocaBGSFE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SanchezSRV06, author = {Friman S{\'{a}}nchez and Esther Salam{\'{\i}} and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Performance Analysis of Sequence Alignment Applications}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {51--60}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302729}, doi = {10.1109/IISWC.2006.302729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SanchezSRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ThakerFOBLMC06, author = {Darshan D. Thaker and Diana Franklin and John Y. Oliver and Susmit Biswas and Derek Lockhart and Tzvetan S. Metodi and Frederic T. Chong}, title = {Characterization of Error-Tolerant Applications when Protecting Control Data}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {142--149}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302738}, doi = {10.1109/IISWC.2006.302738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ThakerFOBLMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TsafrirF06, author = {Dan Tsafrir and Dror G. Feitelson}, title = {The Dynamics of Backfilling: Solving the Mystery of Why Increased Inaccuracy May Help}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {131--141}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302737}, doi = {10.1109/IISWC.2006.302737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TsafrirF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YeRHK06, author = {Dong Ye and Joydeep Ray and Christophe Harle and David R. Kaeli}, title = {Performance Characterization of {SPEC} {CPU2006} Integer Benchmarks on x86-64 Architecture}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {120--127}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302736}, doi = {10.1109/IISWC.2006.302736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YeRHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YiSEJLJ06, author = {Joshua J. Yi and Resit Sendag and Lieven Eeckhout and Ajay Joshi and David J. Lilja and Lizy Kurian John}, title = {Evaluating Benchmark Subsetting Approaches}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {93--104}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302733}, doi = {10.1109/IISWC.2006.302733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YiSEJLJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/YooLCL06, author = {Richard M. Yoo and Han Lee and Kingsum Chow and Hsien{-}Hsin S. Lee}, title = {Constructing a Non-Linear Model with Neural Networks for Workload Characterization}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {150--159}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302739}, doi = {10.1109/IISWC.2006.302739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/YooLCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2006, title = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4086118/proceeding}, isbn = {1-4244-0508-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.