Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:streams/conf/iccd:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/iccd/0002H00H23, author = {Hai Zhou and Yuchong Hu and Dan Feng and Wei Wang and Huadong Huang}, title = {Locality-aware Speculative Cache for Fast Partial Updates in Erasure-Coded Cloud Clusters}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {142--149}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00030}, doi = {10.1109/ICCD58817.2023.00030}, timestamp = {Tue, 09 Jan 2024 15:43:43 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0002H00H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0002LPHZ23, author = {Peiyi Li and Ji Liu and Hrushikesh Pramod Patil and Paul D. Hovland and Huiyang Zhou}, title = {Enhancing Virtual Distillation with Circuit Cutting for Quantum Error Mitigation}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {94--101}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00024}, doi = {10.1109/ICCD58817.2023.00024}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0002LPHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0002WWD23, author = {Zhichao Cao and Hao Wen and Fenggang Wu and David H. C. Du}, title = {{SMRTS:} {A} Performance and Cost-Effectiveness Optimized {SSD-SMR} Tiered File System with Data Deduplication}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {275--282}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00050}, doi = {10.1109/ICCD58817.2023.00050}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0002WWD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0009CZ0B23, author = {Yuxiao Chen and Yisong Chang and Ke Zhang and Mingyu Chen and Yungang Bao}, title = {{REMU:} Enabling Cost-Effective Checkpointing and Deterministic Replay in FPGA-based Emulation}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {21--29}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00014}, doi = {10.1109/ICCD58817.2023.00014}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0009CZ0B23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BangJRK23, author = {Hyeonsu Bang and Kang Eun Jeon and Johnny Rhe and Jong Hwan Ko}, title = {{DCR:} Decomposition-Aware Column Re-Mapping for Stuck-At-Fault Tolerance in ReRAM Arrays}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {491--494}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00081}, doi = {10.1109/ICCD58817.2023.00081}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BangJRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BouazizBIHNO23, author = {Sofiane Bouaziz and Hadjer Benmeziane and Youcef Imine and Leila Hamdad and Sma{\"{\i}}l Niar and Hamza Ouarnoughi}, title = {{FLASH-RL:} Federated Learning Addressing System and Static Heterogeneity using Reinforcement Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {444--447}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00074}, doi = {10.1109/ICCD58817.2023.00074}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BouazizBIHNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BrignoneLL23, author = {Giovanni Brignone and Mihai T. Lazarescu and Luciano Lavagno}, title = {A {DSP} shared is a {DSP} earned: {HLS} Task-Level Multi-Pumping for High-Performance Low-Resource Designs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {551--557}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00089}, doi = {10.1109/ICCD58817.2023.00089}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BrignoneLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChallagundlaBSI23, author = {Dhandeep Challagundla and Ignatius Bezzam and Biprangshu Saha and Riadul Islam}, title = {Resonant Compute-In-Memory (rCIM) 10T {SRAM} Macro for Boolean Logic}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {110--117}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00026}, doi = {10.1109/ICCD58817.2023.00026}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChallagundlaBSI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangLHHL23, author = {Chia{-}Wei Chang and Jing{-}Jia Liou and Chih{-}Tsun Huang and Wei{-}Chung Hsu and Juin{-}Ming Lu}, title = {MultiFuse: Efficient Cross Layer Fusion for {DNN} Accelerators with Multi-level Memory Hierarchy}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {614--622}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00097}, doi = {10.1109/ICCD58817.2023.00097}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangLHHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Chen0BH23, author = {Menglei Chen and Yu Hua and Rong Bai and Jianming Huang}, title = {A Cost-Efficient Failure-Tolerant Scheme for Distributed {DNN} Training}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {150--157}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00031}, doi = {10.1109/ICCD58817.2023.00031}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Chen0BH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DaiTZ23, author = {Yue Dai and Xulong Tang and Youtao Zhang}, title = {FlexGM: An Adaptive Runtime System to Accelerate Graph Matching Networks on GPUs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {348--356}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00060}, doi = {10.1109/ICCD58817.2023.00060}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DaiTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DongWYLZF23, author = {Chao Dong and Fang Wang and Yuxin Yang and Mengya Lei and Jianshun Zhang and Dan Feng}, title = {Low-Latency and Scalable Full-path Indexing Metadata Service for Distributed File Systems}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {283--290}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00051}, doi = {10.1109/ICCD58817.2023.00051}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DongWYLZF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuWLLL023, author = {Yibo Du and Ying Wang and Shengwen Liang and Huawei Li and Xiaowei Li and Yinhe Han}, title = {{PANG:} {A} Pattern-Aware {GCN} Accelerator for Universal Graphs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {263--266}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00048}, doi = {10.1109/ICCD58817.2023.00048}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DuWLLL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EichlerSCC23, author = {Guy Eichler and Biruk B. Seyoum and Kuan{-}Lin Chiu and Luca P. Carloni}, title = {MindCrypt: The Brain as a Random Number Generator for SoC-Based Brain-Computer Interfaces}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {70--77}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00021}, doi = {10.1109/ICCD58817.2023.00021}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EichlerSCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FanLCQ23, author = {Tingyu Fan and Xiulong Liu and Baochao Chen and Wenyu Qu}, title = {An Effective and Balanced Storage Extension Approach for Sharding Blockchain Systems}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {198--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00039}, doi = {10.1109/ICCD58817.2023.00039}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FanLCQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Fu0QLLCGDR23, author = {Gelin Fu and Tian Xia and Shaoru Qu and Zhongpei Luo and Shuyu Li and Pengyu Cheng and Runfan Guo and Yitong Ding and Pengju Ren}, title = {PrSpMV: An Efficient Predictable Kernel for SpMV}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {448--456}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00075}, doi = {10.1109/ICCD58817.2023.00075}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Fu0QLLCGDR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FuchsWRMJR0FDBN23, author = {Franz A. Fuchs and Jonathan Woodruff and Peter Rugg and Marno van der Maas and Alexandre Joannou and Alexander Richardson and Jessica Clarke and Nathaniel Wesley Filardo and Brooks Davis and John Baldwin and Peter G. Neumann and Simon W. Moore and Robert N. M. Watson}, title = {Architectural Contracts for Safe Speculation}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {578--586}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00093}, doi = {10.1109/ICCD58817.2023.00093}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FuchsWRMJR0FDBN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GanHZZGZWF23, author = {Chuang Gan and Yuchong Hu and Leyan Zhao and Xin Zhao and Pengyu Gong and Wenhao Zhang and Lin Wang and Dan Feng}, title = {Enabling Encrypted Delta Compression for Outsourced Storage Systems via Preserving Similarity}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {231--238}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00043}, doi = {10.1109/ICCD58817.2023.00043}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GanHZZGZWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GowdaRPNR23, author = {Bindu G. Gowda and S. N. Raghava and Prashanth H. C. and Pratyush Nandi and Madhav Rao}, title = {ApproxCNN: Evaluation Of {CNN} With Approximated Layers Using In-Exact Multipliers}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {46--53}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00017}, doi = {10.1109/ICCD58817.2023.00017}, timestamp = {Sat, 09 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GowdaRPNR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuWLT023, author = {Yibin Gu and Hua Wang and Man Luo and Jingyu Tang and Ke Zhou}, title = {Offline and Online Algorithms for Cache Allocation with Monte Carlo Tree Search and a Learned Model}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {126--133}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00028}, doi = {10.1109/ICCD58817.2023.00028}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuWLT023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoQ023, author = {Xiaorang Guo and Kun Qin and Martin Schulz}, title = {HiSEP-Q: {A} Highly Scalable and Efficient Quantum Control Processor for Superconducting Qubits}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {86--93}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00023}, doi = {10.1109/ICCD58817.2023.00023}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuoQ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuWCF23, author = {Jinlei Hu and Zijie Wei and Jianxi Chen and Dan Feng}, title = {{RWORT:} {A} Read and Write Optimized Radix Tree for Persistent Memory}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {194--197}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00038}, doi = {10.1109/ICCD58817.2023.00038}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuWCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiY0JSL023, author = {Shuya Ji and Weidong Yang and Jianfei Jiang and Naifeng Jing and Weiguang Sheng and Ang Li and Qin Wang}, title = {{ACET:} An Adaptive Clock Scheme Exploiting Comprehensive Timing Slack for Reconfigurable Processors}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {54--61}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00018}, doi = {10.1109/ICCD58817.2023.00018}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiY0JSL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangTDHL23, author = {Jiazhi Jiang and Rui Tian and Jiangsu Du and Dan Huang and Yutong Lu}, title = {MixRec: Orchestrating Concurrent Recommendation Model Training on {CPU-GPU} platform}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {366--374}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00062}, doi = {10.1109/ICCD58817.2023.00062}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangTDHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimBKKH23, author = {Seongwook Kim and Gwangeun Byeon and Sihyung Kim and Hyungjin Kim and Seokin Hong}, title = {Conveyor: Towards Asynchronous Dataflow in Systolic Array to Exploit Unstructured Sparsity}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {423--431}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00070}, doi = {10.1109/ICCD58817.2023.00070}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimBKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimYS23, author = {Jongseok Kim and Chanu Yu and Euiseong Seo}, title = {Revitalizing Buffered {I/O:} Optimizing Page Reclaim and {I/O} Throttling}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {475--482}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00078}, doi = {10.1109/ICCD58817.2023.00078}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Kong23, author = {Wei Kong}, title = {Transcend Adversarial Examples: Diversified Adversarial Attacks to Test Deep Learning Model}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {13--20}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00013}, doi = {10.1109/ICCD58817.2023.00013}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Kong23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KulkarniTAHS23, author = {Sutej Kulkarni and Ryan Tsang and Asmita and Houman Homayoun and Soheil Salehi}, title = {Leveraging Firmware Reverse Engineering for Stealthy Sensor Attacks via Binary Modification}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00011}, doi = {10.1109/ICCD58817.2023.00011}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KulkarniTAHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeK23, author = {Donghui Lee and Yongtae Kim}, title = {Towards Quantized Stochastic Computing by Leveraging Reduced Precision Binary Numbers through Bit Truncation}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {419--422}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00069}, doi = {10.1109/ICCD58817.2023.00069}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiLWMY23, author = {Zimin Li and Yongjian Li and Kaifan Wang and Kun Ma and Shizhen Yu}, title = {Model Checking TileLink Cache Coherence Protocols By Murphi}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {30--37}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00015}, doi = {10.1109/ICCD58817.2023.00015}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiLWMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiWZS23, author = {Changlong Li and Chao Wang and Xuehai Zhou and Edwin H.{-}M. Sha}, title = {FlashDAM: Flexible {I/O} Throttling for the User Experience of Mobile Systems}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {239--242}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00044}, doi = {10.1109/ICCD58817.2023.00044}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiWZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinMHZL23, author = {Zejia Lin and Zewei Mo and Xuanteng Huang and Xianwei Zhang and Yutong Lu}, title = {KeSCo: Compiler-based Kernel Scheduling for Multi-task {GPU} Applications}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {247--254}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00046}, doi = {10.1109/ICCD58817.2023.00046}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LinMHZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Liu00CW23, author = {Jinpeng Liu and Wei Tong and Bing Wu and Huan Cheng and Chengning Wang}, title = {{ICON:} An {IR} Drop Compensation Method at {OU} Granularity with Low Overhead for eNVM-based Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {102--109}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00025}, doi = {10.1109/ICCD58817.2023.00025}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Liu00CW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuLF23, author = {Tianyu Liu and Wenming Li and Zhihua Fan}, title = {{DFGC:} DFG-aware NoC Control based on Time Stamp Prediction for Dataflow Architecture}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {432--439}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00071}, doi = {10.1109/ICCD58817.2023.00071}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuLWH23, author = {Hao Liu and Mengting Lu and Fang Wang and Wenpeng He}, title = {CostFM: {A} High Cost-Performance Fingerprint Management Mechanism for Shared SSDs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {223--230}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00042}, doi = {10.1109/ICCD58817.2023.00042}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuNLZCLZM23, author = {Junfeng Liu and Liwei Ni and Xingquan Li and Min Zhou and Lei Chen and Xing Li and Qinghua Zhao and Shuai Ma}, title = {AiMap: Learning to Improve Technology Mapping for ASICs via Delay Prediction}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {344--347}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00059}, doi = {10.1109/ICCD58817.2023.00059}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiuNLZCLZM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuXW023, author = {Biyong Liu and Yuan Xia and Xueliang Wei and Wei Tong}, title = {LifetimeKV: Narrowing the Lifetime Gap of SSTs in LSMT-based {KV} Stores for {ZNS} SSDs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {300--307}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00053}, doi = {10.1109/ICCD58817.2023.00053}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuXW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuY023, author = {Fangxin Liu and Ning Yang and Li Jiang}, title = {{PSQ:} An Automatic Search Framework for Data-Free Quantization on PIM-based Architecture}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {507--514}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00084}, doi = {10.1109/ICCD58817.2023.00084}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LoWL23, author = {Yun{-}Chen Lo and Chia{-}Chun Wang and Ren{-}Shuo Liu}, title = {{BICEP:} Exploiting Bitline Inversion for Efficient Operation-Unit-Based Compute-in-Memory Architecture: No Retraining Needed!}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {531--534}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00087}, doi = {10.1109/ICCD58817.2023.00087}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LoWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LvWQYFH23, author = {Chenyang Lv and Ziling Wei and Weikang Qian and Junjie Ye and Chang Feng and Zhezhi He}, title = {{GPT-LS:} Generative Pre-Trained Transformer with Offline Reinforcement Learning for Logic Synthesis}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {320--326}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00056}, doi = {10.1109/ICCD58817.2023.00056}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LvWQYFH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaharSKS23, author = {Suyash Mahar and Mingyao Shen and Terence Kelly and Steven Swanson}, title = {Snapshot: Fast, Userspace Crash Consistency for {CXL} and {PM} Using msync}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {495--498}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00082}, doi = {10.1109/ICCD58817.2023.00082}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MaharSKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MengYCZZ023, author = {Xiaoni Meng and Qiusong Yang and Yiwei Ci and Pei Zhao and Shan Zhao and Mingshu Li}, title = {Execute on Clear (EoC): Enhancing Security for Unsafe Speculative Instructions by Precise Identification and Safe Execution}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {587--595}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00094}, doi = {10.1109/ICCD58817.2023.00094}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MengYCZZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MolendijkPGJC23, author = {Maarten J. Molendijk and Floran A. M. de Putter and Manil Dev Gomony and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Henk Corporaal}, title = {BrainTTA: {A} 28.6 {TOPS/W} Compiler Programmable Transport-Triggered {NN} SoC}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {78--85}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00022}, doi = {10.1109/ICCD58817.2023.00022}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MolendijkPGJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NiY0LLXL23, author = {Liwei Ni and Zonglin Yang and Jiaxi Zhang and Junfeng Liu and Huawei Li and Biwei Xie and Xinquan Li}, title = {Adaptive Reconvergence-driven {AIG} Rewriting via Strategy Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {336--343}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00058}, doi = {10.1109/ICCD58817.2023.00058}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/NiY0LLXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NieCZH23, author = {Chen Nie and Guoyang Chen and Weifeng Zhang and Zhezhi He}, title = {{GIM:} Versatile {GNN} Acceleration with Reconfigurable Processing-in-Memory}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {499--506}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00083}, doi = {10.1109/ICCD58817.2023.00083}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NieCZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NieZZXH23, author = {Liangxu Nie and Shengan Zheng and Bowen Zhang and Jinyan Xu and Linpeng Huang}, title = {Heart: a Scalable, High-performance {ART} for Persistent Memory}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {487--490}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00080}, doi = {10.1109/ICCD58817.2023.00080}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NieZZXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NiuL0LX23, author = {Tianyang Niu and Min Lyu and Wei Wang and Qiliang Li and Yinlong Xu}, title = {Cerasure: Fast Acceleration Strategies For XOR-Based Erasure Codes}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {535--542}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00088}, doi = {10.1109/ICCD58817.2023.00088}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/NiuL0LX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PurandareSM23, author = {Devashish R. Purandare and Sam Schmidt and Ethan L. Miller}, title = {Persimmon: an append-only ZNS-first filesystem}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {308--315}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00054}, doi = {10.1109/ICCD58817.2023.00054}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PurandareSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiLSL23, author = {Chunyu Qi and Zilong Li and Zhuoran Song and Xiaoyao Liang}, title = {ViTframe: Vision Transformer Acceleration via Informative Frame Selection for Video Recognition}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {383--390}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00064}, doi = {10.1109/ICCD58817.2023.00064}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiLSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiTZZYYT23, author = {Wenjie Qi and Zhipeng Tan and Ziyue Zhang and Jing Zhang and Chao Yu and Ying Yuan and Shikai Tan}, title = {BlzFS: Crash Consistent Log-structured File System Based on Byte-loggable Zone for {ZNS} {SSD}}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {206--213}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00040}, doi = {10.1109/ICCD58817.2023.00040}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiTZZYYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiuXZQ23, author = {Keni Qiu and Chuting Xu and Kunyu Zhou and Dehui Qiu}, title = {ResCheck: Resilient Checkpointing for Energy Harvesting Systems}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {483--486}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00079}, doi = {10.1109/ICCD58817.2023.00079}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiuXZQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ReddyRVR23, author = {Gogireddy Ravi Kiran Reddy and Sanampudi Gopala Krishna Reddy and D. R. Vasanthi and Madhav Rao}, title = {{MNHOKA} - {PPA} Efficient M-Term Non-Homogeneous Hybrid Overlap-free Karatsuba Multiplier for {GF} (2\({}^{\mbox{n}}\)) Polynomial Multiplier}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {38--45}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00016}, doi = {10.1109/ICCD58817.2023.00016}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ReddyRVR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RenzL23, author = {Manuel Renz and Sohan Lal}, title = {Beyond Compression Ratio: {A} Throughput Analysis of Memory Compression Techniques for GPUs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {255--262}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00047}, doi = {10.1109/ICCD58817.2023.00047}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RenzL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SingireddyRTE023, author = {Suraj Singireddy and Muhammad Rashedul Haq Rashed and Sven Thijssen and Rickard Ewetz and Sumit Kumar Jha}, title = {Input-Aware Flow-Based In-Memory Computing}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {523--530}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00086}, doi = {10.1109/ICCD58817.2023.00086}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SingireddyRTE023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongKKK23, author = {Taejoon Song and JuneHyung Kim and Myeongseon Kim and Youngjin Kim}, title = {Prediction-Guided Metadata Backup for Improving Lifetime on Flash-based Swap}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {190--193}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00037}, doi = {10.1109/ICCD58817.2023.00037}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TangZLL0023, author = {Rui Tang and Xiaoyu Zhang and Rui Liu and Zhejian Luo and Xiaoming Chen and Yinhe Han}, title = {Hardware-Software Co-Design for Content-Based Sparse Attention}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {415--418}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00068}, doi = {10.1109/ICCD58817.2023.00068}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TangZLL0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TompaziK23, author = {Styliani Tompazi and Georgios Karakonstantis}, title = {A Compressed and Accurate Sparse Deep Learning-based Workload-Aware Timing Error Model}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00012}, doi = {10.1109/ICCD58817.2023.00012}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TompaziK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TsaiLWHL23, author = {Yu{-}Chih Tsai and Chung{-}Yueh Liu and Chia{-}Chun Wang and Tsen{-}Wei Hsu and Ren{-}Shuo Liu}, title = {{CNN} Inference Accelerators with Adjustable Feature Map Compression Ratios}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {631--634}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00099}, doi = {10.1109/ICCD58817.2023.00099}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TsaiLWHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VaccaAS23, author = {Eleonora Vacca and Giorgio Ajmone and Luca Sterpone}, title = {RunSAFER: {A} Novel Runtime Fault Detection Approach for Systolic Array Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {596--604}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00095}, doi = {10.1109/ICCD58817.2023.00095}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VaccaAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VemuriT23, author = {Madhava Sarma Vemuri and Umamaheswara Rao Tida}, title = {Small Footprint 6T-SRAM Design with MIV-Transistor Utilization in {M3D-IC} Technology}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {118--125}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00027}, doi = {10.1109/ICCD58817.2023.00027}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VemuriT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangCZSCG23, author = {Jiawen Wang and Quan Chen and Deze Zeng and Zhuo Song and Chen Chen and Minyi Guo}, title = {{STAG:} Enabling Low Latency and Low Staleness of GNN-based Services with Dynamic Graphs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {170--173}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00034}, doi = {10.1109/ICCD58817.2023.00034}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WangCZSCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangL0M23, author = {Zhiwei Wang and Peinan Li and Rui Hou and Dan Meng}, title = {NTTFusion: Efficient Number Theoretic Transform Acceleration on GPUs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {357--365}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00061}, doi = {10.1109/ICCD58817.2023.00061}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangL0M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangLWTCHCLL23, author = {Chia{-}Chun Wang and Yun{-}Chen Lo and Jun{-}Shen Wu and Yu{-}Chih Tsai and Chia{-}Cheng Chang and Tsen{-}Wei Hsu and Min{-}Wei Chu and Chuan{-}Yao Lai and Ren{-}Shuo Liu}, title = {Exploiting and Enhancing Computation Latency Variability for High-Performance Time-Domain Computing-in-Memory Neural Network Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {515--522}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00085}, doi = {10.1109/ICCD58817.2023.00085}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangLWTCHCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangSHL23, author = {Xuhang Wang and Zhuoran Song and Qiyue Huang and Xiaoyao Liang}, title = {{DEQ:} Dynamic Element-wise Quantization for Efficient Attention Architecture}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {623--630}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00098}, doi = {10.1109/ICCD58817.2023.00098}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangSHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangSL23, author = {Xuhang Wang and Zhuoran Song and Xiaoyao Liang}, title = {RealArch: {A} Real-Time Scheduler for Mapping Multi-Tenant DNNs on Multi-Core Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {158--165}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00032}, doi = {10.1109/ICCD58817.2023.00032}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZLZWZLX023, author = {Yu Wang and You Zhou and Zhonghai Lu and Xiaoyi Zhang and Kun Wang and Feng Zhu and Shu Li and Changsheng Xie and Fei Wu}, title = {FlexZNS: Building High-Performance {ZNS} SSDs with Size-Flexible and Parity-Protected Zones}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {291--299}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00052}, doi = {10.1109/ICCD58817.2023.00052}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZLZWZLX023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Wen0LDAVLDW23, author = {Hao Wen and Zhichao Cao and Bingzhe Li and David H. C. Du and Ayman Abouelwafa and Doug Voigt and Shiyong Liu and Jim Diehl and Fenggang Wu}, title = {K8sES: Optimizing Kubernetes with Enhanced Storage Service-Level Objectives}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {214--222}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00041}, doi = {10.1109/ICCD58817.2023.00041}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Wen0LDAVLDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuL23, author = {Jun{-}Shen Wu and Ren{-}Shuo Liu}, title = {{FM-P2L:} An Algorithm Hardware Co-design of Fixed-Point MSBs with Power-of-2 LSBs in {CNN} Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {407--414}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00067}, doi = {10.1109/ICCD58817.2023.00067}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuLFWLHTLZYF23, author = {Haibin Wu and Wenming Li and Zhihua Fan and Zhen Wang and Tianyu Liu and Junying Huang and Shengzhong Tang and Yanhuan Liu and Kunming Zhang and Xiaochun Ye and Dongrui Fan}, title = {Alleviating Transfer Latency in DataFlow Accelerator for {DSP} Applications}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {440--443}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00073}, doi = {10.1109/ICCD58817.2023.00073}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuLFWLHTLZYF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuYDZLX23, author = {Donglei Wu and Weihao Yang and Cai Deng and Xiangyu Zou and Shiyi Li and Wen Xia}, title = {{BIRD:} {A} Lightweight and Adaptive Compressor for Communication-Efficient Distributed Learning Using Tensor-wise Bi-Random Sampling}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {605--613}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00096}, doi = {10.1109/ICCD58817.2023.00096}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuYDZLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiangHX23, author = {Xiangyu Xiang and Yu Hua and Hao Xu}, title = {{PMA:} {A} Persistent Memory Allocator with High Efficiency and Crash Consistency Guarantee}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {182--189}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00036}, doi = {10.1109/ICCD58817.2023.00036}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiangHX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaoJ0HTLZWL23, author = {Renzhi Xiao and Hong Jiang and Dan Feng and Yuchong Hu and Wei Tong and Kang Liu and Yucheng Zhang and Xueliang Wei and Zhengtao Li}, title = {Accelerating Persistent Hash Indexes via Reducing Negative Searches}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {174--181}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00035}, doi = {10.1109/ICCD58817.2023.00035}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiaoJ0HTLZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuSPFR23, author = {Weihong Xu and Viji Swaminathan and Sumukh Pinge and Sean Fuhrman and Tajana Rosing}, title = {HyperMetric: Robust Hyperdimensional Computing on Error-prone Memories using Metric Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {243--246}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00045}, doi = {10.1109/ICCD58817.2023.00045}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuSPFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XueWCSTYL23, author = {Zeyu Xue and Mei Wen and Zhaoyun Chen and Yang Shi and Minjin Tang and Jianchao Yang and Zhongdi Luo}, title = {Releasing the Potential of Tensor Core for Unstructured SpMM using Tiled-CSR Format}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {457--464}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00076}, doi = {10.1109/ICCD58817.2023.00076}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XueWCSTYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Yao023, author = {Shangshang Yao and Li Shen}, title = {ImprLM: An Improved Logarithmic Multiplier Design Approach via Iterative Linear-Compensation and Modified Dynamic Segment}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {66--69}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00020}, doi = {10.1109/ICCD58817.2023.00020}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Yao023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YinGTL023, author = {Lingxiang Yin and Amir Ghazizadeh and Shilin Tian and Ahmed Louri and Hao Zheng}, title = {Polyform: {A} Versatile Architecture for Multi-DNN Execution via Spatial and Temporal Acceleration}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {166--169}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00033}, doi = {10.1109/ICCD58817.2023.00033}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YinGTL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YinMGV23, author = {Jun Yin and Linyan Mei and Andre Guntoro and Marian Verhelst}, title = {{ACCO:} Automated Causal {CNN} Scheduling Optimizer for Real-Time Edge Accelerators}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {391--398}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00065}, doi = {10.1109/ICCD58817.2023.00065}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/YinMGV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuSLPRC0023, author = {Yongseung Yu and Donghyun Son and Younghyun Lee and Sunghyun Park and Giha Ryu and Myeongjin Cho and Jiwon Seo and Yongjun Park}, title = {Tailoring {CUTLASS} {GEMM} using Supervised Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {465--474}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00077}, doi = {10.1109/ICCD58817.2023.00077}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuSLPRC0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangCLZY23, author = {Jintong Zhang and Jianxi Chen and Kezheng Liu and Yongkang Zhuo and Panfei Yuan}, title = {HyF2FS: {A} Filesystem to Fully Exploit the Parallelism of Hybrid Storage}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {267--274}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00049}, doi = {10.1109/ICCD58817.2023.00049}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangCLZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangCWYJ23, author = {Yifan Zhang and Qiang Cao and Shaohua Wang and Jie Yao and Hong Jiang}, title = {{HF-LDPC:} HLS-friendly {QC-LDPC} {FPGA} Decoder with High Throughput and Flexibility}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {566--573}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00091}, doi = {10.1109/ICCD58817.2023.00091}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangCWYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangLC0023, author = {Xu Zhang and Tianyue Lu and Yisong Chang and Ke Zhang and Mingyu Chen}, title = {Morpheus: An Adaptive {DRAM} Cache with Online Granularity Adjustment for Disaggregated Memory}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {134--141}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00029}, doi = {10.1109/ICCD58817.2023.00029}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangLC0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangRCLTL23, author = {Yuling Zhang and Ao Ren and Xianzhang Chen and Qiu Lin and Yujuan Tan and Duo Liu}, title = {Re-compact: Structured Pruning and SpMM Kernel Co-design for Accelerating DNNs on GPUs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {399--406}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00066}, doi = {10.1109/ICCD58817.2023.00066}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangRCLTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangSLH0JL23, author = {Xuan Zhang and Zhuoran Song and Xing Li and Zhezhi He and Li Jiang and Naifeng Jing and Xiaoyao Liang}, title = {HyAcc: {A} Hybrid {CAM-MAC} RRAM-based Accelerator for Recommendation Model}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {375--382}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00063}, doi = {10.1109/ICCD58817.2023.00063}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangSLH0JL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangTH23, author = {Jing Zhang and Hongbing Tan and Libo Huang}, title = {SFDoP: {A} Scalable Fused BFloat16 Dot-Product Architecture for {DNN}}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {62--65}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00019}, doi = {10.1109/ICCD58817.2023.00019}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoDCZC23, author = {Chenfeng Zhao and Zehao Dong and Yixin Chen and Xuan Zhang and Roger D. Chamberlain}, title = {{GNNHLS:} Evaluating Graph Neural Network Inference via High-Level Synthesis}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {574--577}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00092}, doi = {10.1109/ICCD58817.2023.00092}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoDCZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhuG23, author = {Linyu Zhu and Xinfei Guo}, title = {Delay-Driven Physically-Aware Logic Synthesis with Informed Search}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {327--335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00057}, doi = {10.1109/ICCD58817.2023.00057}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhuG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhuT23, author = {Weilin Zhu and Wei Tong}, title = {Turn Waste Into Wealth: Alleviating Read/Write Interference in {ZNS} SSDs}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {316--319}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00055}, doi = {10.1109/ICCD58817.2023.00055}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhuT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZurstrassenBJ0W23, author = {Niko Zurstra{\ss}en and Nils Bosbach and Jan Moritz Joseph and Lukas J{\"{u}}nger and Jan Henrik Weinstock and Rainer Leupers}, title = {Efficient RISC-V-on-x64 Floating Point Simulation}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {558--565}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00090}, doi = {10.1109/ICCD58817.2023.00090}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZurstrassenBJ0W23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2023, title = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023}, doi = {10.1109/ICCD58817.2023}, isbn = {979-8-3503-4291-8}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001ZBXTR22, author = {Jaeyoung Kang and Minxuan Zhou and Abhinav Bhansali and Weihong Xu and Anthony Thomas and Tajana Rosing}, title = {RelHD: {A} Graph-based Learning on FeFET with Hyperdimensional Computing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {553--560}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00087}, doi = {10.1109/ICCD56317.2022.00087}, timestamp = {Tue, 05 Dec 2023 14:45:33 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0001ZBXTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/00020H22, author = {Hai Zhou and Dan Feng and Yuchong Hu}, title = {A Stripe-Schedule Aware Repair Technique in the Heterogeneous Network for Erasure-coded Clusters}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {664--671}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00102}, doi = {10.1109/ICCD56317.2022.00102}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/00020H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/00020LZ22, author = {Peiyi Li and Ji Liu and Yangjia Li and Huiyang Zhou}, title = {Exploiting Quantum Assertions for Error Mitigation and Quantum Program Debugging}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {124--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00028}, doi = {10.1109/ICCD56317.2022.00028}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/00020LZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0003QLZCZLYG22, author = {Cong Guo and Yuxian Qiu and Jingwen Leng and Chen Zhang and Ying Cao and Quanlu Zhang and Yunxin Liu and Fan Yang and Minyi Guo}, title = {Nesting Forward Automatic Differentiation for Memory-Efficient Deep Neural Network Training}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {738--745}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00113}, doi = {10.1109/ICCD56317.2022.00113}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0003QLZCZLYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlBarakatGJ22, author = {Laith M. AlBarakat and Paul V. Gratz and Daniel A. Jim{\'{e}}nez}, title = {{SLAP-CC:} Set-Level Adaptive Prefetching for Compressed Caches}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {50--58}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00018}, doi = {10.1109/ICCD56317.2022.00018}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlBarakatGJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AliK22, author = {Usman Ali and Omer Khan}, title = {MultiCon: An Efficient Timing-based Side Channel Attack on Shared Memory Multicores}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {97--104}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00024}, doi = {10.1109/ICCD56317.2022.00024}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AliK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Ando22, author = {Hideki Ando}, title = {Segmenting Age Matrices to Improve Instruction Scheduling without Increasing Delay and Area}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {360--363}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00059}, doi = {10.1109/ICCD56317.2022.00059}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Ando22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BarreraKT0C22, author = {Javier Barrera and Leonidas Kosmidis and Hamid Tabani and Jaume Abella and Francisco J. Cazorla}, title = {Contention Tracking in {GPU} Last-Level Cache}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {76--79}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00021}, doi = {10.1109/ICCD56317.2022.00021}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BarreraKT0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BhartiM22, author = {Pramod Kumar Bharti and Joycee Mekie}, title = {{RHSCC-16T:} Radiation Hardened Sextuple Cross Coupled Robust {SRAM} Design for Radiation Prone Environments}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {17--24}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00014}, doi = {10.1109/ICCD56317.2022.00014}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BhartiM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CR22, author = {Prashanth H. C. and Madhav Rao}, title = {SOMALib: Library of Exact and Approximate Activation Functions for Hardware-efficient Neural Network Accelerators}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {746--753}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00114}, doi = {10.1109/ICCD56317.2022.00114}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaoGWLGDZ22, author = {Xinzhe Cao and Yunfei Gu and Chentao Wu and Jie Li and Minyi Guo and Yuanyuan Dong and Yafei Zhao}, title = {{RCS:} {A} Redirection Computational Scheduler to Accelerate Straggler Recovery for Erasure Coded Cloud Storage System}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {681--684}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00104}, doi = {10.1109/ICCD56317.2022.00104}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaoGWLGDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarperRB22, author = {Clay Carper and Andey Robins and Mike Borowczak}, title = {Transition Recovery Attack on Embedded State Machines Using Power Analysis}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {572--576}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00090}, doi = {10.1109/ICCD56317.2022.00090}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarperRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChaconGJRGJA22, author = {Gino Chacon and Elba Garza and Alexandra Jimborean and Alberto Ros and Paul V. Gratz and Daniel A. Jim{\'{e}}nez and Samira Mirbagher Ajorpaz}, title = {Composite Instruction Prefetching}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {471--478}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00076}, doi = {10.1109/ICCD56317.2022.00076}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChaconGJRGJA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChakaravarthyJC22, author = {Ravikumar V. Chakaravarthy and Hua Jiang and Raghav Chakravarthy and Siddharth Das}, title = {Auto-tuning of {AI/ML} Graphs for Optimal Performance in a Heterogenous Processor System}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {215--222}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00040}, doi = {10.1109/ICCD56317.2022.00040}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChakaravarthyJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CheW22, author = {Yuezhi Che and Rujia Wang}, title = {DNNCloak: Secure {DNN} Models Against Memory Side-channel Based Reverse Engineering Attacks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {89--96}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00023}, doi = {10.1109/ICCD56317.2022.00023}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CheW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenNSI22, author = {Hanning Chen and M. Hassan Najafi and Elaheh Sadredini and Mohsen Imani}, title = {Full Stack Parallel Online Hyperdimensional Regression on {FPGA}}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {517--524}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00082}, doi = {10.1109/ICCD56317.2022.00082}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenNSI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenWZWLWX22, author = {Qihui Chen and Shuai Wang and You Zhou and Fei Wu and Shu Li and Zhengyong Wang and Changsheng Xie}, title = {{PACA:} {A} Page Type Aware Read Cache Scheme in {QLC} Flash-based SSDs}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {59--66}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00019}, doi = {10.1109/ICCD56317.2022.00019}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenWZWLWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChengZZ0B22, author = {Wen Cheng and Telong Zheng and Lingfang Zeng and Yang Wang and Andr{\'{e}} Brinkmann}, title = {{DPLFS:} {A} Dual-Mode PCM-based Log-Structured File System}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {324--331}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00054}, doi = {10.1109/ICCD56317.2022.00054}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChengZZ0B22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DaiWLLLL22, author = {Lei Dai and Ying Wang and Cheng Liu and Fuping Li and Huawei Li and Xiaowei Li}, title = {Reexamining {CGRA} Memory Sub-system for Higher Memory Utilization and Performance}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {42--49}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00017}, doi = {10.1109/ICCD56317.2022.00017}, timestamp = {Mon, 25 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DaiWLLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DingT0CW022, author = {Bo Ding and Wei Tong and Yu Hua and Zhangyu Chen and Xueliang Wei and Dan Feng}, title = {{RMMIO:} Enabling Reliable Memory-Mapped {I/O} for Persistent Memory Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {722--725}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00110}, doi = {10.1109/ICCD56317.2022.00110}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DingT0CW022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuLYZT22, author = {Yajuan Du and Mingyang Liu and Yuqi Yang and Mingzhe Zhang and Xulong Tang}, title = {Enhancing {GPU} Performance via Neighboring Directory Table Based Inter-TLB Sharing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {146--153}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00031}, doi = {10.1109/ICCD56317.2022.00031}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DuLYZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GajariaGA22, author = {Dhruv Gajaria and Kevin Antony Gomez and Tosiron Adegbija}, title = {A Study of STT-RAM-based In-Memory Computing Across the Memory Hierarchy}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {685--692}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00105}, doi = {10.1109/ICCD56317.2022.00105}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GajariaGA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GhanatianFM22, author = {Hamdam Ghanatian and Hooman Farkhani and Farshad Moradi}, title = {A Hybrid Spin-CMOS Flash {ADC} based on Spin Hall Effect and Spin Transfer Torque}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {701--704}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00107}, doi = {10.1109/ICCD56317.2022.00107}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GhanatianFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GivakiKGR022, author = {Kamyar Givaki and Ahmad Khonsari and M. Hossein Gholamrezaei and Dara Rahmati and Saeid Gorgin}, title = {Hardware Efficient {FIR} Filter Architectures Using Accurate Unary Stochastic Computing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {754--761}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00115}, doi = {10.1109/ICCD56317.2022.00115}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GivakiKGR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GongSS22, author = {Hongbin Gong and Zhirong Shen and Jiwu Shu}, title = {Accelerating Garbage Collection of 3D Flash Memory via Exploiting Inter-Channel Parallelism}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {162--169}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00033}, doi = {10.1109/ICCD56317.2022.00033}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GongSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoW0L22, author = {Yijiang Guo and Xinming Wei and Jiaxi Zhang and Guojie Luo}, title = {Purlin: {A} Versatile Toolkit for the Generation and Simulation of On-Chip Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {385--393}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00063}, doi = {10.1109/ICCD56317.2022.00063}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuoW0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GurevinSGJDK22, author = {Deniz Gurevin and Mohsin Shan and Tong Geng and Weiwen Jiang and Caiwen Ding and Omer Khan}, title = {Towards Real-Time Temporal Graph Learning}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {263--271}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00047}, doi = {10.1109/ICCD56317.2022.00047}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GurevinSGJDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeBTX22, author = {Pengzhou He and Tianyou Bao and Yazheng Tu and Jiafeng Xie}, title = {HPMA-Saber: High-Performance Polynomial Multiplication Accelerator for {KEM} Saber}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {525--528}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00083}, doi = {10.1109/ICCD56317.2022.00083}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HeBTX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeMRHS22, author = {Zhangying He and Hosein Mohammadi Makrani and Setareh Rafatirad and Houman Homayoun and Hossein Sayadi}, title = {Breakthrough to Adaptive and Cost-Aware Hardware-Assisted Zero-Day Malware Detection: {A} Reinforcement Learning-Based Approach}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {231--238}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00042}, doi = {10.1109/ICCD56317.2022.00042}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HeMRHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuLPZYDKGJ22, author = {Zhirui Hu and Jinyang Li and Zhenyu Pan and Shanglin Zhou and Lei Yang and Caiwen Ding and Omer Khan and Tong Geng and Weiwen Jiang}, title = {On the Design of Quantum Graph Convolutional Neural Network in the NISQ-Era and Beyond}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {290--297}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00050}, doi = {10.1109/ICCD56317.2022.00050}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuLPZYDKGJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuWDHLL22, author = {Yahang Hu and Yaohua Wang and Xiaoqiang Dan and Xiao Hu and Fei Liu and Jinpeng Li}, title = {Cost-Aware {TVM} {(CAT)} Tensorization for Modern Deep Learning Accelerators}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {352--359}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00058}, doi = {10.1109/ICCD56317.2022.00058}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuWDHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Huai0KLLSM022, author = {Shuo Huai and Di Liu and Hao Kong and Xiangzhong Luo and Weichen Liu and Ravi Subramaniam and Christian Makaya and Qian Lin}, title = {Collate: Collaborative Neural Network Learning for Latency-Critical Edge Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {627--634}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00097}, doi = {10.1109/ICCD56317.2022.00097}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Huai0KLLSM022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IsakovK22, author = {Mihailo Isakov and Michel A. Kinsy}, title = {NeuroFabric: Hardware and {ML} Model Co-Design for {A} Priori Sparse Neural Network Training}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {561--564}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00088}, doi = {10.1109/ICCD56317.2022.00088}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IsakovK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IssaSNHARDI22, author = {Mariam Issa and Sina Shahhosseini and Yang Ni and Tianyi Hu and Danny Abraham and Amir M. Rahmani and Nikil D. Dutt and Mohsen Imani}, title = {Hyperdimensional Hybrid Learning on End-Edge-Cloud Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {652--655}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00100}, doi = {10.1109/ICCD56317.2022.00100}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IssaSNHARDI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JayasenaR022, author = {Aruna Jayasena and Khushboo Rani and Prabhat Mishra}, title = {Efficient Finite State Machine Encoding for Defending Against Laser Fault Injection Attacks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {247--254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00044}, doi = {10.1109/ICCD56317.2022.00044}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/JayasenaR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Jia0X22, author = {Wenqing Jia and Dejun Jiang and Jin Xiong}, title = {NapFS: {A} High-Performance NUMA-Aware {PM} File System}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {593--601}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00093}, doi = {10.1109/ICCD56317.2022.00093}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Jia0X22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaDGXWLLXG22, author = {Ranhao Jia and Haiwei Deng and Yunfei Gu and Huangzhen Xue and Chentao Wu and Shiyi Li and Jie Li and Guangtao Xue and Minyi Guo}, title = {{GRPU:} An Efficient Graph-based Cross-Rack Parallel Update Scheme for Cloud Storage Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {154--161}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00032}, doi = {10.1109/ICCD56317.2022.00032}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaDGXWLLXG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangWYMMYT22, author = {Ning Kang and Zhan Wang and Fan Yang and Xiaoxiao Ma and Zhenlong Ma and Guojun Yuan and Guangming Tan}, title = {csRNA: Connection-Scalable {RDMA} {NIC} Architecture in Datacenter Environment}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {398--406}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00065}, doi = {10.1109/ICCD56317.2022.00065}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KangWYMMYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimHC0PH22, author = {Bokyeong Kim and Soojin Hwang and Sanghoon Cha and Chang Hyun Park and Jongse Park and Jaehyuk Huh}, title = {Supporting Dynamic Translation Granularity for Hybrid Memory Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {25--32}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00015}, doi = {10.1109/ICCD56317.2022.00015}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KimHC0PH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimSP0RC22, author = {Juhwan Kim and Jongseon Seo and Jonghyeok Park and Sang{-}Won Lee and Hongchan Roh and Hyungmin Cho}, title = {{ES4D:} Accelerating Exact Similarity Search for High-Dimensional Vectors via Vector Slicing and In-SSD Computation}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {298--306}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00051}, doi = {10.1109/ICCD56317.2022.00051}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimSP0RC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KwadjoTMB22, author = {Danielle Tchuinkou Kwadjo and Erman Nghonda Tchinda and Joel Mandebi Mbongue and Christophe Bobda}, title = {Accelerating Hybrid Quantized Neural Networks on Multi-tenant Cloud {FPGA}}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {491--498}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00079}, doi = {10.1109/ICCD56317.2022.00079}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KwadjoTMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KwakK22, author = {Myeongjin Kwak and Yongtae Kim}, title = {Do Not Forget: Exploiting Stability-Plasticity Dilemma to Expedite Unsupervised {SNN} Training for Neuromorphic Processors}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {419--426}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00069}, doi = {10.1109/ICCD56317.2022.00069}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KwakK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LatifiZM22, author = {Salar Latifi and Babak Zamirai and Scott A. Mahlke}, title = {SoftFusion: {A} Low-Cost Approach to Enhance Reliability of Object Detection Applications}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {344--351}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00057}, doi = {10.1109/ICCD56317.2022.00057}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LatifiZM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeFLEK22, author = {Sugil Lee and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Accurate Prediction of ReRAM Crossbar Performance Under {I-V} Nonlinearity and {IR} Drop}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {9--16}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00013}, doi = {10.1109/ICCD56317.2022.00013}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeFLEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeN0PH22, author = {Sunho Lee and Seonjin Na and Jungwoo Kim and Jongse Park and Jaehyuk Huh}, title = {Tunable Memory Protection for Secure Neural Processing Units}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {105--108}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00025}, doi = {10.1109/ICCD56317.2022.00025}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeN0PH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDOL22, author = {Yi Li and David H. C. Du and Li Ou and Bingzhe Li}, title = {{HL-DNA:} {A} Hybrid Lossy/Lossless Encoding Scheme to Enhance {DNA} Storage Density and Robustness for Images}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {434--442}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00071}, doi = {10.1109/ICCD56317.2022.00071}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiRTLHWCL22, author = {Xin Li and Ao Ren and Yujuan Tan and Xusheng Li and Zhetong Huang and Chengliang Wang and Xianzhang Chen and Duo Liu}, title = {{VEA:} An FPGA-Based Voxel Encoding Accelerator for 3D Object Detection with LiDAR}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {509--516}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00081}, doi = {10.1109/ICCD56317.2022.00081}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiRTLHWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LianLCZZ22, author = {Zirui Lian and Weihong Liu and Jing Cao and Zongwei Zhu and Xuehai Zhou}, title = {FedNorm: An Efficient Federated Learning Framework with Dual Heterogeneity Coexistence on Edge Intelligence Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {619--626}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00096}, doi = {10.1109/ICCD56317.2022.00096}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LianLCZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuW0CYY022, author = {Fangxin Liu and Zongwu Wang and Wenbo Zhao and Yongbiao Chen and Tao Yang and Xiaokang Yang and Li Jiang}, title = {Randomize and Match: Exploiting Irregular Sparsity for Energy Efficient Processing in SNNs}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {451--454}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00073}, doi = {10.1109/ICCD56317.2022.00073}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuW0CYY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Lopez-AlarconMH22, author = {Sonia Lopez{-}Alarcon and Cory E. Merkel and Martin Hoffnagle and Sabrina Ly and Alejandro Pozas{-}Kerstjens}, title = {Accelerating the Training of Single Layer Binary Neural Networks using the {HHL} Quantum Algorithm}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {427--433}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00070}, doi = {10.1109/ICCD56317.2022.00070}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Lopez-AlarconMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuBB22, author = {Chao Lu and Utsav Banerjee and Kanad Basu}, title = {Design and Analysis of a Scalable and Efficient Quantum Circuit for {LWE} Matrix Arithmetic}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {109--116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00026}, doi = {10.1109/ICCD56317.2022.00026}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuSLJL22, author = {Heng Lu and Zhuoran Song and Xing Li and Naifeng Jing and Xiaoyao Liang}, title = {GCNTrain: {A} Unified and Efficient Accelerator for Graph Convolutional Neural Network Training}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {730--737}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00112}, doi = {10.1109/ICCD56317.2022.00112}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuSLJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoBTLPHZKTDG22, author = {Yixuan Luo and Payman Behnam and Kiran Thorat and Zhuo Liu and Hongwu Peng and Shaoyi Huang and Shu Zhou and Omer Khan and Alexey Tumanov and Caiwen Ding and Tong Geng}, title = {CoDG-ReRAM: An Algorithm-Hardware Co-design to Accelerate Semi-Structured GNNs on ReRAM}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {280--289}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00049}, doi = {10.1109/ICCD56317.2022.00049}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuoBTLPHZKTDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaLL22, author = {Teng Ma and Zhitao Li and Ning Liu}, title = {Log-ROC: Log Structured {RAID} on Open-Channel {SSD}}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {332--335}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00055}, doi = {10.1109/ICCD56317.2022.00055}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaceirasAT22, author = {Mateo V{\'{a}}zquez Maceiras and Muhammad Waqar Azhar and Pedro Trancoso}, title = {{VSA:} {A} Hybrid Vector-Systolic Architecture}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {368--376}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00061}, doi = {10.1109/ICCD56317.2022.00061}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaceirasAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MahmudZOAK22, author = {Shakil Mahmud and Farhath Zareen and Brooks Olney and Mateus Augusto Fernandes Amador and Robert Karam}, title = {Trojan Resilience in Implantable and Wearable Medical Devices with Virtual Biosensing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {577--584}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00091}, doi = {10.1109/ICCD56317.2022.00091}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MahmudZOAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MallappaGKYR22, author = {Uday Mallappa and Pranav Gangwar and Behnam Khaleghi and Haichao Yang and Tajana Rosing}, title = {TermiNETor: Early Convolution Termination for Efficient Deep Neural Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {635--643}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00098}, doi = {10.1109/ICCD56317.2022.00098}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MallappaGKYR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/McDanielZ022, author = {Isaac McDaniel and Michael Zuzak and Ankur Srivastava}, title = {A Black-Box Sensitization Attack on SAT-Hard Instances in Logic Obfuscation}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {239--246}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00043}, doi = {10.1109/ICCD56317.2022.00043}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/McDanielZ022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MengYCZZH022, author = {Xiaoni Meng and Qiusong Yang and Yiwei Ci and Pei Zhao and Shan Zhao and Tianlin Huo and Mingshu Li}, title = {Secure Access Policy {(SAP):} Invisibly Executing Speculative Unsafe Accesses in an Isolated Environment}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {80--88}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00022}, doi = {10.1109/ICCD56317.2022.00022}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MengYCZZH022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MummidiBGSK22, author = {Chandra Sekhar Mummidi and Sandeep Bal and Brunno F. Goldstein and Sudarshan Srinivasan and Sandip Kundu}, title = {A Highly-Efficient Error Detection Technique for General Matrix Multiplication using Tiled Processing on {SIMD} Architecture}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {529--536}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00084}, doi = {10.1109/ICCD56317.2022.00084}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MummidiBGSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NohPP0CK22, author = {Seock{-}Hwan Noh and Junsang Park and Dahoon Park and Jahyun Koo and Jeik Choi and Jaeha Kung}, title = {LightNorm: Area and Energy-Efficient Batch Normalization Hardware for On-Device {DNN} Training}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {443--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00072}, doi = {10.1109/ICCD56317.2022.00072}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NohPP0CK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkK22, author = {Jihye Park and Seokhyeong Kang}, title = {{CPR:} Crossbar-grain Pruning for an RRAM-based Accelerator with Coordinate-based Weight Mapping}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {336--343}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00056}, doi = {10.1109/ICCD56317.2022.00056}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ParkK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkKLS22, author = {Soyoung Park and Jongseok Kim and Younghoon Lim and Euiseong Seo}, title = {Analysis and Mitigation of Data Sanitization Overhead in {DAX} File Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {255--258}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00045}, doi = {10.1109/ICCD56317.2022.00045}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ParkKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParthasarathyKN22, author = {Ganapathy Parthasarathy and Bhuvnesh Kumar and Saurav Nanda and Parivesh Choudhary and Sridhar Rajakumar}, title = {Optimizing {ML} Classification Models for Constrained {EDA} Resource Budgets}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {223--230}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00041}, doi = {10.1109/ICCD56317.2022.00041}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ParthasarathyKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PengGHGJKD22, author = {Hongwu Peng and Deniz Gurevin and Shaoyi Huang and Tong Geng and Weiwen Jiang and Omer Khan and Caiwen Ding}, title = {Towards Sparsification of Graph Neural Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {272--279}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00048}, doi = {10.1109/ICCD56317.2022.00048}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PengGHGJKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PhalakAATG22, author = {Koustubh Phalak and Mahabubul Alam and Abdullah Ash{-}Saki and Rasit Onur Topaloglu and Swaroop Ghosh}, title = {Optimization of Quantum Read-Only Memory Circuits}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {117--123}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00027}, doi = {10.1109/ICCD56317.2022.00027}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PhalakAATG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PhillipsCE22, author = {Dallas A. Phillips and Pingxiuqi Chen and John Marty Emmert}, title = {Area Efficient Asynchronous Circuits for Side Channel Attack Mitigation}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {565--571}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00089}, doi = {10.1109/ICCD56317.2022.00089}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PhillipsCE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiTSYX22, author = {Wenjie Qi and Zhipeng Tan and Jicheng Shao and Lihua Yang and Yang Xiao}, title = {InDeF: An Advanced Defragmenter Supporting Migration Offloading on {ZNS} {SSD}}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {307--314}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00052}, doi = {10.1109/ICCD56317.2022.00052}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiTSYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QianSSZBQ22, author = {Xingyue Qian and Jian Shi and Li Shi and Haoyang Zhang and Lijian Bian and Weikang Qian}, title = {Scheduling Information-Guided Efficient High-Level Synthesis Design Space Exploration}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {203--206}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00038}, doi = {10.1109/ICCD56317.2022.00038}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QianSSZBQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SaravananS22, author = {Vedika Saravanan and Samah Mohamed Saeed}, title = {Machine Learning for Quantum Hardware Performance Assessment}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00030}, doi = {10.1109/ICCD56317.2022.00030}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SaravananS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ScottK22, author = {Kyler R. Scott and Sunil P. Khatri}, title = {A Flash-based Digital to Analog Converter for Low Power Applications}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00012}, doi = {10.1109/ICCD56317.2022.00012}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ScottK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShuklaDDHR22, author = {Sanket Shukla and Abhijitt Dhavlle and Sai Manoj P. D. and Houman Homayoun and Setareh Rafatirad}, title = {Iron-Dome: Securing IoT Networked Systems at Runtime by Network and Device Characteristics to Confine Malware Epidemics}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {259--262}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00046}, doi = {10.1109/ICCD56317.2022.00046}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShuklaDDHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SinghaDGSCM22, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {{LEAPER:} Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {499--508}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00080}, doi = {10.1109/ICCD56317.2022.00080}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SinghaDGSCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongCLFYLTR22, author = {Chunlin Song and Xianzhang Chen and Duo Liu and Xiaoliu Feng and Xi Yu and Jiali Li and Yujuan Tan and Ao Ren}, title = {CADedup: High-performance Consistency-aware Deduplication Based on Persistent Memory}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {726--729}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00111}, doi = {10.1109/ICCD56317.2022.00111}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SongCLFYLTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanV22, author = {Suriya Srinivasan and Ranga Vemuri}, title = {Model Checking Leveraged Error Localization for Complex {RTL} Designs}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {585--592}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00092}, doi = {10.1109/ICCD56317.2022.00092}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SuLSKHK22, author = {Youri Su and Sanghun Lee and Eunji Song and Dongha Kim and Jaeduk Han and Hokeun Kim}, title = {Energy-Efficient Bus Encoding Techniques for Next-Generation {PAM-4} {DRAM} Interfaces}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {693--700}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00106}, doi = {10.1109/ICCD56317.2022.00106}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SuLSKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TabrizchiAR22, author = {Sepehr Tabrizchi and Shaahin Angizi and Arman Roohi}, title = {TizBin: {A} Low-Power Image Sensor with Event and Object Detection Using Efficient Processing-in-Pixel Schemes}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {770--777}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00117}, doi = {10.1109/ICCD56317.2022.00117}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TabrizchiAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TanCY22, author = {Jingweijia Tan and Keyu Chen and Kaige Yan}, title = {MG-Voltage: Characterizing and Mitigating Voltage Noise in {MCM-GPU} Architectures}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {714--721}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00109}, doi = {10.1109/ICCD56317.2022.00109}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TanCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TangWTL22, author = {Chenlei Tang and Jiguang Wan and Zhihu Tan and Guokuan Li}, title = {RepKV: {A} Replicated Key-Value Store to Boost Multiple Indices for Key-Value Separation}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {187--194}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00036}, doi = {10.1109/ICCD56317.2022.00036}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TangWTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Thijssen0E22, author = {Sven Thijssen and Sumit Kumar Jha and Rickard Ewetz}, title = {Equivalence Checking for Flow-Based Computing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {656--663}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00101}, doi = {10.1109/ICCD56317.2022.00101}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Thijssen0E22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TompaziTRMK22, author = {Styliani Tompazi and Ioannis Tsiokanos and Jes{\'{u}}s Mart{\'{\i}}nez del Rinc{\'{o}}n and Lev Mukhanov and Georgios Karakonstantis}, title = {Instruction-aware Learning-based Timing Error Models through Significance-driven Approximations}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {455--462}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00074}, doi = {10.1109/ICCD56317.2022.00074}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TompaziTRMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TongWLWZ22, author = {Yulai Tong and Cheng Wang and Jiazhen Liu and Hua Wang and Ke Zhou}, title = {Tripod: Harmonizing Job Scheduling and Data Caching for Analytics Frameworks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {610--618}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00095}, doi = {10.1109/ICCD56317.2022.00095}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TongWLWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/UshiodaK22, author = {Yuya Ushioda and Mineo Kaneko}, title = {Hardware Minimization of Two-Level Adiabatic Logic Based on Weighted Maximum Stable Set Problem}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {394--397}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00064}, doi = {10.1109/ICCD56317.2022.00064}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/UshiodaK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Volya022, author = {Daniel Volya and Prabhat Mishra}, title = {Modeling of Noisy Quantum Circuits using Random Matrix Theory}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {132--138}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00029}, doi = {10.1109/ICCD56317.2022.00029}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Volya022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WanPZLWX22, author = {Binzhaoshuo Wan and Lifeng Pu and Xiangyu Zou and Shiyi Li and Peng Wang and Wen Xia}, title = {SuperCDC: {A} Hybrid Design of High-Performance Content-Defined Chunking for Fast Deduplication}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {170--178}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00034}, doi = {10.1109/ICCD56317.2022.00034}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WanPZLWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Wang0Z0HC22, author = {Peng Wang and Yu Liu and Zhelong Zhao and Ke Zhou and Zhihai Huang and Yanxiong Chen}, title = {Adaptive Size-Aware Cache Insertion Policy for Content Delivery Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {195--202}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00037}, doi = {10.1109/ICCD56317.2022.00037}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Wang0Z0HC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangLMHWWZGWCL22, author = {Jing Wang and Chao Li and Junyi Mei and Hao He and Taolei Wang and Pengyu Wang and Lu Zhang and Minyi Guo and Hanqing Wu and Dongbai Chen and Xiangwen Liu}, title = {HyFarM: Task Orchestration on Hybrid Far Memory for High Performance Per Bit}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {33--41}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00016}, doi = {10.1109/ICCD56317.2022.00016}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangLMHWWZGWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangSS22, author = {Tianyu Wang and Zhaoyan Shen and Zili Shao}, title = {{CNN} Acceleration with Joint Optimization of Practical {PIM} and {GPU} on Embedded Devices}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {377--384}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00062}, doi = {10.1109/ICCD56317.2022.00062}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZ0M22, author = {Guang Wang and Ziyuan Zhu and Xu Cheng and Dan Meng}, title = {In-depth Testing of x86 Instruction Disassemblers with Feedback Controlled {DFS} Algorithm}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {463--470}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00075}, doi = {10.1109/ICCD56317.2022.00075}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZ0M22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZLZHC22, author = {Peng Wang and Zhelong Zhao and Yu Liu and Ke Zhou and Zhihai Huang and Yanxiong Chen}, title = {A Lightweight and Adaptive Cache Partitioning Scheme for Content Delivery Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {407--410}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00066}, doi = {10.1109/ICCD56317.2022.00066}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZLZHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Wu0H22, author = {Nan Wu and Yuan Xie and Cong Hao}, title = {AI-assisted Synthesis in Next Generation {EDA:} Promises, Challenges, and Prospects}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {207--214}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00039}, doi = {10.1109/ICCD56317.2022.00039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Wu0H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Wu0YMHML22, author = {Jiang Wu and Zhuo Zhang and Deheng Yang and Xiankai Meng and Jiayu He and Xiaoguang Mao and Yan Lei}, title = {Fault Localization for Hardware Design Code with Time-Aware Program Spectrum}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {537--544}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00085}, doi = {10.1109/ICCD56317.2022.00085}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Wu0YMHML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuLZT22, author = {Denghui Wu and Biyong Liu and Wei Zhao and Wei Tong}, title = {{ZNSKV:} Reducing Data Migration in LSMT-Based {KV} Stores on {ZNS} SSDs}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {411--414}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00067}, doi = {10.1109/ICCD56317.2022.00067}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuLZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuJXLHLG22, author = {Shiyao Xu and Jingfei Jiang and Jinwei Xu and Chaorun Liu and Yuanhong He and Xiaohang Liu and Lei Gao}, title = {Sparkle: {A} High Efficient Sparse Matrix Multiplication Accelerator for Deep Learning}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {479--486}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00077}, doi = {10.1109/ICCD56317.2022.00077}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuJXLHLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XueCS22, author = {Jin Xue and Renhai Chen and Zili Shao}, title = {SoftSSD: Software-defined {SSD} Development Platform for Rapid Flash Firmware Prototyping}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {602--609}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00094}, doi = {10.1109/ICCD56317.2022.00094}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XueCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XueJLLZC0F22, author = {Yongqi Xue and Jinlun Ji and Xinyi Li and Siyue Li and Shize Zhou and Tong Cheng and Li Li and Yuxiang Fu}, title = {{AOME:} Autonomous Optimal Mapping Exploration Using Reinforcement Learning for NoC-based Accelerators Running Neural Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {364--367}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00060}, doi = {10.1109/ICCD56317.2022.00060}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XueJLLZC0F22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Yang0FLWL0W022, author = {Zehua Yang and Zhisheng Ye and Tianhao Fu and Jing Luo and Xiong Wei and Yingwei Luo and Xiaolin Wang and Zhenlin Wang and Tianwei Zhang}, title = {Tear Up the Bubble Boom: Lessons Learned From a Deep Learning Research and Development Cluster}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {672--680}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00103}, doi = {10.1109/ICCD56317.2022.00103}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Yang0FLWL0W022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangWSCTYFZ22, author = {Jianchao Yang and Mei Wen and Junzhong Shen and Yasong Cao and Minjin Tang and Renyu Yang and Jiawei Fei and Chunyuan Zhang}, title = {BP-Im2col: Implicit Im2col Supporting {AI} Backpropagation on Systolic Arrays}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {415--418}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00068}, doi = {10.1109/ICCD56317.2022.00068}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangWSCTYFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YaoZ22, author = {Shangshang Yao and Liang Zhang}, title = {{FHAM:} FPGA-based High-Efficiency Approximate Multipliers via {LUT} Encoding}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {487--490}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00078}, doi = {10.1109/ICCD56317.2022.00078}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YaoZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuWC0022, author = {Tianyang Yu and Bi Wu and Ke Chen and Chenggang Yan and Weiqiang Liu}, title = {Energy-efficient Oriented Approximate Quantization Scheme for Fine-Grained Sparse Neural Network Acceleration}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {762--769}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00116}, doi = {10.1109/ICCD56317.2022.00116}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuWC0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuanZX0LXWC22, author = {Jingsong Yuan and Xiangyu Zou and Han Xu and Zhichao Cao and Shiyi Li and Wen Xia and Peng Wang and Li Chen}, title = {A Focused Garbage Collection Approach for Primary Deduplicated Storage with Low Memory Overhead}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {315--323}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00053}, doi = {10.1109/ICCD56317.2022.00053}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuanZX0LXWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangH22, author = {Chaochao Zhang and Rui Hou}, title = {{LAK:} {A} Low-Overhead Lock-and-Key Based Schema for {GPU} Memory Safety}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {705--713}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00108}, doi = {10.1109/ICCD56317.2022.00108}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangWD22, author = {Jianshun Zhang and Fang Wang and Chao Dong}, title = {HaLSM: {A} Hotspot-aware LSM-tree based Key-Value Storage Engine}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {179--186}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00035}, doi = {10.1109/ICCD56317.2022.00035}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangWLM22, author = {Yujie Zhang and Dhananjaya Wijerathne and Zhaoying Li and Tulika Mitra}, title = {Power-Performance Characterization of TinyML Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {644--651}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00099}, doi = {10.1109/ICCD56317.2022.00099}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangWLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Zhao0L22, author = {Yingnan Zhao and Ke Wang and Ahmed Louri}, title = {{FSA:} An Efficient Fault-tolerant Systolic Array-based {DNN} Accelerator Architecture}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {545--552}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00086}, doi = {10.1109/ICCD56317.2022.00086}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Zhao0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouW0022, author = {Yang Zhou and Fang Wang and Zhan Shi and Dan Feng}, title = {A Multi-Factor Adaptive Multi-Level Cooperative Replacement Policy in Block Storage Systems}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {67--75}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00020}, doi = {10.1109/ICCD56317.2022.00020}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouW0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2022, title = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022}, doi = {10.1109/ICCD56317.2022}, isbn = {978-1-6654-6186-3}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001QBB21, author = {Raul Murillo and David Mallas{\'{e}}n and Alberto A. Del Barrio and Guillermo Botella}, title = {Energy-Efficient {MAC} Units for Fused Posit Arithmetic}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {138--145}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00032}, doi = {10.1109/ICCD53106.2021.00032}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0001QBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001SSKIS21, author = {Toru Koizumi and Shu Sugita and Ryota Shioya and Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {Compiling and Optimizing Real-world Programs for {STRAIGHT} {ISA}}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {400--408}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00070}, doi = {10.1109/ICCD53106.2021.00070}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0001SSKIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0002CR21, author = {Jiaqi Zhang and Xiangru Chen and Sandip Ray}, title = {Universal Neural Network Acceleration via Real-Time Loop Blocking}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {285--289}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00053}, doi = {10.1109/ICCD53106.2021.00053}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0002CR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0002G0ALLBT21, author = {Cheng Tan and Tong Geng and Chenhao Xie and Nicolas Bohm Agostini and Jiajia Li and Ang Li and Kevin J. Barker and Antonino Tumeo}, title = {DynPaC: Coarse-Grained, Dynamic, and Partially Reconfigurable Array for Streaming Applications}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {33--40}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00018}, doi = {10.1109/ICCD53106.2021.00018}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0002G0ALLBT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0005CCZLG21, author = {Han Zhao and Weihao Cui and Quan Chen and Jieru Zhao and Jingwen Leng and Minyi Guo}, title = {Exploiting Intra-SM Parallelism in GPUs via Persistent and Elastic Blocks}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {290--298}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00054}, doi = {10.1109/ICCD53106.2021.00054}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0005CCZLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0016M21, author = {Di Wu and Joshua San Miguel}, title = {Special Session: When Dataflows Converge: Reconfigurable and Approximate Computing for Emerging Neural Networks}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {9--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00014}, doi = {10.1109/ICCD53106.2021.00014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/0016M21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlatounAV21, author = {Khitam M. Alatoun and Shanmukha Murali Achyutha and Ranga Vemuri}, title = {Efficient Methods for SoC Trust Validation Using Information Flow Verification}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {608--616}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00098}, doi = {10.1109/ICCD53106.2021.00098}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlatounAV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaeKGHKLPJ21, author = {Hanyeoreum Bae and Miryeong Kwon and Donghyun Gouk and Sanghyun Han and Sungjoon Koh and Changrim Lee and Dongchul Park and Myoungsoo Jung}, title = {Empirical Guide to Use of Persistent Memory for Large-Scale In-Memory Graph Analysis}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {316--320}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00057}, doi = {10.1109/ICCD53106.2021.00057}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaeKGHKLPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BarboneKOLG21, author = {Marco Barbone and Bas W. Kwaadgras and Uwe Oelfke and Wayne Luk and Georgi Gaydadjiev}, title = {Efficient Table-Based Polynomial on {FPGA}}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {374--382}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00066}, doi = {10.1109/ICCD53106.2021.00066}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BarboneKOLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BavarsadMSLRH21, author = {Najmeh Nazari Bavarsad and Hosein Mohammadi Makrani and Hossein Sayadi and Lawrence Landis and Setareh Rafatirad and Houman Homayoun}, title = {HosNa: {A} {DPC++} Benchmark Suite for Heterogeneous Architectures}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {509--516}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00084}, doi = {10.1109/ICCD53106.2021.00084}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BavarsadMSLRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BruschiHT0BR21, author = {Nazareno Bruschi and Germain Haugou and Giuseppe Tagliavini and Francesco Conti and Luca Benini and Davide Rossi}, title = {GVSoC: {A} Highly Configurable, Fast and Accurate Full-Platform Simulator for {RISC-V} based IoT Processors}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {409--416}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00071}, doi = {10.1109/ICCD53106.2021.00071}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BruschiHT0BR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarvalhoS21, author = {Daniel Rodrigues Carvalho and Andr{\'{e}} Seznec}, title = {Conciliating Speed and Efficiency on Cache Compressors}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {442--446}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00075}, doi = {10.1109/ICCD53106.2021.00075}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarvalhoS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Chen0Y021, author = {Dongwei Chen and Dong Tong and Chun Yang and Xu Cheng}, title = {MetaTableLite: An Efficient Metadata Management Scheme for Tagged-Pointer-Based Spatial Safety}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {208--211}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00042}, doi = {10.1109/ICCD53106.2021.00042}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Chen0Y021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenKAMJ21, author = {Zhaohui Chen and Emre Karabulut and Aydin Aysu and Yuan Ma and Jiwu Jing}, title = {An Efficient Non-Profiled Side-Channel Attack on the CRYSTALS-Dilithium Post-Quantum Signature}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {583--590}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00094}, doi = {10.1109/ICCD53106.2021.00094}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenKAMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenLCJS0S21, author = {Zehao Chen and Bingzhe Li and Xiaojun Cai and Zhiping Jia and Zhaoyan Shen and Yi Wang and Zili Shao}, title = {Block-LSM: An Ether-aware Block-ordered LSM-tree based Key-Value Storage Engine}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {25--32}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00017}, doi = {10.1109/ICCD53106.2021.00017}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenLCJS0S21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenS21, author = {Ziyi Chen and Ioannis Savidis}, title = {Reconfigurable Array for Analog Applications}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {361--365}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00064}, doi = {10.1109/ICCD53106.2021.00064}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChengKKKLLPW21, author = {Chung{-}Kuan Cheng and Andrew B. Kahng and Ilgweon Kang and Minsoo Kim and Daeyeal Lee and Bill Lin and Dongwon Park and Mingyu Woo}, title = {CoRe-ECO: Concurrent Refinement of Detailed Place-and-Route for an Efficient {ECO} Automation}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {366--373}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00065}, doi = {10.1109/ICCD53106.2021.00065}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChengKKKLLPW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiLKP21, author = {Kyunghwan Choi and Seongju Lee and Beom Woo Kang and Yongjun Park}, title = {Legion: Tailoring Grouped Neural Execution Considering Heterogeneity on Multiple Edge Devices}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {383--390}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00067}, doi = {10.1109/ICCD53106.2021.00067}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoiLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChowdhuryS21, author = {Prattay Chowdhury and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Special Session: {ADAPT:} ANN-ControlleD System-Level Runtime Adaptable APproximate CompuTing}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00012}, doi = {10.1109/ICCD53106.2021.00012}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChowdhuryS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CiocirlanLRTT21, author = {Stefan Dan Ciocirlan and Dumitrel Loghin and Lavanya Ramapantulu and Nicolae Tapus and Yong Meng Teo}, title = {The Accuracy and Efficiency of Posit Arithmetic}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {83--87}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00024}, doi = {10.1109/ICCD53106.2021.00024}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CiocirlanLRTT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ConnollySIG21, author = {Mark Connolly and Purab Ranjan Sutradhar and Mark A. Indovina and Amlan Ganguly}, title = {Flexible Instruction Set Architecture for Programmable Look-up Table based Processing-in-Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {66--73}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00022}, doi = {10.1109/ICCD53106.2021.00022}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ConnollySIG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Degawa0NSKIS21, author = {Yuya Degawa and Toru Koizumi and Tomoki Nakamura and Ryota Shioya and Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {Accurate and Fast Performance Modeling of Processors with Decoupled Front-end}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {88--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00025}, doi = {10.1109/ICCD53106.2021.00025}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Degawa0NSKIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuLZLXWG21, author = {Xiaoming Du and Cong Li and Shen Zhou and Xian Liu and Xiaohan Xu and Tianjiao Wang and Shijian Ge}, title = {Fault-Aware Prediction-Guided Page Offlining for Uncorrectable Memory Error Prevention}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {456--463}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00077}, doi = {10.1109/ICCD53106.2021.00077}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DuLZLXWG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EhrettAB21, author = {Pete Ehrett and Todd M. Austin and Valeria Bertacco}, title = {Chopin: Composing Cost-Effective Custom Chips with Algorithmic Chiplets}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {395--399}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00069}, doi = {10.1109/ICCD53106.2021.00069}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EhrettAB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EichlerPGC21, author = {Guy Eichler and Luca Piccolboni and Davide Giri and Luca P. Carloni}, title = {MasterMind: Many-Accelerator SoC Architecture for Real-Time Brain-Computer Interfaces}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {101--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00027}, doi = {10.1109/ICCD53106.2021.00027}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/EichlerPGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EneS21, author = {Teodor{-}Dumitru Ene and James E. Stine}, title = {A Comprehensive Exploration of the Parallel Prefix Adder Tree Space}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {125--129}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00030}, doi = {10.1109/ICCD53106.2021.00030}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EneS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FelzmannFOW21, author = {Isa{\'{\i}}as B. Felzmann and Jo{\~{a}}o Fabr{\'{\i}}cio Filho and Juliane Regina de Oliveira and Lucas Wanner}, title = {Special Session: How much quality is enough quality? {A} case for acceptability in approximate designs}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {5--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00013}, doi = {10.1109/ICCD53106.2021.00013}, timestamp = {Tue, 20 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/FelzmannFOW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GiesenM0C21, author = {Jeremy Giesen and Enrico Mezzetti and Jaume Abella and Francisco J. Cazorla}, title = {{PRL:} Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {344--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00061}, doi = {10.1109/ICCD53106.2021.00061}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GiesenM0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GolestaniW21, author = {Hossein Golestani and Thomas F. Wenisch}, title = {HyperData: {A} Data Transfer Accelerator for Software Data Planes Based on Targeted Prefetching}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {326--334}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00059}, doi = {10.1109/ICCD53106.2021.00059}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GolestaniW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GongSS21, author = {Hongbin Gong and Zhirong Shen and Jiwu Shu}, title = {Accelerating Sub-Block Erase in 3D {NAND} Flash Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {228--235}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00045}, doi = {10.1109/ICCD53106.2021.00045}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GongSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuLLLS21, author = {Ben Gu and Longfei Luo and Yina Lv and Changlong Li and Liang Shi}, title = {Dynamic File Cache Optimization for Hybrid SSDs with High-Density and Low-Cost Flash Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {170--173}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00036}, doi = {10.1109/ICCD53106.2021.00036}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GuLLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoLCZY21, author = {Yanan Guo and Liang Liu and Yueqiang Cheng and Youtao Zhang and Jun Yang}, title = {ModelShield: {A} Generic and Portable Framework Extension for Defending Bit-Flip based Adversarial Weight Attacks}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {559--562}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00090}, doi = {10.1109/ICCD53106.2021.00090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GuoLCZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaddadiQSXAY21, author = {Ibrahim Haddadi and Issa Qiqieh and Rishad A. Shafik and Fei Xia and Mohammed A. Noaman Al{-}Hayanni and Alex Yakovlev}, title = {Run-time Configurable Approximate Multiplier using Significance-Driven Logic Compression}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {117--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00029}, doi = {10.1109/ICCD53106.2021.00029}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaddadiQSXAY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaoGMKAR21, author = {Yilun Hao and Saransh Gupta and Justin Morris and Behnam Khaleghi and Baris Aksanli and Tajana Rosing}, title = {Stochastic-HD: Leveraging Stochastic Computing on Hyper-Dimensional Computing}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {321--325}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00058}, doi = {10.1109/ICCD53106.2021.00058}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HaoGMKAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HsiaoNC21, author = {Yi{-}Jou Hsiao and Chin{-}Fu Nien and Hsiang{-}Yun Cheng}, title = {ReSpar: Reordering Algorithm for ReRAM-based Sparse Matrix-Vector Multiplication Accelerator}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {260--268}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00050}, doi = {10.1109/ICCD53106.2021.00050}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HsiaoNC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuZXZZW21, author = {Zhenbo Hu and Xiangyu Zou and Wen Xia and Yuhong Zhao and Weizhe Zhang and Donglei Wu}, title = {Smart-DNN: Efficiently Reducing the Memory Requirements of Running Deep Neural Networks on Resource-constrained Platforms}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {533--541}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00087}, doi = {10.1109/ICCD53106.2021.00087}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuZXZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaYWLWS21, author = {Ke Jia and Liang Yang and Jian Wang and Bin Lin and Hao Wang and Ruikai Shi}, title = {Resonance-Based Power-Efficient Pulse Generator Design with Corresponding Distribution Network}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {357--360}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00063}, doi = {10.1109/ICCD53106.2021.00063}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaYWLWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JoK21, author = {Kyeongrok Jo and Taewhan Kim}, title = {Optimal Transistor Placement Combined with Global In-cell Routing in Standard Cell Layout Synthesis}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {517--524}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00085}, doi = {10.1109/ICCD53106.2021.00085}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JoK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimWKC21, author = {Kwangrae Kim and Jeonghyun Woo and Junsu Kim and Ki{-}Seok Chung}, title = {HammerFilter: Robust Protection and Low Hardware Overhead Method for RowHammer}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {212--219}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00043}, doi = {10.1109/ICCD53106.2021.00043}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KimWKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KoSJLS21, author = {Yohan Ko and Hwisoo So and Jinhyo Jung and Kyoungwoo Lee and Aviral Shrivastava}, title = {Comprehensive Failure Analysis against Soft Errors from Hardware and Software Perspectives}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {204--207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00041}, doi = {10.1109/ICCD53106.2021.00041}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KoSJLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeZZ21, author = {Trung Le and Zhao Zhang and Zhichun Zhu}, title = {{POMI:} Polling-Based Memory Interface for Hybrid Memory System}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {447--455}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00076}, doi = {10.1109/ICCD53106.2021.00076}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeBLK21, author = {Cheng{-}Yen Lee and Kunal Bharathi and Joellen Lansford and Sunil P. Khatri}, title = {NIST-Lite: Randomness Testing of RNGs on an Energy-Constrained Platform}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {41--48}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00019}, doi = {10.1109/ICCD53106.2021.00019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeBLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeFLEK21, author = {Sugil Lee and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Fast and Low-Cost Mitigation of ReRAM Variability for Deep Learning Applications}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {269--276}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00051}, doi = {10.1109/ICCD53106.2021.00051}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeFLEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Li0D21, author = {Bingzhe Li and Bo Yuan and David Hung{-}Chang Du}, title = {{EFM:} Elastic Flash Management to Enhance Performance of Hybrid Flash Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {162--169}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00035}, doi = {10.1109/ICCD53106.2021.00035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Li0D21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiBHIC21, author = {Enliang Li and Subho S. Banerjee and Sitao Huang and Ravishankar K. Iyer and Deming Chen}, title = {Improved {GPU} Implementations of the Pair-HMM Forward Algorithm for {DNA} Sequence Alignment}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {299--306}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00055}, doi = {10.1109/ICCD53106.2021.00055}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiBHIC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiCL21, author = {Xinyu Li and Huimin Cui and Lei Liu}, title = {{NRHI:} {A} Concurrent Non-Rehashing Hash Index for Persistent Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {146--153}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00033}, doi = {10.1109/ICCD53106.2021.00033}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiD21, author = {Bingzhe Li and David H. C. Du}, title = {WAS-Deletion: Workload-Aware Secure Deletion Scheme for Solid-State Drives}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {244--247}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00047}, doi = {10.1109/ICCD53106.2021.00047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiTC21, author = {Zhengtao Li and Zhipeng Tan and Jianxi Chen}, title = {{HASDH:} {A} Hotspot-Aware and Scalable Dynamic Hashing for Hybrid {DRAM-NVM} Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {154--161}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00034}, doi = {10.1109/ICCD53106.2021.00034}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LianCZLZ21, author = {Zirui Lian and Jing Cao and Yanru Zuo and Weihong Liu and Zongwei Zhu}, title = {{AGQFL:} Communication-efficient Federated Learning via Automatic Gradient Quantization in Edge Heterogeneous Systems}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {551--558}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00089}, doi = {10.1109/ICCD53106.2021.00089}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LianCZLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Liu0B21, author = {Xinxin Liu and Yu Hua and Rong Bai}, title = {Consistent RDMA-Friendly Hashing on Remote Persistent Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {174--177}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00037}, doi = {10.1109/ICCD53106.2021.00037}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Liu0B21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Liu0LL21, author = {Xinxin Liu and Yu Hua and Xuan Li and Qifan Liu}, title = {Write-Optimized and Consistent RDMA-based Non-Volatile Main Memory Systems}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {248--251}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00048}, doi = {10.1109/ICCD53106.2021.00048}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Liu0LL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuKKLK21, author = {Siqin Liu and Sushanth Karmunchi and Avinash Karanth and Soumyasanta Laha and Savas Kaya}, title = {WiNN: Wireless Interconnect based Neural Network Accelerator}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {277--284}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00052}, doi = {10.1109/ICCD53106.2021.00052}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuKKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuWWX21, author = {Yujun Liu and Bing Wei and Jigang Wu and Limin Xiao}, title = {Erasure-Coded Multi-Block Updates Based on Hybrid Writes and Common XORs First}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {472--479}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00079}, doi = {10.1109/ICCD53106.2021.00079}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuWWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuZHWZYFLJ21, author = {Fangxin Liu and Wenbo Zhao and Zhezhi He and Zongwu Wang and Yilong Zhao and Tao Yang and Jingnai Feng and Xiaoyao Liang and Li Jiang}, title = {{SME:} ReRAM-based Sparse-Multiplication-Engine to Squeeze-Out Bit Sparsity of Neural Network}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {417--424}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00072}, doi = {10.1109/ICCD53106.2021.00072}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiuZHWZYFLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuWS21, author = {Xiaoyang Lu and Rujia Wang and Xian{-}He Sun}, title = {Premier: {A} Concurrency-Aware Pseudo-Partitioning Framework for Shared Last-Level Cache}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {391--394}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00068}, doi = {10.1109/ICCD53106.2021.00068}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LuWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Ma0X21, author = {Ruixiang Ma and Fei Wu and Changsheng Xie}, title = {Intelligent Prediction of Flash Lifetime via Online Domain Adaptation}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {488--491}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00081}, doi = {10.1109/ICCD53106.2021.00081}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Ma0X21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaSZT21, author = {Lixian Ma and En Shao and Yueyuan Zhou and Guangming Tan}, title = {WidePipe: High-Throughput Deep Learning Inference System on a Cluster of Neural Processing Units}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {563--566}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00091}, doi = {10.1109/ICCD53106.2021.00091}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaSZT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MingCZL21, author = {Jingdian Ming and Wei Cheng and Yongbin Zhou and Huizhong Li}, title = {{APT:} Efficient Side-Channel Analysis Framework against Inner Product Masking Scheme}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {575--582}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00093}, doi = {10.1109/ICCD53106.2021.00093}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MingCZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MorrisSRIAR21, author = {Justin Morris and Si Thu Kaung Set and Gadi Rosen and Mohsen Imani and Baris Aksanli and Tajana Rosing}, title = {AdaptBit-HD: Adaptive Model Bitwidth for Hyperdimensional Computing}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {93--100}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00026}, doi = {10.1109/ICCD53106.2021.00026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MorrisSRIAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NakamuraTKIS21, author = {Tomoki Nakamura and Kazutaka Tomida and Shouta Kouno and Hidetsugu Irie and Shuichi Sakai}, title = {Stochastic Iterative Approximation: Software/hardware techniques for adjusting aggressiveness of approximation}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {74--82}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00023}, doi = {10.1109/ICCD53106.2021.00023}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NakamuraTKIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NiknamPP21, author = {Sobhan Niknam and Anuj Pathania and Andy D. Pimentel}, title = {{T-TSP:} Transient-Temperature Based Safe Power Budgeting in Multi-/Many-Core Processors}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {500--508}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00083}, doi = {10.1109/ICCD53106.2021.00083}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NiknamPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PeiS21, author = {Qi Pei and Seunghee Shin}, title = {Improving the Heavy Re-encryption Overhead of Split Counter Mode Encryption for {NVM}}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {425--432}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00073}, doi = {10.1109/ICCD53106.2021.00073}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PeiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RahaGMMSBR21, author = {Arnab Raha and Soumendu Kumar Ghosh and Debabrata Mohapatra and Deepak A. Mathaikutty and Raymond Sung and Cormac Brick and Vijay Raghunathan}, title = {Special Session: Approximate TinyML Systems: Full System Approximations for Extreme Energy-Efficiency in Intelligent Edge Devices}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {13--16}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00015}, doi = {10.1109/ICCD53106.2021.00015}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RahaGMMSBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RajaeiNH21, author = {Ramin Rajaei and Michael T. Niemier and Xiaobo Sharon Hu}, title = {Low-Cost Sequential Logic Circuit Design Considering Single Event Double-Node Upsets and Single Event Transients}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {178--185}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00038}, doi = {10.1109/ICCD53106.2021.00038}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RajaeiNH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanasingheG21, author = {Anuradha Chathuranga Ranasinghe and Sabih H. Gerez}, title = {Novel Ultra-Low-Voltage Flip-Flops: Near-Vth Modeling and {VLSI} Integration}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {57--65}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00021}, doi = {10.1109/ICCD53106.2021.00021}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RanasingheG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RaoOKE21, author = {Vikas Rao and Haden Ondricek and Priyank Kalla and Florian Enescu}, title = {Rectification of Integer Arithmetic Circuits using Computer Algebra Techniques}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {186--195}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00039}, doi = {10.1109/ICCD53106.2021.00039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RaoOKE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ReimannHSML21, author = {Lennart M. Reimann and Luca Hanel and Dominik Sisejkovic and Farhad Merchant and Rainer Leupers}, title = {QFlow: Quantitative Information Flow for Security-Aware Hardware Design in Verilog}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {603--607}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00097}, doi = {10.1109/ICCD53106.2021.00097}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ReimannHSML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SaoA21, author = {Yogendra Sao and Sk Subidh Ali}, title = {Security Analysis of State-of-the-art Scan Obfuscation Technique}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {599--602}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00096}, doi = {10.1109/ICCD53106.2021.00096}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SaoA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShiLLLLS21, author = {Liang Shi and Longfei Luo and Yina Lv and Shicheng Li and Changlong Li and Edwin Hsing{-}Mean Sha}, title = {Understanding and Optimizing Hybrid {SSD} with High-Density and Low-Cost Flash Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {236--243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00046}, doi = {10.1109/ICCD53106.2021.00046}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ShiLLLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SinghWVK21, author = {Gian Singh and Ankit Wagle and Sarma B. K. Vrudhula and Sunil P. Khatri}, title = {{CIDAN:} Computing in {DRAM} with Artificial Neurons}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {349--356}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00062}, doi = {10.1109/ICCD53106.2021.00062}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SinghWVK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SutradharBDG21, author = {Purab Ranjan Sutradhar and Kanad Basu and Sai Manoj Pudukotai Dinakarrao and Amlan Ganguly}, title = {An Ultra-efficient Look-up Table based Programmable Processing in Memory Architecture for Data Encryption}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {252--259}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00049}, doi = {10.1109/ICCD53106.2021.00049}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SutradharBDG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangGWLZ21, author = {Xuan Wang and Lei Gong and Chao Wang and Xi Li and Xuehai Zhou}, title = {{UH-JLS:} {A} Parallel Ultra-High Throughput {JPEG-LS} Encoding Architecture for Lossless Image Compression}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {335--343}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00060}, doi = {10.1109/ICCD53106.2021.00060}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WangGWLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangLW021, author = {Yicheng Wang and Yang Liu and Peiyun Wu and Zhao Zhang}, title = {Discreet-PARA: Rowhammer Defense with Low Cost and High Efficiency}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {433--441}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00074}, doi = {10.1109/ICCD53106.2021.00074}, timestamp = {Sat, 26 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangLW021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangMMS21, author = {Zi Wang and Shayan Omais Mohammed and Yiorgos Makris and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Functional Locking through Omission: From {HLS} to Obfuscated Design}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {591--598}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00095}, doi = {10.1109/ICCD53106.2021.00095}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangMMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZLCM21, author = {Guang Wang and Ziyuan Zhu and Shuan Li and Xu Cheng and Dan Meng}, title = {Differential Testing of x86 Instruction Decoders with Instruction Operand Inferring Algorithm}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {196--203}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00040}, doi = {10.1109/ICCD53106.2021.00040}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZLCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuWSZWM21, author = {Suzhen Wu and Jiapeng Wu and Zhirong Shen and Zhihao Zhang and Zuocheng Wang and Bo Mao}, title = {SimiEncode: {A} Similarity-based Encoding Scheme to Improve Performance and Lifetime of Non-Volatile Main Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {220--227}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00044}, doi = {10.1109/ICCD53106.2021.00044}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WuWSZWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XieHL21, author = {Jiafeng Xie and Pengzhou He and Chiou{-}Yng Lee}, title = {{CROP:} {FPGA} Implementation of High-Performance Polynomial Multiplication in Saber {KEM} based on Novel Cyclic-Row Oriented Processing Strategy}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {130--137}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00031}, doi = {10.1109/ICCD53106.2021.00031}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XieHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YaoZW021, author = {Shangshang Yao and Liang Zhang and Qiong Wang and Li Shen}, title = {An Efficient Hybrid Parallel Compression Approximate Multiplier}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {109--116}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00028}, doi = {10.1109/ICCD53106.2021.00028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/YaoZW021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuDH0Y21, author = {Zhongming Yu and Guohao Dai and Guyue Huang and Yu Wang and Huazhong Yang}, title = {Exploiting Online Locality and Reduction Parallelism for Sampled Dense Matrix Multiplication on GPUs}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {567--574}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00092}, doi = {10.1109/ICCD53106.2021.00092}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuDH0Y21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangFZCLZG21, author = {Wei Zhang and Kaihua Fu and Ningxin Zheng and Quan Chen and Chao Li and Wenli Zheng and Minyi Guo}, title = {{CHARM:} Collaborative Host and Accelerator Resource Management for {GPU} Datacenters}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {307--315}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00056}, doi = {10.1109/ICCD53106.2021.00056}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangFZCLZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangJSWJW21, author = {Yucheng Zhang and Hong Jiang and Mengtian Shi and Chunzhi Wang and Nan Jiang and Xinyun Wu}, title = {A High-performance Post-deduplication Delta Compression Scheme for Packed Datasets}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {464--471}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00078}, doi = {10.1109/ICCD53106.2021.00078}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangJSWJW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangWJZXH21, author = {Shuyu Zhang and Donglei Wu and Haoyu Jin and Xiangyu Zou and Wen Xia and Xiaojia Huang}, title = {QD-Compressor: a Quantization-based Delta Compression Framework for Deep Neural Networks}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {542--550}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00088}, doi = {10.1109/ICCD53106.2021.00088}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangWJZXH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZS21, author = {Jinghan Zhang and Mehrshad Zandigohar and Gunar Schirner}, title = {RDP\({}^{\mbox{3}}\): Rapid Domain Platform Performance Prediction for Design Space Exploration}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {525--532}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00086}, doi = {10.1109/ICCD53106.2021.00086}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoZY21, author = {Lei Zhao and Youtao Zhang and Jun Yang}, title = {Flipping Bits to Share Crossbars in ReRAM-Based {DNN} Accelerator}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {17--24}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00016}, doi = {10.1109/ICCD53106.2021.00016}, timestamp = {Fri, 29 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhengAMKY21, author = {Hao Zheng and Md Rubel Ahmed and Parijat Mukherjee and Mahesh C. Ketkar and Jin Yang}, title = {Model Synthesis for Communication Traces of System Designs}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {492--499}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00082}, doi = {10.1109/ICCD53106.2021.00082}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhengAMKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouW0XL21, author = {You Zhou and Ke Wang and Fei Wu and Changsheng Xie and Hao Lv}, title = {Seer-SSD: Bridging Semantic Gap between Log-Structured File Systems and SSDs to Reduce {SSD} Write Amplification}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {49--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00020}, doi = {10.1109/ICCD53106.2021.00020}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouW0XL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZouZCK21, author = {Chen Zou and Hui Zhang and Andrew A. Chien and Yang{-}Seok Ki}, title = {{PSACS:} Highly-Parallel Shuffle Accelerator on Computational Storage}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {480--487}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00080}, doi = {10.1109/ICCD53106.2021.00080}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZouZCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2021, title = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021}, doi = {10.1109/ICCD53106.2021}, isbn = {978-1-6654-3219-1}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AgnesinaSYKCNL20, author = {Anthony Agnesina and Da Eun Shim and James Yamaguchi and Christian Krutzik and John Carson and Dan Nakamura and Sung Kyu Lim}, title = {A Fault-Tolerant and High-Speed Memory Controller Targeting 3D Flash Memory Cubes for Space Applications}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {425--432}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00078}, doi = {10.1109/ICCD50377.2020.00078}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AgnesinaSYKCNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AgrawalBK20, author = {Rashmi S. Agrawal and Lake Bu and Michel A. Kinsy}, title = {Quantum-Proof Lightweight McEliece Cryptosystem Co-processor Design}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {73--79}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00029}, doi = {10.1109/ICCD50377.2020.00029}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AgrawalBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhmedSC20, author = {Farid Uddin Ahmed and Zarin Tasnim Sandhie and Masud H. Chowdhury}, title = {An Implementation of External Capacitor-less Low-DropOut Voltage Regulator in 45nm Technology with Output Voltage Ranging from 0.4V-1.2V}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {453--456}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00082}, doi = {10.1109/ICCD50377.2020.00082}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AhmedSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AnikEPDGK20, author = {Md Toufiq Hasan Anik and Mohammad Ebrahimabadi and Hamed Pirsiavash and Jean{-}Luc Danger and Sylvain Guilley and Naghmeh Karimi}, title = {On-Chip Voltage and Temperature Digital Sensor for Security, Reliability, and Portability}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {506--509}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00091}, doi = {10.1109/ICCD50377.2020.00091}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AnikEPDGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AsgariHK20, author = {Bahar Asgari and Ramyad Hadidi and Hyesoon Kim}, title = {{MEISSA:} Multiplying Matrices Efficiently in a Scalable Systolic Architecture}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {130--137}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00036}, doi = {10.1109/ICCD50377.2020.00036}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AsgariHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AugustineRJM20, author = {Joe Augustine and Kanakagiri Raghavendra and John Jose and Madhu Mutyam}, title = {Router Buffer Caching for Managing Shared Cache Blocks in Tiled Multi-Core Processors}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {239--246}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00050}, doi = {10.1109/ICCD50377.2020.00050}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AugustineRJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BambiniBCTBBB20, author = {Giovanni Bambini and Robert Balas and Christian Conficoni and Andrea Tilli and Luca Benini and Simone Benatti and Andrea Bartolini}, title = {An Open-Source Scalable Thermal and Power Controller for {HPC} Processors}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {364--367}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00067}, doi = {10.1109/ICCD50377.2020.00067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BambiniBCTBBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BankarSCQ20, author = {Ajinkya S. Bankar and Shi Sha and Vivek Chaturvedi and Gang Quan}, title = {Thermal Aware Lifetime Reliability Optimization for Automotive Distributed Computing Applications}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {498--505}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00090}, doi = {10.1109/ICCD50377.2020.00090}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BankarSCQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaoCZWZ20, author = {Ning Bao and Yunpeng Chai and Yuxuan Zhang and Chuanwen Wang and Dafang Zhang}, title = {More Space may be Cheaper: Multi-Dimensional Resource Allocation for NVM-based Cloud Cache}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {565--572}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00100}, doi = {10.1109/ICCD50377.2020.00100}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaoCZWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaruaF20, author = {Anomadarshi Barua and Mohammad Abdullah Al Faruque}, title = {Special Session: Noninvasive Sensor-Spoofing Attacks on Embedded and Cyber-Physical Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {45--48}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00024}, doi = {10.1109/ICCD50377.2020.00024}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaruaF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BharathiHK20, author = {Kunal Bharathi and Jiang Hu and Sunil P. Khatri}, title = {Scaled Population Subtraction for Approximate Computing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {348--355}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00065}, doi = {10.1109/ICCD50377.2020.00065}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BharathiHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChakaravarthyJ20, author = {Ravikumar V. Chakaravarthy and Hua Jiang}, title = {Special Session: {XTA:} Open Source eXtensible, Scalable and Adaptable Tensor Architecture for {AI} Acceleration}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {53--56}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00026}, doi = {10.1109/ICCD50377.2020.00026}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChakaravarthyJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangZWLOM20, author = {Qiong Chang and Aolong Zha and Weimin Wang and Xin Liu and Masaki Onishi and Tsutomu Maruyama}, title = {{Z2-ZNCC:} ZigZag Scanning based Zero-means Normalized Cross Correlation for Fast and Accurate Stereo Matching on Embedded {GPU}}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {597--600}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00104}, doi = {10.1109/ICCD50377.2020.00104}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangZWLOM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenLLGS20, author = {Hui Chen and Yina Lv and Changlong Li and Shouzhen Gu and Liang Shi}, title = {An Empirical Study of Hybrid {SSD} with Optane and {QLC} Flash}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {175--178}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00042}, doi = {10.1109/ICCD50377.2020.00042}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChenLLGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenRSL20, author = {Jianhao Chen and Joseph Riad and Edgar S{\'{a}}nchez{-}Sinencio and Peng Li}, title = {Dynamic Heterogeneous Voltage Regulation for Systolic Array-Based {DNN} Accelerators}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {486--493}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00088}, doi = {10.1109/ICCD50377.2020.00088}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenRSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiKK20, author = {Hyunjong Choi and Mohsen Karimi and Hyoseung Kim}, title = {Chain-Based Fixed-Priority Scheduling of Loosely-Dependent Tasks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {631--639}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00109}, doi = {10.1109/ICCD50377.2020.00109}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChoiKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChowdhuryyLY20, author = {Md Hafizul Islam Chowdhuryy and Hang Liu and Fan Yao}, title = {BranchSpec: Information Leakage Attacks Exploiting Speculative Branch Instruction Executions}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {529--536}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00095}, doi = {10.1109/ICCD50377.2020.00095}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChowdhuryyLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChuCH20, author = {Wei Chu and Wei{-}Hao Chen and Shi{-}Yu Huang}, title = {Duty-Cycle Correction For {A} Super-Wide Frequency Range from 10MHz to 1.2GHz}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {457--460}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00083}, doi = {10.1109/ICCD50377.2020.00083}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChuCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Ciesielski20, author = {Maciej Ciesielski}, title = {Message from the General Chair {ICCD} 2020}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {1}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00005}, doi = {10.1109/ICCD50377.2020.00005}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Ciesielski20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DasKJ20, author = {Abhijit Das and Abhishek Kumar and John Jose}, title = {Reducing Off-Chip Miss Penalty by Exploiting Underutilised On-Chip Router Buffers}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {230--238}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00049}, doi = {10.1109/ICCD50377.2020.00049}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DasKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DhananjayS20, author = {Krithika Dhananjay and Emre Salman}, title = {Special Session: Adiabatic Circuits for Energy-Efficient and Secure IoT Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {17--20}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00017}, doi = {10.1109/ICCD50377.2020.00017}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DhananjayS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuL20, author = {Xiaoming Du and Cong Li}, title = {{DPCLS:} Improving Partial Cache Line Sparing with Dynamics for Memory Error Prevention}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {197--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00045}, doi = {10.1109/ICCD50377.2020.00045}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DuL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuSD20, author = {Jiangsu Du and Minghua Shen and Yunfei Du}, title = {A Distributed In-Situ {CNN} Inference System for IoT Applications}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {279--287}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00055}, doi = {10.1109/ICCD50377.2020.00055}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DuSD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EbrahimK20, author = {Ali Ebrahim and Jalal Khlaifat}, title = {An Efficient Hardware Architecture for Finding Frequent Items in Data Streams}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {113--119}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00034}, doi = {10.1109/ICCD50377.2020.00034}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/EbrahimK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EshratifarP20, author = {Amir Erfan Eshratifar and Massoud Pedram}, title = {Runtime Deep Model Multiplexing for Reduced Latency and Energy Consumption Inference}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {263--270}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00053}, doi = {10.1109/ICCD50377.2020.00053}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EshratifarP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FalasKM20, author = {Solon Falas and Charalambos Konstantinou and Maria K. Michael}, title = {Special Session: Physics- Informed Neural Networks for Securing Water Distribution Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {37--40}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00022}, doi = {10.1109/ICCD50377.2020.00022}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FalasKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FanFLQNL20, author = {Hongxiang Fan and Martin Ferianc and Shuanglong Liu and Zhiqiang Que and Xinyu Niu and Wayne Luk}, title = {Optimizing FPGA-Based {CNN} Accelerator Using Differentiable Neural Architecture Search}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {465--468}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00085}, doi = {10.1109/ICCD50377.2020.00085}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FanFLQNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FernandezQGPGAG20, author = {Ivan Fernandez and Ricardo Quislant and Eladio Guti{\'{e}}rrez and Oscar G. Plata and Christina Giannoula and Mohammed Alser and Juan G{\'{o}}mez{-}Luna and Onur Mutlu}, title = {{NATSA:} {A} Near-Data Processing Accelerator for Time Series Analysis}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {120--129}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00035}, doi = {10.1109/ICCD50377.2020.00035}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FernandezQGPGAG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FrankBCHJMST20, author = {Michael P. Frank and Robert W. Brocato and Thomas M. Conte and Alexander H. Hsia and Anirudh Jain and Nancy A. Missert and Karpur Shukla and Brian D. Tierney}, title = {Special Session: Exploring the Ultimate Limits of Adiabatic Circuits}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {21--24}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00018}, doi = {10.1109/ICCD50377.2020.00018}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/FrankBCHJMST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FuSL20, author = {Yingxun Fu and Yao Sun and Tao Li}, title = {QuPAA: Exploiting Parallel and Adaptive Architecture to Scale up Quantum Computing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {138--145}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00037}, doi = {10.1109/ICCD50377.2020.00037}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/FuSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GlintSAM20, author = {Tom Glint and Jitesh Sah and Manu Awasthi and Joycee Mekie}, title = {ANSim: {A} Fast and Versatile Asynchronous Network-On-Chip Simulator}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {619--622}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00107}, doi = {10.1109/ICCD50377.2020.00107}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GlintSAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GoliMD20, author = {Mehran Goli and Alireza Mahzoon and Rolf Drechsler}, title = {ASCHyRO: Automatic Fault Localization of SystemC {HLS} Designs Using a Hybrid Accurate Rank Ordering Technique}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {179--186}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00043}, doi = {10.1109/ICCD50377.2020.00043}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GoliMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoPZCL20, author = {Haoqiang Guo and Lu Peng and Jian Zhang and Qing Chen and Travis LeCompte}, title = {{ATT:} {A} Fault-Tolerant ReRAM Accelerator for Attention-based Neural Networks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {213--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00047}, doi = {10.1109/ICCD50377.2020.00047}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuoPZCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeMZLWYW20, author = {Zhuolun He and Yuzhe Ma and Lu Zhang and Peiyu Liao and Ngai Wong and Bei Yu and Martin D. F. Wong}, title = {Learn to Floorplan through Acquisition of Effective Local Search Heuristics}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {324--331}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00061}, doi = {10.1109/ICCD50377.2020.00061}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HeMZLWYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeWF20, author = {Wenpeng He and Fang Wang and Dan Feng}, title = {{H2ORAM:} Low Response Latency Optimized {ORAM} for Hybrid Memory Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {405--408}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00074}, doi = {10.1109/ICCD50377.2020.00074}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HeWF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeWFWG20, author = {Xu He and Yipei Wang and Zhiyong Fu and Yao Wang and Yang Guo}, title = {Maximum Clique Based Method for Optimal Solution of Pattern Classification}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {304--311}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00058}, doi = {10.1109/ICCD50377.2020.00058}, timestamp = {Sun, 23 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HeWFWG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HerdtGTD20, author = {Vladimir Herdt and Daniel Gro{\ss}e and S{\"{o}}ren Tempel and Rolf Drechsler}, title = {Adaptive Simulation with Virtual Prototypes for {RISC-V:} Switching Between Fast and Accurate at Runtime}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {312--315}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00059}, doi = {10.1109/ICCD50377.2020.00059}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HerdtGTD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangT20, author = {Chao{-}Hsuan Huang and Ishan G. Thakkar}, title = {Improving the Latency-Area Tradeoffs for {DRAM} Design with Coarse-Grained Monolithic 3D {(M3D)} Integration}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {417--420}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00076}, doi = {10.1109/ICCD50377.2020.00076}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IslamKBK20, author = {Md. Shohidul Islam and Abraham Peedikayil Kuruvila and Kanad Basu and Khaled N. Khasawneh}, title = {ND-HMDs: Non-Differentiable Hardware Malware Detectors against Evasive Transient Execution Attacks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {537--544}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00096}, doi = {10.1109/ICCD50377.2020.00096}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IslamKBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangZDYWGA20, author = {Zhe Jiang and Shuai Zhao and Pan Dong and Dawei Yang and Ran Wei and Nan Guan and Neil C. Audsley}, title = {Re-Thinking Mixed-Criticality Architecture for Automotive Industry}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {510--517}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00092}, doi = {10.1109/ICCD50377.2020.00092}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/JiangZDYWGA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JinSSZT20, author = {Wentian Jin and Sheriff Sadiqbatcha and Zeyu Sun and Han Zhou and Sheldon X.{-}D. Tan}, title = {{EM-GAN:} Data-Driven Fast Stress Analysis for Multi-Segment Interconnects}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {296--303}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00057}, doi = {10.1109/ICCD50377.2020.00057}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JinSSZT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KadomotoIS20, author = {Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {Design of Shape-Changeable Chiplet-Based Computers Using an Inductively Coupled Wireless Bus Interface}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {589--596}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00103}, doi = {10.1109/ICCD50377.2020.00103}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KadomotoIS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangPPK20, author = {Ki{-}Dong Kang and Hyungwon Park and Gyeongseo Park and Daehoon Kim}, title = {Improving the Efficiency of Power Management via Dynamic Interrupt Management}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {377--380}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00069}, doi = {10.1109/ICCD50377.2020.00069}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KangPPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimCRDTSML20, author = {Jinwoo Kim and Venkata Chaitanya Krishna Chekuri and Nael Mizanur Rahman and Majid Ahadi Dolatsara and Hakki Mert Torun and Madhavan Swaminathan and Saibal Mukhopadhyay and Sung Kyu Lim}, title = {Silicon vs. Organic Interposer: {PPA} and Reliability Tradeoffs in Heterogeneous 2.5D Chiplet Integration}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {80--87}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00030}, doi = {10.1109/ICCD50377.2020.00030}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimCRDTSML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimNH20, author = {Beomjun Kim and Prashant J. Nair and Seokin Hong}, title = {{ADAM:} Adaptive Block Placement with Metadata Embedding for Hybrid Caches}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {421--424}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00077}, doi = {10.1109/ICCD50377.2020.00077}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimNH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KrishnamurthyH20, author = {Rahul Krishnamurthy and Michael S. Hsiao}, title = {Transforming Natural Language Specifications to Logical Forms for Hardware Verification}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {393--396}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00072}, doi = {10.1109/ICCD50377.2020.00072}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KrishnamurthyH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KuanA20, author = {Kyle Kuan and Tosiron Adegbija}, title = {A Study of Runtime Adaptive Prefetching for {STTRAM} {L1} Caches}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {247--254}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00051}, doi = {10.1109/ICCD50377.2020.00051}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KuanA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeL20, author = {Jeong{-}Jun Lee and Peng Li}, title = {Reconfigurable Dataflow Optimization for Spatiotemporal Spiking Neural Computation on Systolic Array Accelerators}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {57--64}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00027}, doi = {10.1109/ICCD50377.2020.00027}, timestamp = {Sat, 18 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeipnitzN20, author = {Marcos T. Leipnitz and Gabriel L. Nazar}, title = {Throughput-Oriented Spatio-Temporal Optimization in Approximate High-Level Synthesis}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {316--323}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00060}, doi = {10.1109/ICCD50377.2020.00060}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeipnitzN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiCJPW20, author = {Yongjian Li and Taifeng Cao and David N. Jansen and Jun Pang and Xiaotao Wei}, title = {Accelerated Verification of Parametric Protocols with Decision Trees}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {397--404}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00073}, doi = {10.1109/ICCD50377.2020.00073}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiCJPW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiWYXXL20, author = {Wei Li and Libing Wu and Mengting Yuan and Chun Jason Xue and Jingling Xue and Qingan Li}, title = {Loop2Recursion: Compiler-Assisted Wear Leveling for Non-Volatile Memory}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {581--588}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00102}, doi = {10.1109/ICCD50377.2020.00102}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiWYXXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuWS20, author = {Xiaoyang Lu and Rujia Wang and Xian{-}He Sun}, title = {{APAC:} An Accurate and Adaptive Prefetch Framework with Concurrent Memory Access Analysis}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {222--229}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00048}, doi = {10.1109/ICCD50377.2020.00048}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LuWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuYDZ20, author = {Zhiyuan Lu and Jianhui Yue and Yifu Deng and Yifeng Zhu}, title = {Improving the Performance of {NVM} Crash Consistency under Multicore}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {561--564}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00099}, doi = {10.1109/ICCD50377.2020.00099}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuYDZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoGRFX20, author = {Yukui Luo and Cheng Gongye and Shaolei Ren and Yunsi Fei and Xiaolin Xu}, title = {Stealthy-Shutdown: Practical Remote Power Attacks in Multi - Tenant FPGAs}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {545--552}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00097}, doi = {10.1109/ICCD50377.2020.00097}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LuoGRFX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoLKL20, author = {Xiangzhong Luo and Di Liu and Hao Kong and Weichen Liu}, title = {EdgeNAS: Discovering Efficient Neural Architectures for Edge Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {288--295}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00056}, doi = {10.1109/ICCD50377.2020.00056}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuoLKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaTJYLCZSW20, author = {Zhulin Ma and Yujuan Tan and Hong Jiang and Zhichao Yan and Duo Liu and Xianzhang Chen and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chengliang Wang}, title = {Unified-TP: {A} Unified {TLB} and Page Table Cache Structure for Efficient Address Translation}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {255--262}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00052}, doi = {10.1109/ICCD50377.2020.00052}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MaTJYLCZSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MahmoudVACHC20, author = {Abdulqader Nael Mahmoud and Frederic Vanderveken and Christoph Adelmann and Florin Ciubotaru and Said Hamdioui and Sorin Cotofana}, title = {4-output Programmable Spin Wave Logic Gate}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {332--335}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00062}, doi = {10.1109/ICCD50377.2020.00062}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MahmoudVACHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MajumdarS20, author = {Ritajit Majumdar and Susmita Sur{-}Kolay}, title = {Special Session: Quantum Error Correction in Near Term Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {9--12}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00015}, doi = {10.1109/ICCD50377.2020.00015}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MajumdarS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MercierKKHC20, author = {Romain Mercier and C{\'{e}}dric Killian and Angeliki Kritikakou and Youri Helen and Daniel Chillet}, title = {Multiple Permanent Faults Mitigation Through Bit-Shuffling for Network-an-Chip Architecture}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {205--212}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00046}, doi = {10.1109/ICCD50377.2020.00046}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MercierKKHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MohanWJNSM20, author = {Prashanth Mohan and Wen Wang and Bernhard Jungk and Ruben Niederhagen and Jakub Szefer and Ken Mai}, title = {{ASIC} Accelerator in 28 nm for the Post-Quantum Digital Signature Scheme {XMSS}}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {656--662}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00112}, doi = {10.1109/ICCD50377.2020.00112}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MohanWJNSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MultanenHJ20, author = {Joonas Multanen and Kari Hepola and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen}, title = {Programmable Dictionary Code Compression for Instruction Stream Energy Efficiency}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {356--363}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00066}, doi = {10.1109/ICCD50377.2020.00066}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MultanenHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MuthappaNPH20, author = {Ponnanna Kelettira Muthappa and Florian Neugebauer and Ilia Polian and John P. Hayes}, title = {Hardware-based Fast Real-time Image Classification with Stochastic Computing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {340--347}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00064}, doi = {10.1109/ICCD50377.2020.00064}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MuthappaNPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NgoDM20, author = {Kalle Ngo and Elena Dubrova and Michail Moraitis}, title = {Attacking Trivium at the Bitstream Level}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {640--647}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00110}, doi = {10.1109/ICCD50377.2020.00110}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NgoDM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OguraT20, author = {Kohei Ogura and Yasuhiro Takahashi}, title = {Special Session: An Adiabatic Logic Based Silicon Physical Unclonable Function}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {29--32}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00020}, doi = {10.1109/ICCD50377.2020.00020}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OguraT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanSM20, author = {Zhixin Pan and Jennifer Sheldon and Prabhat Mishra}, title = {Hardware-Assisted Malware Detection using Explainable Machine Learning}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {663--666}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00113}, doi = {10.1109/ICCD50377.2020.00113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/PanSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanthoBB20, author = {Md Jubaer Hossain Pantho and Pankaj Bhowmik and Christophe Bobda}, title = {Near-Sensor Inference Architecture with Region Aware Processing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {271--278}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00054}, doi = {10.1109/ICCD50377.2020.00054}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanthoBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkNC20, author = {Sangyoung Park and Swaminathan Narayanaswamy and Samarjit Chakraborty}, title = {Design- Time Optimization of Reconfigurable {PV} Architectures for Irregular Surfaces}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {518--524}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00093}, doi = {10.1109/ICCD50377.2020.00093}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ParkNC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QinZL20, author = {Yaobin Qin and Xianbo Zhang and David J. Lilja}, title = {{PBCCF:} Accelerated Deduplication by Prefetching Backup Content Correlated Fingerprints}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {146--154}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00038}, doi = {10.1109/ICCD50377.2020.00038}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QinZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanasingheG20, author = {Anuradha Chathuranga Ranasinghe and Sabih H. Gerez}, title = {{MEPNTC:} {A} Standard-Cell Library Design Scheme Extending the Minimum-Energy-Point Operation of Near-{\textdollar}V{\_}\{th\}{\textdollar} Computing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {96--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00032}, doi = {10.1109/ICCD50377.2020.00032}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RanasingheG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShehabyS20, author = {Raghda El Shehaby and Andreas Steininger}, title = {On the Effects of Permanent Faults in {QDI} Circuits - {A} Quantitative Perspective}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {441--444}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00080}, doi = {10.1109/ICCD50377.2020.00080}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ShehabyS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SreekumarCS20, author = {Rohit Sreekumar and Prattay Chowdhury and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Bespoke Behavioral Processors}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {336--339}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00063}, doi = {10.1109/ICCD50377.2020.00063}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SreekumarCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TanXLBT20, author = {Cheng Tan and Chenhao Xie and Ang Li and Kevin J. Barker and Antonino Tumeo}, title = {OpenCGRA: An Open-Source Unified Framework for Modeling, Testing, and Evaluating CGRAs}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {381--388}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00070}, doi = {10.1109/ICCD50377.2020.00070}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TanXLBT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThapliyalK20, author = {Himanshu Thapliyal and S. Dinesh Kumar}, title = {Special Session: {A} Novel Low-Power and Energy-Efficient Adiabatic Logic-In-Memory Architecture Using {CMOS/MTJ}}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {25--28}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00019}, doi = {10.1109/ICCD50377.2020.00019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ThapliyalK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThapliyalMK20, author = {Himanshu Thapliyal and Edgard Mu{\~{n}}oz{-}Coreas and Vladislav Khalus}, title = {Special Session: Quantum Carry Lookahead Adders for {NISQ} and Quantum Image Processing}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {5--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00014}, doi = {10.1109/ICCD50377.2020.00014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ThapliyalMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThirumalaRRG20, author = {Sandeep Krishna Thirumala and Arnab Raha and Vijay Raghunathan and Sumeet Kumar Gupta}, title = {IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems with Compute-in-Memory}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {368--376}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00068}, doi = {10.1109/ICCD50377.2020.00068}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ThirumalaRRG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThompsonS20, author = {S. Ross Thompson and James E. Stine}, title = {A Novel Rounding Algorithm for a High Performance {IEEE} 754 Double-Precision Floating-Point Multiplier}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {445--452}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00081}, doi = {10.1109/ICCD50377.2020.00081}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ThompsonS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TychalasM20, author = {Dimitrios Tychalas and Michail Maniatakos}, title = {Special Session: Potentially Leaky Controller: Examining Cache Side-Channel Attacks in Programmable Logic Controllers}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {33--36}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00021}, doi = {10.1109/ICCD50377.2020.00021}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TychalasM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VolyaM20, author = {Daniel Volya and Prabhat Mishra}, title = {Special Session: Impact of Noise on Quantum Algorithms in Noisy Intermediate-Scale Quantum Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00013}, doi = {10.1109/ICCD50377.2020.00013}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/VolyaM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WagleKV20, author = {Ankit Wagle and Sunil P. Khatri and Sarma B. K. Vrudhula}, title = {A Configurable {BNN} {ASIC} using a Network of Programmable Threshold Logic Standard Cells}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {433--440}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00079}, doi = {10.1109/ICCD50377.2020.00079}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WagleKV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangC20, author = {Chundong Wang and Sudipta Chattopadhyay}, title = {Isle-Tree: {A} B+-Tree with Intra-Cache Line Sorted Leaves for Non-volatile Memory}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {573--580}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00101}, doi = {10.1109/ICCD50377.2020.00101}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangCHFWP20, author = {Wei Wang and Lei Cui and Zhiyu Hao and Haiqiang Fei and Chonghua Wang and Yaqiong Peng}, title = {pRnR: {A} Parallel Record-Replay Framework for Virtual Machines}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {610--618}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00106}, doi = {10.1109/ICCD50377.2020.00106}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangCHFWP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangSKSRH20, author = {Han Wang and Hossein Sayadi and Gaurav Kolhe and Avesta Sasan and Setareh Rafatirad and Houman Homayoun}, title = {Phased-Guard: Multi-Phase Machine Learning Framework for Detection and Identification of Zero-Day Microarchitectural Side-Channel Attacks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {648--655}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00111}, doi = {10.1109/ICCD50377.2020.00111}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangSKSRH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZ20, author = {Xin Wang and Wei Zhang}, title = {pacSCA: {A} Profiling-Assisted Correlation-based Side-Channel Attack on GPUs}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {525--528}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00094}, doi = {10.1109/ICCD50377.2020.00094}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZL20, author = {Xiaowei Wang and Li Zhao and Pengcheng Li}, title = {High Throughput {CNN} Inference and Training with In-Cache Computation}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {461--464}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00084}, doi = {10.1109/ICCD50377.2020.00084}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Wood20, author = {Christopher J. Wood}, title = {Special Session: Noise Characterization and Error Mitigation in Near-Term Quantum Computers}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {13--16}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00016}, doi = {10.1109/ICCD50377.2020.00016}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Wood20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuDFWZ20, author = {Jin Wu and Jian Dong and Ruili Fang and Wenwen Wang and Decheng Zuo}, title = {PerfDBT: Efficient Performance Regression Testing of Dynamic Binary Translation}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {389--392}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00071}, doi = {10.1109/ICCD50377.2020.00071}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WuDFWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuPFT20, author = {Bing Wu and Mengye Peng and Dan Feng and Wei Tong}, title = {DualFS: {A} Coordinative Flash File System with Flash Block Dual-mode Switching}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {65--72}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00028}, doi = {10.1109/ICCD50377.2020.00028}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuPFT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuZZJHSM20, author = {Suzhen Wu and Jindong Zhou and Weidong Zhu and Hong Jiang and Zhijie Huang and Zhirong Shen and Bo Mao}, title = {EaD: a Collision-free and High Performance Deduplication Scheme for Flash Storage Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {155--162}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00039}, doi = {10.1109/ICCD50377.2020.00039}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WuZZJHSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaoFWZFL20, author = {Chunhua Xiao and Zipei Feng and Ting Wu and Lin Zhang and Xiaoxiang Fu and Weichen Liu}, title = {{COSMA:} An Efficient Concurrency-Oriented Space Management Scheme for In-memory File Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {163--166}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00040}, doi = {10.1109/ICCD50377.2020.00040}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/XiaoFWZFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuCWLWZLC20, author = {Dawen Xu and Cheng Chu and Qianlong Wang and Cheng Liu and Ying Wang and Lei Zhang and Huaguo Liang and Kwang{-}Ting Cheng}, title = {A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {478--485}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00087}, doi = {10.1109/ICCD50377.2020.00087}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuCWLWZLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuMWG20, author = {Rui Xu and Sheng Ma and Yaohua Wang and Yang Guo}, title = {{CMSA:} Configurable Multi-directional Systolic Array for Convolutional Neural Networks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {494--497}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00089}, doi = {10.1109/ICCD50377.2020.00089}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuMWG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuSZGS20, author = {Rui Xu and Edwin H.{-}M. Sha and Qingfeng Zhuge and Shouzhen Gu and Liang Shi}, title = {Optimizing Data Placement for Hybrid {SPM} with {SRAM} and Racetrack Memory}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {409--416}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00075}, doi = {10.1109/ICCD50377.2020.00075}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuSZGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangZGFW20, author = {Wei Yang and Hailong Zhang and Yansong Gao and Anmin Fu and Songjie Wei}, title = {Side-Channel Leakage Detection Based on Constant Parameter Channel Model}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {553--560}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00098}, doi = {10.1109/ICCD50377.2020.00098}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangZGFW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YavitsOMFEGM20, author = {Leonid Yavits and Lois Orosa and Suyash Mahar and Jo{\~{a}}o Dinis Ferreira and Mattan Erez and Ran Ginosar and Onur Mutlu}, title = {WoLFRaM: Enhancing Wear-Leveling and Fault Tolerance in Resistive Memories using Programmable Address Decoders}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {187--196}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00044}, doi = {10.1109/ICCD50377.2020.00044}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YavitsOMFEGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuHZJ20, author = {Feng Yu and Yaodan Hu and Teng Zhang and Yier Jin}, title = {Special Issue: Resilient Distributed Estimator with Information Consensus for {CPS} Security}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {41--44}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00023}, doi = {10.1109/ICCD50377.2020.00023}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/YuHZJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZengZDGSC20, author = {Xi Zeng and Tian Zhi and Zidong Du and Qi Guo and Ninghui Sun and Yunji Chen}, title = {{ALT:} Optimizing Tensor Compilation in Deep Learning Compilers with Active Learning}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {623--630}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00108}, doi = {10.1109/ICCD50377.2020.00108}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZengZDGSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZengZWYLXG20, author = {Heming Zeng and Chi Zhang and Chentao Wu and Gen Yang and Jie Li and Guangtao Xue and Minyi Guo}, title = {{FAGR:} An Efficient File-aware Graph Recovery Scheme for Erasure Coded Cloud Storage Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {105--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00033}, doi = {10.1109/ICCD50377.2020.00033}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZengZWYLXG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhanWFT20, author = {Tianqi Zhan and Xianpeng Wang and Dan Feng and Wei Tong}, title = {AetEC: Adaptive error-tolerant Erasure Coding Scheme Within SSDs}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {167--174}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00041}, doi = {10.1109/ICCD50377.2020.00041}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhanWFT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangJH20, author = {Xinyi Zhang and Weiwen Jiang and Jingtong Hu}, title = {Achieving Full Parallelism in {LSTM} via a Unified Accelerator Design}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {469--477}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00086}, doi = {10.1109/ICCD50377.2020.00086}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangJH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoXLZZR20, author = {Haoran Zhao and Tian Xia and Chenyang Li and Wenzhe Zhao and Nanning Zheng and Pengju Ren}, title = {Exploring Better Speculation and Data Locality in Sparse Matrix-Vector Multiplication on Intel Xeon}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {601--609}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00105}, doi = {10.1109/ICCD50377.2020.00105}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoXLZZR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoZMSLLZ20, author = {Hengyu Zhao and Yubo Zhang and Pingfan Meng and Hui Shi and Li Erran Li and Tiancheng Lou and Jishen Zhao}, title = {Driving Scenario Perception-Aware Computing System Design in Autonomous Vehicles}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {88--95}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00031}, doi = {10.1109/ICCD50377.2020.00031}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoZMSLLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZografopoulosOK20, author = {Ioannis Zografopoulos and Juan Ospina and Charalambos Konstantinou}, title = {Special Session: Harness the Power of DERs for Secure Communications in Electric Energy Systems}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {49--52}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00025}, doi = {10.1109/ICCD50377.2020.00025}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZografopoulosOK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2020, title = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020}, doi = {10.1109/ICCD50377.2020}, isbn = {978-1-7281-9710-4}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbelNG19, author = {Inga Abel and Maximilian Neuner and Helmut Graeb}, title = {Constraint-Programmed Initial Sizing of Analog Operational Amplifiers}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {413--421}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00065}, doi = {10.1109/ICCD46524.2019.00065}, timestamp = {Tue, 18 Feb 2020 15:29:20 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbelNG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbrishamiPN19, author = {Mohammad Saeed Abrishami and Massoud Pedram and Shahin Nazarian}, title = {{CSM-NN:} Current Source Model Based Logic Circuit Simulation - {A} Neural Network Approach}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {393--400}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00061}, doi = {10.1109/ICCD46524.2019.00061}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbrishamiPN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhmedA19, author = {Mohammed Salman Ahmed and Zia Abbas}, title = {A Memetic Algorithm Based {PVT} Variation-Aware Robust Transistor Sizing Scheme for Power-Delay Optimal Digital Standard Cell Design}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {385--392}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00060}, doi = {10.1109/ICCD46524.2019.00060}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AhmedA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AntoniadisMESP19, author = {Charalampos Antoniadis and Milan Mihajlovic and Nestor E. Evmorfopoulos and Georgios I. Stamoulis and Vasilis F. Pavlidis}, title = {Efficient Linear System Solution Techniques in the Simulation of Large Dense Mutually Inductive Circuits}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {405--408}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00063}, doi = {10.1109/ICCD46524.2019.00063}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AntoniadisMESP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BeaumontM19, author = {Jonathan Beaumont and Trevor N. Mudge}, title = {Fine-Grained Management of Thread Blocks for Irregular Applications}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {283--292}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00042}, doi = {10.1109/ICCD46524.2019.00042}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BeaumontM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BelliniCMMMM19, author = {Emanuele Bellini and Florian Caullery and Rusydi H. Makarim and Marc Manzano and Chiara Marcolla and V{\'{\i}}ctor Mateu}, title = {Advances and Challenges of Rank Metric Cryptography Implementations}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {325--328}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00051}, doi = {10.1109/ICCD46524.2019.00051}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BelliniCMMMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BidmeshkiSM19, author = {Mohammad{-}Mahdi Bidmeshki and Kiruba Sankaran Subramani and Yiorgos Makris}, title = {Revisiting Capacitor-Based Trojan Design}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {309--312}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00047}, doi = {10.1109/ICCD46524.2019.00047}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BidmeshkiSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaiCRLYW19, author = {Ruizhe Cai and Olivia Chen and Ao Ren and Ning Liu and Nobuyuki Yoshikawa and Yanzhi Wang}, title = {A Buffer and Splitter Insertion Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {429--436}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00067}, doi = {10.1109/ICCD46524.2019.00067}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaiCRLYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarpentierTB19, author = {Eleonore Carpentier and Corentin Thomasset and J{\'{e}}r{\'{e}}my Briffaut}, title = {Bridging The Gap: Data Exfiltration In Highly Secured Environments Using Bluetooth IoTs}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {297--300}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00044}, doi = {10.1109/ICCD46524.2019.00044}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarpentierTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChattopadhyayC19, author = {Saranyu Chattopadhyay and Rajat Subhra Chakraborty}, title = {Cyclic Bene{\v{s}} Network Based Logic Encryption for Mitigating SAT-Based Attacks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {567--575}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00083}, doi = {10.1109/ICCD46524.2019.00083}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChattopadhyayC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChaudhuriGS19, author = {Mainak Chaudhuri and Jayesh Gaur and Sreenivas Subramoney}, title = {Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip Read and Write Bandwidth}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {109--118}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00022}, doi = {10.1109/ICCD46524.2019.00022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChaudhuriGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CheHW19, author = {Yuezhi Che and Yuan Hong and Rujia Wang}, title = {Imbalance-Aware Scheduler for Fast and Secure Ring {ORAM} Data Retrieval}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {604--612}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00087}, doi = {10.1109/ICCD46524.2019.00087}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CheHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenCVR19, author = {Huili Chen and Rosario Cammarota and Felipe Valencia and Francesco Regazzoni}, title = {PlaidML-HE: Acceleration of Deep Learning Kernels to Compute on Encrypted Data}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {333--336}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00053}, doi = {10.1109/ICCD46524.2019.00053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChenCVR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenL19, author = {Shenggang Chen and Zhonghai Lu}, title = {Hardware Acceleration of Multilayer Perceptron Based on Inter-Layer Optimization}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {164--172}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00028}, doi = {10.1109/ICCD46524.2019.00028}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenS19, author = {Jianqi Chen and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Low Power Design through Frequency-Optimized Runtime Micro-Architectural Adaptation}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {359--366}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00057}, doi = {10.1109/ICCD46524.2019.00057}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenS19a, author = {Jianqi Chen and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Exploiting the Benefits of High-Level Synthesis for Thermal-Aware {VLSI} Design}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {401--404}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00062}, doi = {10.1109/ICCD46524.2019.00062}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenS19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoudharySNRS19, author = {Raj Kumar Choudhary and Newton Singh and Harideep Nair and Rishabh Rawat and Virendra Singh}, title = {Freeflow Core: Enhancing Performance of In-Order Cores with Energy Efficiency}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {702--705}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00103}, doi = {10.1109/ICCD46524.2019.00103}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoudharySNRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoudhuryS19, author = {Avishek Choudhury and Biplab K. Sikdar}, title = {Soft Error Resilience in Chip Multiprocessor Cache using a Markov Model Based Re-usability Predictor}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {468--476}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00072}, doi = {10.1109/ICCD46524.2019.00072}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoudhuryS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CroninGMTY19, author = {Patrick Cronin and Charles Gouert and Dimitris Mouris and Nektarios Georgios Tsoutsos and Chengmo Yang}, title = {Covert Data Exfiltration Using Light and Power Channels}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {301--304}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00045}, doi = {10.1109/ICCD46524.2019.00045}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/CroninGMTY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CuiWCTLLG19, author = {Weihao Cui and Mengze Wei and Quan Chen and Xiaoxin Tang and Jingwen Leng and Li Li and Mingyi Guo}, title = {Ebird: Elastic Batch for Improving Responsiveness and Throughput of Deep Learning Services}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {497--505}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00075}, doi = {10.1109/ICCD46524.2019.00075}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/CuiWCTLLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CuiWLZX19, author = {Lanlan Cui and Fei Wu and Xiaojian Liu and Meng Zhang and Changsheng Xie}, title = {VaLLR: Threshold Voltage Distribution Aware {LLR} Optimization to Improve {LDPC} Decoding Performance for 3D {TLC} {NAND} Flash}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {668--671}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00096}, doi = {10.1109/ICCD46524.2019.00096}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CuiWLZX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuYLZYL19, author = {Gaoming Du and Zhenwen Yang and Zhenmin Li and Duoli Zhang and Yongsheng Yin and Zhonghai Lu}, title = {{NR-MPA:} Non-Recovery Compression Based Multi-Path Packet-Connected-Circuit Architecture of Convolution Neural Networks Accelerator}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {173--176}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00029}, doi = {10.1109/ICCD46524.2019.00029}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DuYLZYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuanLCTRQL19, author = {Moming Duan and Duo Liu and Xianzhang Chen and Yujuan Tan and Jinting Ren and Lei Qiao and Liang Liang}, title = {Astraea: Self-Balancing Federated Learning for Improving Classification Accuracy of Mobile Deep Learning Applications}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {246--254}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00038}, doi = {10.1109/ICCD46524.2019.00038}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DuanLCTRQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DuanLLJJZ19, author = {Zhuohui Duan and Haikun Liu and Xiaofei Liao and Hai Jin and Wenbin Jiang and Yu Zhang}, title = {HiNUMA: NUMA-Aware Data Placement and Migration in Hybrid Memory Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {367--375}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00058}, doi = {10.1109/ICCD46524.2019.00058}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DuanLLJJZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ErgunAMR19, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Tajana Rosing}, title = {Dynamic Optimization of Battery Health in IoT Networks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {648--655}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00093}, doi = {10.1109/ICCD46524.2019.00093}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ErgunAMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EvenblijTPCSDKF19, author = {Timon Evenblij and Christian Tenllado and Manu Perumkunnil and Francky Catthoor and Sushil Sakhare and Peter Debacker and Gouri Sankar Kar and Arnaud Furn{\'{e}}mont and Nicolas Bueno and Jos{\'{e}} Ignacio G{\'{o}}mez P{\'{e}}rez}, title = {A Comparative Analysis on the Impact of Bank Contention in {STT-MRAM} and {SRAM} Based LLCs}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {255--263}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00039}, doi = {10.1109/ICCD46524.2019.00039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EvenblijTPCSDKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FarajiSB19, author = {Sayed Abdolrasouol Faraji and Gaurav Singh and Kia Bazargan}, title = {{HBUNN} - Hybrid Binary-Unary Neural Network: Realizing a Complete {CNN} on an {FPGA}}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {156--163}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00027}, doi = {10.1109/ICCD46524.2019.00027}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FarajiSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GeGTXL19, author = {Jingquan Ge and Neng Gao and Chenyang Tu and Ji Xiang and Zeyi Liu}, title = {AdapTimer: Hardware/Software Collaborative Timer Resistant to Flush-Based Cache Attacks on {ARM-FPGA} Embedded SoC}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {585--593}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00085}, doi = {10.1109/ICCD46524.2019.00085}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GeGTXL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GiechaskielRS19, author = {Ilias Giechaskiel and Kasper Rasmussen and Jakub Szefer}, title = {Reading Between the Dies: Cross-SLR Covert Channels on Multi-Tenant Cloud FPGAs}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00010}, doi = {10.1109/ICCD46524.2019.00010}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GiechaskielRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoLWYHLLH19, author = {Jinrong Guo and Wantao Liu and Wang Wang and Chunrong Yao and Jizhong Han and Ruixuan Li and Yijun Lu and Songlin Hu}, title = {AccUDNN: {A} {GPU} Memory Efficient Accelerator for Training Ultra-Deep Neural Networks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {65--72}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00017}, doi = {10.1109/ICCD46524.2019.00017}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GuoLWYHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaoLZZY19, author = {Xiaochen Hao and Mingsong Lv and Jiesheng Zheng and Zhengkui Zhang and Wang Yi}, title = {Integrating Cyber-Attack Defense Techniques into Real-Time Cyber-Physical Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {237--245}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00037}, doi = {10.1109/ICCD46524.2019.00037}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HaoLZZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuW19, author = {Yang Hu and Jianda Wang}, title = {Architectural and Cost Implications of the 5G Edge {NFV} Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {594--603}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00086}, doi = {10.1109/ICCD46524.2019.00086}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangFLDB19, author = {Qicheng Huang and Chenlei Fang and Zeye Liu and Ruizhou Ding and R. D. Shawn Blanton}, title = {{IPSA:} Integer Programming via Sparse Approximation for Efficient Test-Chip Design}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {11--19}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00011}, doi = {10.1109/ICCD46524.2019.00011}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangFLDB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangZZHY19, author = {Tianming Jiang and Jiangfeng Zeng and Ke Zhou and Ping Huang and Tianming Yang}, title = {Lifelong Disk Failure Prediction via GAN-Based Anomaly Detection}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {199--207}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00033}, doi = {10.1109/ICCD46524.2019.00033}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangZZHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JosephEBOP19, author = {Jan Moritz Joseph and Dominik Ermel and Lennart Bamberg and Alberto Garc{\'{\i}}a Ortiz and Thilo Pionteck}, title = {System-Level Optimization of Network-on-Chips for Heterogeneous 3D System-on-Chips}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {409--412}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00064}, doi = {10.1109/ICCD46524.2019.00064}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/JosephEBOP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KadomotoIS19, author = {Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {WiXI: An Inter-Chip Wireless Bus Interface for Shape-Changeable Chiplet-Based Computers}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {100--108}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00021}, doi = {10.1109/ICCD46524.2019.00021}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KadomotoIS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KalaitzidisS19, author = {Kleovoulos Kalaitzidis and Andr{\'{e}} Seznec}, title = {Value Speculation through Equality Prediction}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {694--697}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00101}, doi = {10.1109/ICCD46524.2019.00101}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KalaitzidisS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangKKPH19, author = {Donghyun Kang and Jintaek Kang and Hyungdal Kwon and Hyunsik Park and Soonhoi Ha}, title = {A Novel Convolutional Neural Network Accelerator That Enables Fully-Pipelined Execution of Layers}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {698--701}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00102}, doi = {10.1109/ICCD46524.2019.00102}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KangKKPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhaleghiSIR19, author = {Behnam Khaleghi and Sahand Salamat and Mohsen Imani and Tajana Rosing}, title = {{FPGA} Energy Efficiency by Leveraging Thermal Margin}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {376--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00059}, doi = {10.1109/ICCD46524.2019.00059}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhaleghiSIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimLS19, author = {Youngbin Kim and Kyoungwoo Lee and Aviral Shrivastava}, title = {Static Function Prefetching for Efficient Code Management on Scratchpad Memory}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {350--358}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00056}, doi = {10.1109/ICCD46524.2019.00056}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KrishnamurthySD19, author = {Prashanth Krishnamurthy and Hossein Salehghaffari and Shiva Duraisamy and Ramesh Karri and Farshad Khorrami}, title = {Stealthy Rootkits in Smart Grid Controllers}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {20--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00012}, doi = {10.1109/ICCD46524.2019.00012}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KrishnamurthySD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeCWY19, author = {Ming{-}Chang Lee and Li{-}Pin Chang and Sung{-}Ming Wu and Wei{-}Shang Yui}, title = {Adaptive Write Interference Management with Efficient Mapping for Shingled Recording Disks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {181--189}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00031}, doi = {10.1109/ICCD46524.2019.00031}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeCWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKLCC19, author = {Young Seo Lee and Kyung Min Kim and Ji Heon Lee and Jeong Hwan Choi and Sung Woo Chung}, title = {A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {515--523}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00077}, doi = {10.1109/ICCD46524.2019.00077}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeKLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeX19, author = {Chiou{-}Yng Lee and Jiafeng Xie}, title = {Efficient Scalable Three Operand Multiplier Over GF(2m) Based on Novel Decomposition Strategy}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {29--37}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00013}, doi = {10.1109/ICCD46524.2019.00013}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiCP19, author = {Yongjian Li and Jialun Cao and Jun Pang}, title = {A Learning-Based Framework for Automatic Parameterized Verification}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {450--459}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00070}, doi = {10.1109/ICCD46524.2019.00070}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiPRC19, author = {Yunfan Li and Drew Penney and Abhishek Ramamurthy and Lizhong Chen}, title = {Characterizing On-Chip Traffic Patterns in General-Purpose GPUs: {A} Deep Learning Approach}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {56--64}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00016}, doi = {10.1109/ICCD46524.2019.00016}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiPRC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiWLL19, author = {Wen Li and Ying Wang and Huawei Li and Xiaowei Li}, title = {RRAMedy: Protecting ReRAM-Based Neural Network from Permanent and Soft Faults During Its Lifetime}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {91--99}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00020}, doi = {10.1109/ICCD46524.2019.00020}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiWLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiangWRDCZ19, author = {Hengyi Liang and Zhilu Wang and Debayan Roy and Soumyajit Dey and Samarjit Chakraborty and Qi Zhu}, title = {Security-Driven Codesign with Weakly-Hard Constraints for Real-Time Embedded Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {217--226}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00035}, doi = {10.1109/ICCD46524.2019.00035}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiangWRDCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinLGQL19, author = {Ning Lin and Hang Lu and Jingliang Gao and Shunjie Qiao and Xiaowei Li}, title = {VNet: {A} Versatile Network for Efficient Real-Time Semantic Segmentation}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {626--629}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00090}, doi = {10.1109/ICCD46524.2019.00090}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LinLGQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinLHGZL19, author = {Ning Lin and Hang Lu and Xing Hu and Jingliang Gao and Mingzhe Zhang and Xiaowei Li}, title = {When Deep Learning Meets the Edge: Auto-Masking Deep Neural Networks for Efficient Machine Learning on Edge Devices}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {506--514}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00076}, doi = {10.1109/ICCD46524.2019.00076}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LinLHGZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuLLCZ19, author = {Yubo Liu and Hongbo Li and Yutong Lu and Zhiguang Chen and Ming Zhao}, title = {An Efficient and Flexible Metadata Management Layer for Local File Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {208--216}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00034}, doi = {10.1109/ICCD46524.2019.00034}, timestamp = {Thu, 04 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiuLLCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaTWY19, author = {Maodi Ma and Jingweijia Tan and Xiaohui Wei and Kaige Yan}, title = {Process Variation Mitigation on Convolutional Neural Network Accelerator Architecture}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {47--55}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00015}, doi = {10.1109/ICCD46524.2019.00015}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaTWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaorZWH19, author = {Guy Maor and Xiaoming Zeng and Zhendong Wang and Yang Hu}, title = {An {FPGA} Implementation of Stochastic Computing-Based {LSTM}}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {38--46}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00014}, doi = {10.1109/ICCD46524.2019.00014}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaorZWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MontoyaHBMF19, author = {Maxime Montoya and Thomas Hiscock and Simone Bacles{-}Min and Anca Molnos and Jacques Fournier}, title = {Adaptive Masking: a Dynamic Trade-off between Energy Consumption and Hardware Security}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {559--566}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00082}, doi = {10.1109/ICCD46524.2019.00082}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MontoyaHBMF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NazarianFP19, author = {Shahin Nazarian and Arash Fayyazi and Massoud Pedram}, title = {qCG: {A} Low-Power Multi-Domain {SFQ} Logic Design and Verification Framework}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {446--449}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00069}, doi = {10.1109/ICCD46524.2019.00069}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NazarianFP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NejatollahiCD19, author = {Hamid Nejatollahi and Rosario Cammarota and Nikil D. Dutt}, title = {Flexible {NTT} Accelerators for {RLWE} Lattice-Based Cryptography}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {329--332}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00052}, doi = {10.1109/ICCD46524.2019.00052}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NejatollahiCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkEA19, author = {HeeJong Park and Arvind Easwaran and Sidharta Andalam}, title = {TiLA: Twin-in-the-Loop Architecture for Cyber-Physical Production Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {82--90}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00019}, doi = {10.1109/ICCD46524.2019.00019}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ParkEA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PathakS19, author = {Divya Pathak and Ioannis Savidis}, title = {Applying Swarm Intelligence to Distributed On-Chip Power Management}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {532--540}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00079}, doi = {10.1109/ICCD46524.2019.00079}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PathakS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QureshiM19, author = {Mahmood Azhar Qureshi and Arslan Munir}, title = {{PUF-RLA:} {A} PUF-Based Reliable and Lightweight Authentication Protocol Employing Binary String Shuffling}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {576--584}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00084}, doi = {10.1109/ICCD46524.2019.00084}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QureshiM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RenCTLDLQ19, author = {Jinting Ren and Xianzhang Chen and Yujuan Tan and Duo Liu and Moming Duan and Liang Liang and Lei Qiao}, title = {Archivist: {A} Machine Learning Assisted Data Placement Mechanism for Hybrid Storage Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {676--679}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00098}, doi = {10.1109/ICCD46524.2019.00098}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RenCTLDLQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RohbaniMNMMT19, author = {Nezam Rohbani and Tapas Kumar Maiti and Dondee Navarro and Mitiko Miura{-}Mattausch and Hans J{\"{u}}rgen Mattausch and Hirotaka Takatsuka}, title = {NVDL-Cache: Narrow-Width Value Aware Variable Delay Low-Power Data Cache}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {264--272}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00040}, doi = {10.1109/ICCD46524.2019.00040}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RohbaniMNMMT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Roy19, author = {Sujoy Sinha Roy}, title = {SaberX4: High-Throughput Software Implementation of Saber Key Encapsulation Mechanism}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {321--324}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00050}, doi = {10.1109/ICCD46524.2019.00050}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Roy19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SahooTSM19, author = {Debiprasanna Sahoo and Shivani Tripathy and Manoranjan Satpathy and Madhu Mutyam}, title = {Post-Model Validation of Victim {DRAM} Caches}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {305--308}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00046}, doi = {10.1109/ICCD46524.2019.00046}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SahooTSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShaoTWYS19, author = {En Shao and Guangming Tan and Zhan Wang and Guojun Yuan and Ninghui Sun}, title = {A New Traffic Offloading Method with Slow Switching Optical Device in Exascale Computer}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {138--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00025}, doi = {10.1109/ICCD46524.2019.00025}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShaoTWYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShresthamaliKN19, author = {Shaswot Shresthamali and Masaaki Kondo and Hiroshi Nakamura}, title = {Power Management of Wireless Sensor Nodes with Coordinated Distributed Reinforcement Learning}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {638--647}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00092}, doi = {10.1109/ICCD46524.2019.00092}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShresthamaliKN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongCLH19, author = {Ling{-}Yen Song and Yi{-}Ling Chen and Yung{-}Chun Lei and Juinn{-}Dar Huang}, title = {Forecast-Based Sample Preparation Algorithm for Unbalanced Splitting Correction on DMFBs}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {422--428}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00066}, doi = {10.1109/ICCD46524.2019.00066}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SongCLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongK19, author = {WonJun Song and John Kim}, title = {A Case for Software-Based Adaptive Routing in {NUMA} Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {684--693}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00100}, doi = {10.1109/ICCD46524.2019.00100}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SongK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongXF19, author = {Xiaojia Song and Tao Xie and Stephen Fischer}, title = {A Memory-Access-Efficient Adaptive Implementation of kNN on {FPGA} through {HLS}}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {177--180}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00030}, doi = {10.1109/ICCD46524.2019.00030}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongXF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SoniNBK19, author = {Deepraj Soni and Mohammed Nabeel and Kanad Basu and Ramesh Karri}, title = {Power, Area, Speed, and Security {(PASS)} Trade-Offs of {NIST} {PQC} Signature Candidates Using a {C} to {ASIC} Design Flow}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {337--340}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00054}, doi = {10.1109/ICCD46524.2019.00054}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SoniNBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TaherBS19, author = {Farah Naz Taher and Anjana Balachandran and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Learning-Based Diversity Estimation: Leveraging the Power of High-Level Synthesis to Mitigate Common-Mode Failure}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {460--467}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00071}, doi = {10.1109/ICCD46524.2019.00071}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TaherBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TanOJPTAB19, author = {Cheng Tan and Yanghui Ou and Shunning Jiang and Peitian Pan and Christopher Torng and Shady Agwa and Christopher Batten}, title = {PyOCN: {A} Unified Framework for Modeling, Testing, and Evaluating On-Chip Networks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {437--445}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00068}, doi = {10.1109/ICCD46524.2019.00068}, timestamp = {Mon, 26 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TanOJPTAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TripathySSP19, author = {Shivani Tripathy and Debiprasanna Sahoo and Manoranjan Satpathy and Srinivas Pinisetty}, title = {Formal Modeling and Verification of {NAND} Flash Memory Supporting Advanced Operations}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {313--316}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00048}, doi = {10.1109/ICCD46524.2019.00048}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TripathySSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TrompoukiK19, author = {Matina Maria Trompouki and Leonidas Kosmidis}, title = {{BRASIL:} {A} High-Integrity {GPGPU} Toolchain for Automotive Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {660--663}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00094}, doi = {10.1109/ICCD46524.2019.00094}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TrompoukiK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VazquezGS19, author = {Ruben Vazquez and Ann Gordon{-}Ross and Greg Stitt}, title = {Energy Prediction for Cache Tuning in Embedded Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {630--637}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00091}, doi = {10.1109/ICCD46524.2019.00091}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VazquezGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VilardellSACC19, author = {Sergi Vilardell and Isabel Serra and Jaume Abella and Joan del Castillo and Francisco J. Cazorla}, title = {Software Timing Analysis for Complex Hardware with Survivability and Risk Analysis}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {227--236}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00036}, doi = {10.1109/ICCD46524.2019.00036}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/VilardellSACC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VrecenarHZNRK19, author = {Ryan Vrecenar and Michael Hall and Joshua Zshiesche and Mahesh Naidu and Jeyavijayan Rajendran and Stavros Kalafatis}, title = {Red Teaming a Multi-Colored Bluetooth Bulb}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {293--296}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00043}, doi = {10.1109/ICCD46524.2019.00043}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VrecenarHZNRK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WagleSYKV19, author = {Ankit Wagle and Gian Singh and Jinghua Yang and Sunil P. Khatri and Sarma B. K. Vrudhula}, title = {Threshold Logic in a Flash}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {550--558}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00081}, doi = {10.1109/ICCD46524.2019.00081}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WagleSYKV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangLWZ19, author = {Yicheng Wang and Yang Liu and Peiyun Wu and Zhao Zhang}, title = {Reinforce Memory Error Protection by Breaking {DRAM} Disturbance Correlation Within {ECC} Words}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {672--675}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00097}, doi = {10.1109/ICCD46524.2019.00097}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WangLWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WenZY19, author = {Wen Wen and Youtao Zhang and Jun Yang}, title = {ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network Accelerators}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {487--496}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00074}, doi = {10.1109/ICCD46524.2019.00074}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WenZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuFTLWZP19, author = {Bing Wu and Dan Feng and Wei Tong and Jingning Liu and Chengning Wang and Wei Zhao and Mengye Peng}, title = {ReRAM Crossbar-Based Analog Computing Architecture for Naive Bayesian Engine}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {147--155}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00026}, doi = {10.1109/ICCD46524.2019.00026}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuFTLWZP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuS19, author = {Siyuan Xu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Low Power Design of Runtime Reconfigurable FPGAs through Contexts Approximations}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {524--531}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00078}, doi = {10.1109/ICCD46524.2019.00078}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YaghiniMG19, author = {Pooria M. Yaghini and George Michelogiannakis and Paul V. Gratz}, title = {SpecLock: Speculative Lock Forwarding}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {273--282}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00041}, doi = {10.1109/ICCD46524.2019.00041}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/YaghiniMG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangFCHL19, author = {Hsuan{-}Kung Yang and Tsu{-}Jui Fu and Po{-}Han Chiang and Kuan{-}Wei Ho and Chun{-}Yi Lee}, title = {A Distributed Scheme for Accelerating Semantic Video Segmentation on An Embedded Cluster}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {73--81}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00018}, doi = {10.1109/ICCD46524.2019.00018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/YangFCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YoungCQ19, author = {Vinson Young and Zeshan A. Chishti and Moinuddin K. Qureshi}, title = {TicToc: Enabling Bandwidth-Efficient {DRAM} Caching for Both Hits and Misses in Hybrid Memory Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {341--349}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00055}, doi = {10.1109/ICCD46524.2019.00055}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YoungCQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YoungQ19, author = {Vinson Young and Moinuddin K. Qureshi}, title = {To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for {DRAM} Caches}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {119--128}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00023}, doi = {10.1109/ICCD46524.2019.00023}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YoungQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZacharopoulosFA19, author = {Georgios Zacharopoulos and Lorenzo Ferretti and Giovanni Ansaloni and Giuseppe Di Guglielmo and Luca P. Carloni and Laura Pozzi}, title = {Compiler-Assisted Selection of Hardware Acceleration Candidates from Application Source Code}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {129--137}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00024}, doi = {10.1109/ICCD46524.2019.00024}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZacharopoulosFA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangCH19, author = {Xiaoyu Zhang and Xiaoming Chen and Yinhe Han}, title = {FeMAT: Exploring In-Memory Processing in Multifunctional FeFET-Based Memory Array}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {541--549}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00080}, doi = {10.1109/ICCD46524.2019.00080}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangCJYDY19, author = {Wenhui Zhang and Qiang Cao and Hong Jiang and Jie Yao and Yuanyuan Dong and Puyuan Yang}, title = {{SPA-SSD:} Exploit Heterogeneity and Parallelism of 3D {SLC-TLC} Hybrid {SSD} to Improve Write Performance}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {613--621}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00088}, doi = {10.1109/ICCD46524.2019.00088}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangCJYDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangLCLGD19, author = {Yi Zhang and Zhanwei Ling and Ran Cui and Mingsong Lv and Nan Guan and Qingxu Deng}, title = {Detecting and Predicting Performance Degradation Caused by Impaired Cache Isolation}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {680--683}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00099}, doi = {10.1109/ICCD46524.2019.00099}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangLCLGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangTS19, author = {Jinghan Zhang and Hamed Tabkhi and Gunar Schirner}, title = {Mitigating Application Diversity for Allocating a Unified ACC-Rich Platform}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {622--625}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00089}, doi = {10.1109/ICCD46524.2019.00089}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangTS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZCL19, author = {Mingzhe Zhang and Lunkai Zhang and Frederic T. Chong and Zhiyong Liu}, title = {Balancing Performance and Energy Efficiency of ONoC by Using Adaptive Bandwidth}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {664--667}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00095}, doi = {10.1109/ICCD46524.2019.00095}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZuoWHHF19, author = {Chunxue Zuo and Fang Wang and Ping Huang and Yuchong Hu and Dan Feng}, title = {RepEC-Duet: Ensure High Reliability and Performance for Deduplicated and Delta-Compressed Storage Systems}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {190--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00032}, doi = {10.1109/ICCD46524.2019.00032}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZuoWHHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2019, title = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8970097/proceeding}, isbn = {978-1-5386-6648-7}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001ZHWJCL18, author = {Ke Zhou and Yu Zhang and Ping Huang and Hua Wang and Yongguang Ji and Bin Cheng and Ying Liu}, title = {{LEA:} {A} Lazy Eviction Algorithm for {SSD} Cache in Cloud Block Storage}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {569--572}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00091}, doi = {10.1109/ICCD.2018.00091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0001ZHWJCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0005LZHTX18, author = {Fei Wu and Zuo Lu and You Zhou and Xubin He and Zhi{-}hu Tan and Changsheng Xie}, title = {{OSPADA:} One-Shot Programming Aware Data Allocation Policy to Improve 3D {NAND} Flash Read Performance}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {51--58}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00018}, doi = {10.1109/ICCD.2018.00018}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0005LZHTX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0005ZXLZKX18, author = {Fei Wu and Yue Zhu and Qin Xiong and Zhonghai Lu and You Zhou and Weizhen Kong and Changsheng Xie}, title = {Characterizing 3D Charge Trap {NAND} Flash: Observations, Analyses and Applications}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {381--388}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00064}, doi = {10.1109/ICCD.2018.00064}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0005ZXLZKX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlvesKB18, author = {Ricardo Alves and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Dynamically Disabling Way-prediction to Reduce Instruction Replay}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {140--143}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00029}, doi = {10.1109/ICCD.2018.00029}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlvesKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Ash-SakiG18, author = {Abdullah Ash{-}Saki and Swaroop Ghosh}, title = {How Multi-Threshold Designs Can Protect Analog IPs}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {464--471}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00075}, doi = {10.1109/ICCD.2018.00075}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Ash-SakiG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BansalH18, author = {Kunal Bansal and Michael S. Hsiao}, title = {Optimization of Mutant Space for {RTL} Test Generation}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {472--475}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00076}, doi = {10.1109/ICCD.2018.00076}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BansalH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BehnamCB18, author = {Payman Behnam and Arjun Pal Chowdhury and Mahdi Nazm Bojnordi}, title = {R-Cache: {A} Highly Set-Associative In-Package Cache Using Memristive Arrays}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {423--430}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00070}, doi = {10.1109/ICCD.2018.00070}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BehnamCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BhamidipatiK18, author = {Padmaja Bhamidipati and Avinash Karanth}, title = {{RETUNES:} Reliable and Energy-Efficient Network-on-Chip Architecture}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {488--495}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00079}, doi = {10.1109/ICCD.2018.00079}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BhamidipatiK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BharathiKFKK18, author = {Kunal Bharathi and Harsh Kumar and Abbas A. Fairouz and Ahmad Al Kawam and Sunil P. Khatri}, title = {A Plain-Text Incremental Compression {(PIC)} Technique with Fast Lookup Ability}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {389--396}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00065}, doi = {10.1109/ICCD.2018.00065}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BharathiKFKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BoroujerdianAHG18, author = {Behzad Boroujerdian and Hussam Amrouch and J{\"{o}}rg Henkel and Andreas Gerstlauer}, title = {Trading Off Temperature Guardbands via Adaptive Approximations}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {202--209}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00039}, doi = {10.1109/ICCD.2018.00039}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BoroujerdianAHG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaoLCLGWZ18, author = {Yuhai Cao and Chao Li and Quan Chen and Jingwen Leng and Minyi Guo and Jing Wang and Weigong Zhang}, title = {{DR} {DRAM:} Accelerating Memory-Read-Intensive Applications}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {301--309}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00053}, doi = {10.1109/ICCD.2018.00053}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaoLCLGWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarreonLL18, author = {Nadir Amin Carreon and Sixing Lu and Roman Lysecky}, title = {Hardware-Based Probabilistic Threat Detection and Estimation for Embedded Systems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {522--529}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00084}, doi = {10.1109/ICCD.2018.00084}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarreonLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CavusSY18, author = {Mustafa Cavus and Resit Sendag and Joshua J. Yi}, title = {Array Tracking Prefetcher for Indirect Accesses}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {132--139}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00028}, doi = {10.1109/ICCD.2018.00028}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CavusSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Chatzidimitriou18, author = {Athanasios Chatzidimitriou and George Papadimitriou and Dimitris Gizopoulos and Shrikanth Ganapathy and John Kalamatianos}, title = {Analysis and Characterization of Ultra Low Power Branch Predictors}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {144--147}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00030}, doi = {10.1109/ICCD.2018.00030}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Chatzidimitriou18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChaurasiyaGSNNN18, author = {Rohit Chaurasiya and John L. Gustafson and Rahul Shrestha and Jonathan Neudorfer and Sangeeth Nambiar and Kaustav Niyogi and Farhad Merchant and Rainer Leupers}, title = {Parameterized Posit Arithmetic Hardware Generator}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {334--341}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00057}, doi = {10.1109/ICCD.2018.00057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChaurasiyaGSNNN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenDXZL18, author = {Huixiang Chen and Yuting Dai and Rui Xue and Kan Zhong and Tao Li}, title = {Towards Efficient Microarchitecture Design of Simultaneous Localization and Mapping in Augmented Reality Era}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {397--404}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00066}, doi = {10.1109/ICCD.2018.00066}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenDXZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenRL18, author = {Yuechen Chen and Md Farhadur Reza and Ahmed Louri}, title = {DEC-NoC: An Approximate Framework Based on Dynamic Error Control with Applications to Energy-Efficient NoCs}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {480--487}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00078}, doi = {10.1109/ICCD.2018.00078}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenRL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenV18, author = {Suyuan Chen and Ranga Vemuri}, title = {Reverse Engineering of Split Manufactured Sequential Circuits Using Satisfiability Checking}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {530--536}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00085}, doi = {10.1109/ICCD.2018.00085}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenZG18, author = {Zhengyu Chen and Hai Zhou and Jie Gu}, title = {R-Accelerator: {A} Reconfigurable Accelerator with {RRAM} Based Logic Contraction and Resource Optimization for Application Specific Computing}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {163--170}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00034}, doi = {10.1109/ICCD.2018.00034}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChenZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiSN18, author = {Jinhang Choi and Jack Sampson and Vijaykrishnan Narayanan}, title = {Heuristic Approximation of Early-Stage {CNN} Data Representation for Vision Intelligence Systems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {218--225}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00041}, doi = {10.1109/ICCD.2018.00041}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoiSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DoganAJK18, author = {Halit Dogan and Masab Ahmad and Jos{\'{e}} A. Joao and Omer Khan}, title = {Accelerating Synchronization in Graph Analytics Using Moving Compute to Data Model on Tilera TILE-Gx72}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {496--505}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00080}, doi = {10.1109/ICCD.2018.00080}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DoganAJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DouglassK18, author = {Andrew J. Douglass and Sunil P. Khatri}, title = {Synchronization of Ring-Based Resonant Standing Wave Oscillators for 3D Clocking Applications}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {318--325}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00055}, doi = {10.1109/ICCD.2018.00055}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DouglassK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FerrettiAP18, author = {Lorenzo Ferretti and Giovanni Ansaloni and Laura Pozzi}, title = {Lattice-Traversing Design Space Exploration for High Level Synthesis}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {210--217}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00040}, doi = {10.1109/ICCD.2018.00040}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FerrettiAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuC18, author = {Yongbin Gu and Lizhong Chen}, title = {{CART:} Cache Access Reordering Tree for Efficient Cache and Memory Accesses in GPUs}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00046}, doi = {10.1109/ICCD.2018.00046}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeZDL18, author = {Wenjian He and Wei Zhang and Sanjeev Das and Yang Liu}, title = {SGXlinger: {A} New Side-Channel Attack Vector Based on Interrupt Latency Against Enclave Execution}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {108--114}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00025}, doi = {10.1109/ICCD.2018.00025}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HeZDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HemmatD18, author = {Maedeh Hemmat and Azadeh Davoodi}, title = {Power-Efficient ReRAM-Aware {CNN} Model Generation}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {156--162}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00033}, doi = {10.1109/ICCD.2018.00033}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HemmatD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HouH0CZG18, author = {Xiaofeng Hou and Luoyao Hao and Chao Li and Quan Chen and Wenli Zheng and Minyi Guo}, title = {Power Grab in Aggressively Provisioned Data Centers: What is the Risk and What Can Be Done About It}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {26--34}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00015}, doi = {10.1109/ICCD.2018.00015}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HouH0CZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangHYH18, author = {Haixin Huang and Kaixin Huang and Litong You and Linpeng Huang}, title = {Forca: Fast and Atomic Remote Direct Access to Persistent Memory}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {246--249}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00045}, doi = {10.1109/ICCD.2018.00045}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangHYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ILHT18, author = {Te I and Murtuza Lokhandwala and Yu{-}Ching Hu and Hung{-}Wei Tseng}, title = {Pensieve: a Machine Learning Assisted {SSD} Layer for Extending the Lifetime}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {35--42}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00016}, doi = {10.1109/ICCD.2018.00016}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ILHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JoPLC18, author = {Sujeong Jo and Hanmin Park and Gunhee Lee and Kiyoung Choi}, title = {Training Neural Networks with Low Precision Dynamic Fixed-Point}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {405--408}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00067}, doi = {10.1109/ICCD.2018.00067}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JoPLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KahngMS18, author = {Andrew B. Kahng and Uday Mallappa and Lawrence K. Saul}, title = {Using Machine Learning to Predict Path-Based Slack from Graph-Based Timing Analysis}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {603--612}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00096}, doi = {10.1109/ICCD.2018.00096}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KahngMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KarimiJFK18, author = {Elmira Karimi and Zhen Hang Jiang and Yunsi Fei and David R. Kaeli}, title = {A Timing Side-Channel Attack on a Mobile {GPU}}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {67--74}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00020}, doi = {10.1109/ICCD.2018.00020}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KarimiJFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhanG18, author = {Mohammad Nasim Imtiaz Khan and Swaroop Ghosh}, title = {Analysis of Row Hammer Attack on {STTRAM}}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {75--82}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00021}, doi = {10.1109/ICCD.2018.00021}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhanG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhannaH18, author = {Tania Khanna and Michael Hsiao}, title = {Guiding {RTL} Test Generation Using Relevant Potential Invariants}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {449--455}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00073}, doi = {10.1109/ICCD.2018.00073}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhannaH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimB18, author = {Kyu Yeun Kim and Woongki Baek}, title = {{BLPP:} Improving the Performance of GPGPUs with Heterogeneous Memory through Bandwidth- and Latency-Aware Page Placement}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {358--365}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00061}, doi = {10.1109/ICCD.2018.00061}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimPHM18, author = {Jeremie S. Kim and Minesh Patel and Hasan Hassan and Onur Mutlu}, title = {Solar-DRAM: Reducing {DRAM} Access Latency by Exploiting the Variation in Local Bitlines}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {282--291}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00051}, doi = {10.1109/ICCD.2018.00051}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimPHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KuceraKPK18, author = {Jan Kucera and Lukas Kekely and Adam Piecek and Jan Korenek}, title = {General {IDS} Acceleration for High-Speed Networks}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {366--373}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00062}, doi = {10.1109/ICCD.2018.00062}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KuceraKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KurthVMB18, author = {Andreas Kurth and Pirmin Vogel and Andrea Marongiu and Luca Benini}, title = {Scalable and Efficient Virtual Memory Sharing in Heterogeneous SoCs with {TLB} Prefetching and MMU-Aware {DMA} Engine}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {292--300}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00052}, doi = {10.1109/ICCD.2018.00052}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KurthVMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeMB18, author = {Doowon Lee and Opeoluwa Matthews and Valeria Bertacco}, title = {Low-Overhead Microarchitectural Patching for Multicore Memory Subsystems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {17--25}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00014}, doi = {10.1109/ICCD.2018.00014}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeRRK18, author = {Kyuin Lee and Vijay Raghunathan and Anand Raghunathan and Younghyun Kim}, title = {{SYNCVIBE:} Fast and Secure Device Pairing through Physical Vibration on Commodity Smartphones}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {234--241}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00043}, doi = {10.1109/ICCD.2018.00043}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeRRK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeX18, author = {Chiou{-}Yng Lee and Jiafeng Xie}, title = {Low Area-Delay Complexity Digit-Level Parallel-In Serial-Out Multiplier Over GF(2m) Based on Overlap-Free Karatsuba Algorithm}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {187--194}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00037}, doi = {10.1109/ICCD.2018.00037}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiCXS18, author = {Shuo Li and Zhiguang Chen and Nong Xiao and Guangyu Sun}, title = {Path Prefetching: Accelerating Index Searches for In-Memory Databases}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {274--277}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00049}, doi = {10.1109/ICCD.2018.00049}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiCXS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDL18, author = {Cunlu Li and Dezun Dong and Xiangke Liao}, title = {Eca-Router : On Achieving Endpoint Congestion Aware Switch Allocation in the On-Chip Network}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {506--509}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00081}, doi = {10.1109/ICCD.2018.00081}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiSPJLX18, author = {Qiao Li and Liang Shi and Riwei Pan and Cheng Ji and Xiaoqiang Li and Chun Jason Xue}, title = {Selective Compression Scheme for Read Performance Improvement on Flash Devices}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {43--50}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00017}, doi = {10.1109/ICCD.2018.00017}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiSPJLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuB18, author = {Zeye Liu and Ronald D. Blanton}, title = {Back-End Layout Reflection for Test Chip Design}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {456--463}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00074}, doi = {10.1109/ICCD.2018.00074}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuLR18, author = {Bozhi Liu and Roman Lysecky and Janet Meiling Wang Roveda}, title = {Composable Template Attacks Using Templates for Individual Architectural Components}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00012}, doi = {10.1109/ICCD.2018.00012}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuLR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoWMMYB18, author = {Yingyi Luo and Xiaoyang Wang and Seda Ogrenci Memik and Gokhan Memik and Kazutomo Yoshii and Peter H. Beckman}, title = {Minimizing Thermal Variation in Heterogeneous {HPC} Systems with {FPGA} Nodes}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {537--544}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00086}, doi = {10.1109/ICCD.2018.00086}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuoWMMYB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MbongueHKB18, author = {Joel Mandebi Mbongue and Festus Hategekimana and Danielle Tchuinkou Kwadjo and Christophe Bobda}, title = {{FPGA} Virtualization in Cloud-Based Infrastructures Over Virtio}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {242--245}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00044}, doi = {10.1109/ICCD.2018.00044}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MbongueHKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MemaripourS18, author = {Amir Saman Memaripour and Steven Swanson}, title = {Breeze: User-Level Access to Non-Volatile Main Memories for Legacy Software}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {413--422}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00069}, doi = {10.1109/ICCD.2018.00069}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MemaripourS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MotamanG18, author = {Seyedhamidreza Motaman and Swaroop Ghosh}, title = {Dynamic Computing in Memory {(DCIM)} in Resistive Crossbar Arrays}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {179--186}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00036}, doi = {10.1109/ICCD.2018.00036}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MotamanG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NareshSPC18, author = {Vignyan Reddy Kothinti Naresh and Rami Sheikh and Arthur Perais and Harold W. Cain}, title = {{SPF:} Selective Pipeline Flush}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {152--155}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00032}, doi = {10.1109/ICCD.2018.00032}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NareshSPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NeggazALN18, author = {Mohamed A. Neggaz and Ihsen Alouani and Pablo R. Lorenzo and Sma{\"{\i}}l Niar}, title = {A Reliability Study on CNNs for Critical Embedded Systems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {476--479}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00077}, doi = {10.1109/ICCD.2018.00077}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NeggazALN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OhCK18, author = {Deok Keun Oh and Mu Jun Choi and Juho Kim}, title = {Thermal-Aware 3D Symmetrical Buffered Clock Tree Synthesis}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00013}, doi = {10.1109/ICCD.2018.00013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OhCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OmarH0DK18, author = {Hamza Omar and Syed Kamran Haider and Ling Ren and Marten van Dijk and Omer Khan}, title = {Breaking the Oblivious-RAM Bandwidth Wall}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {115--122}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00026}, doi = {10.1109/ICCD.2018.00026}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OmarH0DK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanBRZZT18, author = {Xiang Pan and Anys Bacha and Spencer Rudolph and Li Zhou and Yinqian Zhang and Radu Teodorescu}, title = {NVCool: When Non-Volatile Caches Meet Cold Boot Attacks}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {439--448}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00072}, doi = {10.1109/ICCD.2018.00072}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanBRZZT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PoudelM18, author = {Bikash Poudel and Arslan Munir}, title = {Design and Evaluation of a {PVT} Variation-Resistant {TRNG} Circuit}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {514--521}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00083}, doi = {10.1109/ICCD.2018.00083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PoudelM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QianLMG18, author = {Jianmin Qian and Jian Li and Ruhui Ma and Haibing Guan}, title = {Optimizing Virtual Resource Management for Consolidated {NUMA} Systems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {573--576}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00092}, doi = {10.1109/ICCD.2018.00092}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QianLMG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RahmatiMKS18, author = {Dara Rahmati and Sobhan Masoudi and Ahmad Khonsari and Reza Sabbaghi{-}Nadooshan}, title = {Accurate Performance Bounds Calculation for Dynamic Voltage-Freq Islands in Best Effort NoCs}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {510--513}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00082}, doi = {10.1109/ICCD.2018.00082}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RahmatiMKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RakinAHF18, author = {Adnan Siraj Rakin and Shaahin Angizi and Zhezhi He and Deliang Fan}, title = {{PIM-TGAN:} {A} Processing-in-Memory Accelerator for Ternary Generative Adversarial Networks}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {266--273}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00048}, doi = {10.1109/ICCD.2018.00048}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RakinAHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RakshitM18, author = {Joydeep Rakshit and Kartik Mohanram}, title = {ReadPRO: Read Prioritization Scheduling in {ORAM} for Efficient Obfuscation in Main Memories}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {100--107}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00024}, doi = {10.1109/ICCD.2018.00024}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RakshitM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RezaeiKB18, author = {Siavash Rezaei and Kanghee Kim and Eli Bozorgzadeh}, title = {Scalable Multi-Queue Data Transfer Scheme for FPGA-Based Multi-Accelerators}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {374--380}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00063}, doi = {10.1109/ICCD.2018.00063}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RezaeiKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RoelkeGS18, author = {Alec Roelke and Xinfei Guo and Mircea Stan}, title = {OldSpot: {A} Pre-RTL Model for Fine-Grained Aging and Lifetime Optimization}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {148--151}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00031}, doi = {10.1109/ICCD.2018.00031}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RoelkeGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RyooSJ18, author = {Jee Ho Ryoo and Shuang Song and Lizy K. John}, title = {Puzzle Memory: Multifractional Partitioned Heterogeneous Memory Scheme}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {310--317}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00054}, doi = {10.1109/ICCD.2018.00054}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RyooSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SakaiSSA18, author = {Shinji Sakai and Taishi Suenaga and Ryota Shioya and Hideki Ando}, title = {Rearranging Random Issue Queue with High {IPC} and Short Delay}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {123--131}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00027}, doi = {10.1109/ICCD.2018.00027}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SakaiSSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SalehiD18, author = {Soheil Salehi and Ronald F. DeMara}, title = {{BGIM:} Bit-Grained Instant-on Memory Cell for Sleep Power Critical Mobile Applications}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {342--345}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00058}, doi = {10.1109/ICCD.2018.00058}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SalehiD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShenX18, author = {Minghua Shen and Nong Xiao}, title = {Fine-Grained Parallel Routing for FPGAs with Selective Expansion}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {577--586}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00093}, doi = {10.1109/ICCD.2018.00093}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShenX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShenX18a, author = {Minghua Shen and Nong Xiao}, title = {Load Balance-Aware Multi-Core Parallel Routing for Large-Scale FPGAs}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {595--602}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00095}, doi = {10.1109/ICCD.2018.00095}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShenX18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SommerO0BK018, author = {Lukas Sommer and Julian Oppermann and Alejandro Molina and Carsten Binnig and Kristian Kersting and Andreas Koch}, title = {Automatic Mapping of the Sum-Product Network Inference Problem to FPGA-Based Accelerators}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {350--357}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00060}, doi = {10.1109/ICCD.2018.00060}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SommerO0BK018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TianLMF018, author = {Zhongyuan Tian and Haoran Li and Rafael Kioji Vivas Maeda and Jun Feng and Jiang Xu}, title = {Decentralized Collaborative Power Management through Multi-Device Knowledge Sharing}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {409--412}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00068}, doi = {10.1109/ICCD.2018.00068}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TianLMF018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Velasquez018, author = {Alvaro Velasquez and Sumit Kumar Jha}, title = {3D Crosspoint Memory as a Parallel Architecture for Computing Network Reachability}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {171--178}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00035}, doi = {10.1109/ICCD.2018.00035}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Velasquez018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangSCZ18, author = {Chuanwen Wang and Diansen Sun and Yunpeng Chai and Fang Zhou}, title = {Enabling Accurate Performance Isolation on Hybrid Storage Devices in Cloud Environment}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {565--568}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00090}, doi = {10.1109/ICCD.2018.00090}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangSCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WenZ18, author = {Hao Wen and Wei Zhang}, title = {Reducing Inter-Application Interferences in Integrated {CPU-GPU} Heterogeneous Architecture}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {278--281}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00050}, doi = {10.1109/ICCD.2018.00050}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WenZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WernerJSH18, author = {David Werner and Kyle Juretus and Ioannis Savidis and Mark Hempstead}, title = {Machine Learning on the Thermal Side-Channel: Analysis of Accelerator-Rich Architectures}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {83--91}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00022}, doi = {10.1109/ICCD.2018.00022}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WernerJSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaWBEJKCRDNBGN18, author = {Hongyan Xia and Jonathan Woodruff and Hadrien Barral and Lawrence Esswood and Alexandre Joannou and Robert Kovacsics and David Chisnall and Michael Roe and Brooks Davis and Edward Napierala and John Baldwin and Khilan Gudka and Peter G. Neumann and Alexander Richardson and Simon W. Moore and Robert N. M. Watson}, title = {CheriRTOS: {A} Capability Model for Embedded Devices}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {92--99}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00023}, doi = {10.1109/ICCD.2018.00023}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiaWBEJKCRDNBGN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XieFWZHT18, author = {Yanwen Xie and Dan Feng and Fang Wang and Xinyan Zhang and Jizhong Han and Xuehai Tang}, title = {{OME:} An Optimized Modeling Engine for Disk Failure Prediction in Heterogeneous Datacenter}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {561--564}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00089}, doi = {10.1109/ICCD.2018.00089}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XieFWZHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuLCPL018, author = {Yue Xu and Hyung Gyu Lee and Xianzhang Chen and Bo Peng and Duo Liu and Liang Liang}, title = {Puppet: Energy Efficient Task Mapping For Storage-Less and Converter-Less Solar-Powered Non-Volatile Sensor Nodes}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {226--233}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00042}, doi = {10.1109/ICCD.2018.00042}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/XuLCPL018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuS18, author = {Siyuan Xu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Autonomous Temperature Management through Selective Control of Exact-Approximate Tiles}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {346--349}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00059}, doi = {10.1109/ICCD.2018.00059}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuS18a, author = {Siyuan Xu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {{DEEP:} Dedicated Energy-Efficient Approximation for Dynamically Reconfigurable Architectures}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {587--594}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00094}, doi = {10.1109/ICCD.2018.00094}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuS18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuT0ZZX18, author = {Gaoxiang Xu and Zhipeng Tan and Dan Feng and Yifeng Zhu and Xinyan Zhang and Jie Xu}, title = {Cap: Exploiting Data Correlations to Improve the Performance and Endurance of {SSD} {RAID}}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00019}, doi = {10.1109/ICCD.2018.00019}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuT0ZZX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangKMH18, author = {Fan Yang and Junbin Kang and Shuai Ma and Jinpeng Huai}, title = {A Highly Non-Volatile Memory Scalable and Efficient File System}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {431--438}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00071}, doi = {10.1109/ICCD.2018.00071}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangKMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YoonK18, author = {Hye{-}Yeon Yoon and Tae{-}Hwan Kim}, title = {Generalized Tree Architecture for Efficient Successive-Cancellation Polar Decoding}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {326--333}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00056}, doi = {10.1109/ICCD.2018.00056}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YoonK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangE18, author = {Baogang Zhang and Rickard Ewetz}, title = {Software and Hardware Techniques for Reducing the Impact of Quantization Errors in Memristor Crossbar Arrays}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {195--201}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00038}, doi = {10.1109/ICCD.2018.00038}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZ0CMZ18, author = {Qian Zhang and Yongbin Zhou and Shuang Qiu and Wei Cheng and Jingdian Ming and Rui Zhang}, title = {A Compact {AES} Hardware Implementation Secure Against 1st-Order Side-Channel Attacks}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {545--552}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00087}, doi = {10.1109/ICCD.2018.00087}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZ0CMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouW18, author = {Jian Zhou and Jun Wang}, title = {ArchSampler: Architecture-Aware Memory Sampling Library for In-Memory Applications}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {258--265}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00047}, doi = {10.1109/ICCD.2018.00047}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZuoWHHFZ18, author = {Chunxue Zuo and Fang Wang and Ping Huang and Yuchong Hu and Dan Feng and Yucheng Zhang}, title = {{PFCG:} Improving the Restore Performance of Package Datasets in Deduplication Systems}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {553--560}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00088}, doi = {10.1109/ICCD.2018.00088}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZuoWHHFZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2018, title = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8615120/proceeding}, isbn = {978-1-5386-8477-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AboutalebiD17, author = {Armin Haj Aboutalebi and Lide Duan}, title = {{RAPS:} Restore-Aware Policy Selection for STT-MRAM-Based Main Memory under Read Disturbance}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {625--632}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.110}, doi = {10.1109/ICCD.2017.110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AboutalebiD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhmedM17, author = {Alif Ahmed and Prabhat Mishra}, title = {{QUEBS:} Qualifying Event Based Search in Concolic Testing for Validation of {RTL} Models}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {185--192}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.36}, doi = {10.1109/ICCD.2017.36}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AhmedM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlasadY17, author = {Qutaiba Alasad and Jiann{-}Shiun Yuan}, title = {Logic Obfuscation against {IC} Reverse Engineering Attacks Using PLGs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {341--344}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.59}, doi = {10.1109/ICCD.2017.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlasadY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BashirS17, author = {Janibul Bashir and Smruti R. Sarangi}, title = {NUPLet: {A} Photonic Based Multi-Chip {NUCA} Architecture}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {617--624}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.109}, doi = {10.1109/ICCD.2017.109}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BashirS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BertranBBBBCCCE17, author = {Ramon Bertran and Pradip Bose and David M. Brooks and Jeff Burns and Alper Buyuktosunoglu and Nandhini Chandramoorthy and Eric Cheng and Martin Cochet and Schuyler Eldridge and Daniel Friedman and Hans M. Jacobson and Rajiv V. Joshi and Subhasish Mitra and Robert K. Montoye and Arun Paidimarri and Pritish Parida and Kevin Skadron and Mircea Stan and Karthik Swaminathan and Augusto Vega and Swagath Venkataramani and Christos Vezyrtzis and Gu{-}Yeon Wei and John{-}David Wellman and Matthew M. Ziegler}, title = {Very Low Voltage {(VLV)} Design}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {601--604}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.105}, doi = {10.1109/ICCD.2017.105}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BertranBBBBCCCE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BlottPFGOUL17, author = {Michaela Blott and Thomas B. Preu{\ss}er and Nicholas J. Fraser and Giulio Gambardella and Kenneth O'Brien and Yaman Umuroglu and Miriam Leeser}, title = {Scaling Neural Network Performance through Customized Hardware Architectures on Reconfigurable Logic}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {419--422}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.73}, doi = {10.1109/ICCD.2017.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BlottPFGOUL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaiRWPW17, author = {Ruizhe Cai and Ao Ren and Luhao Wang and Massoud Pedram and Yanzhi Wang}, title = {Hardware Acceleration of Bayesian Neural Networks Using {RAM} Based Linear Feedback Gaussian Random Number Generators}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {289--296}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.51}, doi = {10.1109/ICCD.2017.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaiRWPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaoZPRY17, author = {Yuting Cao and Hao Zheng and Hernan M. Palombo and Sandip Ray and Jin Yang}, title = {A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.35}, doi = {10.1109/ICCD.2017.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaoZPRY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChakrabortyXS17, author = {Abhishek Chakraborty and Yang Xie and Ankur Srivastava}, title = {Template Attack Based Deobfuscation of Integrated Circuits}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {41--44}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.15}, doi = {10.1109/ICCD.2017.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChakrabortyXS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanCK17, author = {Tuck{-}Boon Chan and Wei{-}Ting Jonas Chan and Andrew B. Kahng}, title = {ILP-Based Identification of Redundant Logic Insertions for Opportunistic Yield Improvement during Early Process Learning}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {269--272}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.48}, doi = {10.1109/ICCD.2017.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenEK17, author = {Xiaoliang Chen and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Low Latency Approximate Adder for Highly Correlated Input Streams}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {121--124}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.26}, doi = {10.1109/ICCD.2017.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenL17, author = {Jing Chen and Xue Liu}, title = {A High-Performance Deeply Pipelined Architecture for Elementary Transcendental Function Evaluation}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {209--216}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.39}, doi = {10.1109/ICCD.2017.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenPK17, author = {Huili Chen and Seetal Potluri and Farinaz Koushanfar}, title = {BioChipWork: Reverse Engineering of Microfluidic Biochips}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.11}, doi = {10.1109/ICCD.2017.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChengABBCCCCLLM17, author = {Eric Cheng and Jacob A. Abraham and Pradip Bose and Alper Buyuktosunoglu and Keith A. Campbell and Deming Chen and Chen{-}Yong Cher and Hyungmin Cho and Binh Q. Le and Klas Lilja and Shahrzad Mirkhani and Kevin Skadron and Mircea Stan and Lukasz G. Szafaryn and Christos Vezyrtzis and Subhasish Mitra}, title = {Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {593--596}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.103}, doi = {10.1109/ICCD.2017.103}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChengABBCCCCLLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChengHKC17, author = {Chia{-}Yuan Cheng and Shi{-}Yu Huang and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {DLL-Assisted Clock Synchronization Method for Multi-Die ICs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {473--476}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.83}, doi = {10.1109/ICCD.2017.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChengHKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiJKD17, author = {Wonil Choi and Myoungsoo Jung and Mahmut T. Kandemir and Chita R. Das}, title = {A Scale-Out Enterprise Storage Architecture}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {549--556}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.96}, doi = {10.1109/ICCD.2017.96}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoiJKD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DalakotiMB17, author = {Aditya Dalakoti and Merritt Miller and Forrest Brewer}, title = {Pulse Ring Oscillator Tuning via Pulse Dynamics}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {469--472}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.82}, doi = {10.1109/ICCD.2017.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DalakotiMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DasDPC17, author = {Sourav Das and Janardhan Rao Doppa and Partha Pratim Pande and Krishnendu Chakrabarty}, title = {Monolithic 3D-Enabled High Performance and Energy Efficient Network-on-Chip}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {233--240}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.43}, doi = {10.1109/ICCD.2017.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DasDPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DasT17, author = {Abhishek Das and Nur A. Touba}, title = {Limited Magnitude Error Correction Using {OLS} Codes for Memories with Multilevel Cells}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {391--394}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.67}, doi = {10.1109/ICCD.2017.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DasT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DietrichPPC17, author = {Benedikt Dietrich and Nadja Peters and Sangyoung Park and Samarjit Chakraborty}, title = {Estimating the Limits of {CPU} Power Management for Mobile Games}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.10}, doi = {10.1109/ICCD.2017.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DietrichPPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DouglassK17, author = {Andrew J. Douglass and Sunil P. Khatri}, title = {Fast, Ring-Based Design of 3D Stacked {DRAM}}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {665--672}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.116}, doi = {10.1109/ICCD.2017.116}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DouglassK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DridiRLFSD17, author = {Mourad Dridi and St{\'{e}}phane Rubini and Mounir Lallali and Martha Johanna Sep{\'{u}}lveda Fl{\'{o}}rez and Frank Singhoff and Jean{-}Philippe Diguet}, title = {{DAS:} An Efficient NoC Router for Mixed-Criticality Real-Time Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {229--232}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.42}, doi = {10.1109/ICCD.2017.42}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DridiRLFSD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FairouzK17, author = {Abbas A. Fairouz and Sunil P. Khatri}, title = {An FPGA-Based Coprocessor for Hash Unit Acceleration}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {301--304}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.53}, doi = {10.1109/ICCD.2017.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FairouzK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FanA17, author = {Deliang Fan and Shaahin Angizi}, title = {Energy Efficient In-Memory Binary Deep Neural Network Accelerator with Dual-Mode {SOT-MRAM}}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {609--612}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.107}, doi = {10.1109/ICCD.2017.107}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FanA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FarahmandiM17, author = {Farimah Farahmandi and Prabhat Mishra}, title = {Automated Debugging of Arithmetic Circuits Using Incremental Gr{\"{o}}bner Basis Reduction}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.37}, doi = {10.1109/ICCD.2017.37}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/FarahmandiM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FarahmandiM17a, author = {Farimah Farahmandi and Prabhat Mishra}, title = {{FSM} Anomaly Detection Using Formal Analysis}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {313--320}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.55}, doi = {10.1109/ICCD.2017.55}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/FarahmandiM17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FarazmandK17, author = {Navid Farazmand and David R. Kaeli}, title = {Quality of Service-Aware Dynamic Voltage and Frequency Scaling for Mobile 3D Graphics Applications}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {513--516}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.89}, doi = {10.1109/ICCD.2017.89}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FarazmandK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FengFTJL17, author = {Yazhi Feng and Dan Feng and Wei Tong and Yu Jiang and Chuanqi Liu}, title = {Using Disturbance Compensation and Data Clustering {(DC)2} to Improve Reliability and Performance of 3D {MLC} Flash Memory}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {565--572}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.98}, doi = {10.1109/ICCD.2017.98}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FengFTJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GilesH17, author = {Christopher E. Giles and Mark A. Heinrich}, title = {{M2S-CGM:} {A} Detailed Architectural Simulator for Coherent {CPU-GPU} Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {477--484}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.84}, doi = {10.1109/ICCD.2017.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GilesH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GoliSD17, author = {Mehran Goli and Jannis Stoppe and Rolf Drechsler}, title = {Automatic Protocol Compliance Checking of SystemC {TLM-2.0} Simulation Behavior Using Timed Automata}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {377--384}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.65}, doi = {10.1109/ICCD.2017.65}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GoliSD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeAF17, author = {Zhezhi He and Shaahin Angizi and Deliang Fan}, title = {Exploring {STT-MRAM} Based In-Memory Computing Paradigm with Application of Image Edge Extraction}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {439--446}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.78}, doi = {10.1109/ICCD.2017.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HeAF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Hoover17, author = {Steven F. Hoover}, title = {Timing-Abstract Circuit Design in Transaction-Level Verilog}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {525--532}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.91}, doi = {10.1109/ICCD.2017.91}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Hoover17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangM17, author = {Yuanwen Huang and Prabhat Mishra}, title = {Vulnerability-Aware Energy Optimization Using Reconfigurable Caches in Multicore Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {241--248}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.44}, doi = {10.1109/ICCD.2017.44}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HuangM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IlievT17, author = {Nick Iliev and Amit Ranjan Trivedi}, title = {Low Power Spatial Localization of Mobile Sensors with Recurrent Neural Network}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {297--300}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.52}, doi = {10.1109/ICCD.2017.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IlievT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IshakWT17, author = {Suhaimi Abd Ishak and Hui Wu and Umair Ullah Tariq}, title = {Energy-Aware Task Scheduling on Heterogeneous NoC-Based MPSoCs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {165--168}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.33}, doi = {10.1109/ICCD.2017.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IshakWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JoannouWKMBXWCR17, author = {Alexandre Joannou and Jonathan Woodruff and Robert Kovacsics and Simon W. Moore and Alex Bradbury and Hongyan Xia and Robert N. M. Watson and David Chisnall and Michael Roe and Brooks Davis and Edward Napierala and John Baldwin and Khilan Gudka and Peter G. Neumann and Alfredo Mazzinghi and Alex Richardson and Stacey D. Son and A. Theodore Markettos}, title = {Efficient Tagged Memory}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {641--648}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.112}, doi = {10.1109/ICCD.2017.112}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/JoannouWKMBXWCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JyothiKKK17, author = {Vinayaka Jyothi and Prashanth Krishnamurthy and Farshad Khorrami and Ramesh Karri}, title = {{TAINT:} Tool for Automated INsertion of Trojans}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {545--548}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.95}, doi = {10.1109/ICCD.2017.95}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JyothiKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JyothiPSK17, author = {Vinayaka Jyothi and Ashik Poojari and Richard Stern and Ramesh Karri}, title = {Fingerprinting Field Programmable Gate Arrays}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {337--340}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.58}, doi = {10.1109/ICCD.2017.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JyothiPSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangZOZZ17, author = {Wang Kang and He Zhang and Peng Ouyang and Youguang Zhang and Weisheng Zhao}, title = {Programmable Stateful In-Memory Computing Paradigm via a Single Resistive Device}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {613--616}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.108}, doi = {10.1109/ICCD.2017.108}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KangZOZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhanBYCG17, author = {Mohammad Nasim Imtiaz Khan and Shivam Bhasin and Alex Yuan and Anupam Chattopadhyay and Swaroop Ghosh}, title = {Side-Channel Attack on {STTRAM} Based Cache for Cryptographic Application}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.14}, doi = {10.1109/ICCD.2017.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhanBYCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhanWMK17, author = {Ahmed Waheed Khan and Tanya Wanchoo and Gokhan Mumcu and Sel{\c{c}}uk K{\"{o}}se}, title = {Implications of Distributed On-Chip Power Delivery on {EM} Side-Channel Attacks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {329--336}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.57}, doi = {10.1109/ICCD.2017.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhanWMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KodaliHMWBW17, author = {Sreela Kodali and Patrick Hansen and Niamh Mulholland and Paul N. Whatmough and David M. Brooks and Gu{-}Yeon Wei}, title = {Applications of Deep Neural Networks for Ultra Low Power IoT}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {589--592}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.102}, doi = {10.1109/ICCD.2017.102}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KodaliHMWBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KondguliH17, author = {Sushant Kondguli and Michael C. Huang}, title = {{T2:} {A} Highly Accurate and Energy Efficient Stride Prefetcher}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {373--376}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.64}, doi = {10.1109/ICCD.2017.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KondguliH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KoneruKC17, author = {Abhishek Koneru and Sukeshwar Kannan and Krishnendu Chakrabarty}, title = {A Design-for-Test Solution for Monolithic 3D Integrated Circuits}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {685--688}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.119}, doi = {10.1109/ICCD.2017.119}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KoneruKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LahiryK17, author = {Akshay Lahiry and David R. Kaeli}, title = {Dual Dictionary Compression for the Last Level Cache}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {353--360}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.61}, doi = {10.1109/ICCD.2017.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LahiryK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LefterVMSC17, author = {Mihai Lefter and George Razvan Voicu and Thomas Marconi and Valentin Savin and Sorin Dan Cotofana}, title = {LDPC-Based Adaptive Multi-Error Correction for 3D Memories}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {265--268}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.47}, doi = {10.1109/ICCD.2017.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LefterVMSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiQYL17, author = {Bingzhe Li and Yaobin Qin and Bo Yuan and David J. Lilja}, title = {Neural Network Classifiers Using Stochastic Computing with a Hardware-Oriented Approximate Activation Function}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {97--104}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.23}, doi = {10.1109/ICCD.2017.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiQYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiSDDXS17, author = {Qiao Li and Liang Shi and Yejia Di and Yajuan Du and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Exploiting Process Variation for Read Performance Improvement on {LDPC} Based Flash Memory Storage Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {681--684}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.118}, doi = {10.1109/ICCD.2017.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiSDDXS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinDTKRP17, author = {Yibo Lin and Peter Debacker and Darko Trivkovic and Ryoung{-}Han Kim and Praveen Raghavan and David Z. Pan}, title = {Patterning Aware Design Optimization of Selective Etching in {N5} and Beyond}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {415--418}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.72}, doi = {10.1109/ICCD.2017.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LinDTKRP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuV17, author = {Xiaobang Liu and Ranga Vemuri}, title = {Effective Signal Restoration in Post-Silicon Validation}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {169--176}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.34}, doi = {10.1109/ICCD.2017.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuXS17, author = {Yuntao Liu and Yang Xie and Ankur Srivastava}, title = {Neural Trojans}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.16}, doi = {10.1109/ICCD.2017.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuXS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuZJJXH17, author = {Ke Liu and Mengying Zhao and Lei Ju and Zhiping Jia and Chun Jason Xue and Jingtong Hu}, title = {Design Exploration for Multiple Level Cell Based Non-Volatile FPGAs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {257--264}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.46}, doi = {10.1109/ICCD.2017.46}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuZJJXH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuZYWWLE17, author = {Yuxi Liu and Xia Zhao and Zhibin Yu and Zhenlin Wang and Xiaolin Wang and Yingwei Luo and Lieven Eeckhout}, title = {{BACM:} Barrier-Aware Cache Management for Irregular Memory-Intensive {GPGPU} Workloads}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {633--640}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.111}, doi = {10.1109/ICCD.2017.111}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuZYWWLE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LoSLC17, author = {Chi Lo and Yu{-}Yi Su and Chun{-}Yi Lee and Shih{-}Chieh Chang}, title = {A Dynamic Deep Neural Network Design for Efficient Workload Allocation in Edge Computing}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {273--280}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.49}, doi = {10.1109/ICCD.2017.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LoSLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LotfiG17, author = {Atieh Lotfi and Rajesh K. Gupta}, title = {ReHLS: Resource-Aware Program Transformation Workflow for High-Level Synthesis}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {533--536}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.92}, doi = {10.1109/ICCD.2017.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LotfiG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuLPC17, author = {Tianyue Lu and Yuhang Liu and Haiyang Pan and Mingyu Chen}, title = {{TDV} Cache: Organizing Off-Chip {DRAM} Cache of {NVMM} from a Fusion Perspective}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {65--72}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.19}, doi = {10.1109/ICCD.2017.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuLPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuLR17, author = {Sixing Lu and Roman Lysecky and Jerzy W. Rozenblit}, title = {Subcomponent Timing-Based Detection of Malware in Embedded Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.12}, doi = {10.1109/ICCD.2017.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuLR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoAZJFDW17, author = {Pei Luo and Konstantinos Athanasiou and Liwei Zhang and Zhen Hang Jiang and Yunsi Fei and A. Adam Ding and Thomas Wahl}, title = {Compiler-Assisted Threshold Implementation against Power Analysis Attacks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {541--544}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.94}, doi = {10.1109/ICCD.2017.94}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuoAZJFDW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MappourasVCHS17, author = {Georgios Mappouras and Alireza Vahid and A. Robert Calderbank and Derek R. Hower and Daniel J. Sorin}, title = {Jenga: Efficient Fault Tolerance for Stacked {DRAM}}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {361--368}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.62}, doi = {10.1109/ICCD.2017.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MappourasVCHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaruyamaKA17, author = {Yuya Maruyama and Shinpei Kato and Takuya Azumi}, title = {Exploring Scalable Data Allocation and Parallel Computing on NoC-Based Embedded Many Cores}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {225--228}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.41}, doi = {10.1109/ICCD.2017.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaruyamaKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MoKS17, author = {Lei Mo and Angeliki Kritikakou and Olivier Sentieys}, title = {Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {493--500}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.86}, doi = {10.1109/ICCD.2017.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MoKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NajafiLRB17, author = {M. Hassan Najafi and David J. Lilja and Marc D. Riedel and Kia Bazargan}, title = {Power and Area Efficient Sorting Networks Using Unary Processing}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {125--128}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.27}, doi = {10.1109/ICCD.2017.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NajafiLRB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NeumanM0D17, author = {Sabrina M. Neuman and Jason E. Miller and Daniel S{\'{a}}nchez and Srinivas Devadas}, title = {Using Application-Level Thread Progress Information to Manage Power and Performance}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {501--508}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.87}, doi = {10.1109/ICCD.2017.87}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NeumanM0D17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NewtonMPS17, author = {Newton and Sujit Kr Mahto and Suhit Pai and Virendra Singh}, title = {{DAAIP:} Deadblock Aware Adaptive Insertion Policy for High Performance Caching}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {345--352}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.60}, doi = {10.1109/ICCD.2017.60}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NewtonMPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OmarAK17, author = {Hamza Omar and Masab Ahmad and Omer Khan}, title = {GraphTuner: An Input Dependence Aware Loop Perforation Scheme for Efficient Execution of Approximated Graph Algorithms}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {201--208}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.38}, doi = {10.1109/ICCD.2017.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OmarAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OuXCYP17, author = {Jiaojiao Ou and Xiaoqing Xu and Brian Cline and Greg Yeric and David Z. Pan}, title = {{DTCO} for {DSA-MP} Hybrid Lithography with Double-BCP Materials in Sub-7nm Node}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {403--410}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.70}, doi = {10.1109/ICCD.2017.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OuXCYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanL17, author = {Peitian Pan and Chao Li}, title = {Congra: Towards Efficient Processing of Concurrent Graph Queries on Shared-Memory Machines}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {217--224}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.40}, doi = {10.1109/ICCD.2017.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PaulBS17, author = {Sudipta Paul and Pritha Banerjee and Susmita Sur{-}Kolay}, title = {Post-Layout Perturbation towards Stitch Friendly Layout for Multiple E-Beam Lithography}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {411--414}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.71}, doi = {10.1109/ICCD.2017.71}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/PaulBS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PintoH17, author = {Sonal Pinto and Michael S. Hsiao}, title = {Fast Search-Based {RTL} Test Generation Using Control-Flow Path Guidance}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {399--402}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.69}, doi = {10.1109/ICCD.2017.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PintoH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RoelkeZMWSS17, author = {Alec Roelke and Runjie Zhang and Kaushik Mazumdar and Ke Wang and Kevin Skadron and Mircea R. Stan}, title = {Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {597--600}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.104}, doi = {10.1109/ICCD.2017.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RoelkeZMWSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SaeedMZWK17, author = {Samah Mohamed Saeed and Nithin Mahendran and Alwin Zulehner and Robert Wille and Ramesh Karri}, title = {Identifying Reversible Circuit Synthesis Approaches to Enable {IP} Piracy Attacks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {537--540}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.93}, doi = {10.1109/ICCD.2017.93}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SaeedMZWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SayadiPSH17, author = {Hossein Sayadi and Nisarg Patel and Avesta Sasan and Houman Homayoun}, title = {Machine Learning-Based Approaches for Energy-Efficiency Prediction and Scheduling in Composite Cores Architectures}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {129--136}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.28}, doi = {10.1109/ICCD.2017.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SayadiPSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ScolariLWI17, author = {Alberto Scolari and Yunseong Lee and Markus Weimer and Matteo Interlandi}, title = {Towards Accelerating Generic Machine Learning Prediction Pipelines}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {431--434}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.76}, doi = {10.1109/ICCD.2017.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ScolariLWI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShenXL17, author = {Minghua Shen and Nong Xiao and Guojie Luo}, title = {Dependency-Aware Parallel Routing for Large-Scale FPGAs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {249--256}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.45}, doi = {10.1109/ICCD.2017.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShenXL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShimJ017, author = {Yong Shim and Akhilesh Jaiswal and Kaushik Roy}, title = {Stochastic Switching of {SHE-MTJ} as a Natural Annealer for Efficient Combinatorial Optimization}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {605--608}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.106}, doi = {10.1109/ICCD.2017.106}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ShimJ017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShuklaC17, author = {Sudhanshu Shukla and Mainak Chaudhuri}, title = {Sharing-Aware Efficient Private Caching in Many-Core Server Processors}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {485--492}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.85}, doi = {10.1109/ICCD.2017.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShuklaC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SoleimaniPSBK17, author = {Kimia Soleimani and Ahmad Patooghy and Nasim Soltani and Lake Bu and Michel A. Kinsy}, title = {Crosstalk Free Coding Systems to Protect NoC Channels against Crosstalk Faults}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {385--390}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.66}, doi = {10.1109/ICCD.2017.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SoleimaniPSBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SozzoBAS17, author = {Emanuele Del Sozzo and Riyadh Baghdadi and Saman P. Amarasinghe and Marco D. Santambrogio}, title = {A Common Backend for Hardware Acceleration on {FPGA}}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {427--430}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.75}, doi = {10.1109/ICCD.2017.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SozzoBAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanCFWZS17, author = {Vinesh Srinivasan and Rangeen Basu Roy Chowdhury and Elliott Forbes and Randy Widialaksono and Zhenqian Zhang and Joshua Schabel and Sungkwan Ku and Steve Lipa and Eric Rotenberg and W. Rhett Davis and Paul D. Franzon}, title = {{H3} (Heterogeneity in 3D): {A} Logic-on-Logic 3D-Stacked Heterogeneous Multi-Core Processor}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {145--152}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.30}, doi = {10.1109/ICCD.2017.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanCFWZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/StefflR17, author = {Samuel Steffl and Sherief Reda}, title = {LACore: {A} Supercomputing-Like Linear Algebra Accelerator for SoC-Based Designs}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {137--144}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.29}, doi = {10.1109/ICCD.2017.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/StefflR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/StraubeNAFA17, author = {Kramer Straube and Christopher Nitta and Raj Amirtharajah and Matthew K. Farrens and Venkatesh Akella}, title = {Improving Execution Time of Parallel Programs on Large Scale Chip Multiprocessors with Constant Average Power Processing}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {649--652}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.113}, doi = {10.1109/ICCD.2017.113}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/StraubeNAFA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TangICK17, author = {Jack Tang and Mohamed Ibrahim and Krishnendu Chakrabarty and Ramesh Karri}, title = {Security Trade-Offs in Microfluidic Routing Fabrics}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.13}, doi = {10.1109/ICCD.2017.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TangICK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TootaghajF17, author = {Diman Zad Tootaghaj and Farshid Farhat}, title = {{CAGE:} {A} Contention-Aware Game-Theoretic Model for Heterogeneous Resource Assignment}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {161--164}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.32}, doi = {10.1109/ICCD.2017.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TootaghajF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TsoutsosMM17, author = {Nektarios Georgios Tsoutsos and Oleg Mazonka and Michail Maniatakos}, title = {Memory-Bounded Randomness for Hardware-Constrained Encrypted Computation}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {673--680}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.117}, doi = {10.1109/ICCD.2017.117}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TsoutsosMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TucciRSS17, author = {Lorenzo Di Tucci and Marco Rabozzi and Luca Stornaiuolo and Marco D. Santambrogio}, title = {The Role of {CAD} Frameworks in Heterogeneous FPGA-Based Cloud Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {423--426}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.74}, doi = {10.1109/ICCD.2017.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TucciRSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VarkeyPM17, author = {Dennis Antony Varkey and Biswabandan Panda and Madhu Mutyam}, title = {{RCTP:} Region Correlated Temporal Prefetcher}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {73--80}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.20}, doi = {10.1109/ICCD.2017.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VarkeyPM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Villalba-Moreno17, author = {Julio Villalba{-}Moreno and Javier Hormigo}, title = {Floating Point Square Root under {HUB} Format}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {447--454}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.79}, doi = {10.1109/ICCD.2017.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Villalba-Moreno17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VossBMGL17, author = {Nils Voss and Marco Bacis and Oskar Mencer and Georgi Gaydadjiev and Wayne Luk}, title = {Convolutional Neural Networks on Dataflow Engines}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {435--438}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.77}, doi = {10.1109/ICCD.2017.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VossBMGL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangCZ17, author = {Chenguang Wang and Yici Cai and Qiang Zhou}, title = {Automatic Security Property Generation for Detecting Information-Leaking Hardware Trojans}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {321--328}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.56}, doi = {10.1109/ICCD.2017.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangFLTWZ17, author = {Chengning Wang and Dan Feng and Jingning Liu and Wei Tong and Bing Wu and Yang Zhang}, title = {{DAWS:} Exploiting Crossbar Characteristics for Improving Write Performance of High Density Resistive Memory}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.50}, doi = {10.1109/ICCD.2017.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangFLTWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangPJ17, author = {Jiajun Wang and Reena Panda and Lizy Kurian John}, title = {SelSMaP: {A} Selective Stride Masking Prefetching Scheme}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {369--372}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.63}, doi = {10.1109/ICCD.2017.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangWW17, author = {Ling Wang and Xiaohang Wang and Yadong Wang}, title = {{ABDTR:} Approximation-Based Dynamic Traffic Regulation for Networks-on-Chip Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {153--160}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.31}, doi = {10.1109/ICCD.2017.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangYCZY17, author = {Chenguang Wang and Ming Yan and Yici Cai and Qiang Zhou and Jianlei Yang}, title = {Power Profile Equalizer: {A} Lightweight Countermeasure against Side-Channel Attack}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {305--312}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.54}, doi = {10.1109/ICCD.2017.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangYCZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WenZY17, author = {Wen Wen and Youtao Zhang and Jun Yang}, title = {Read Error Resilient {MLC} {STT-MRAM} Based Last Level Cache}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {455--462}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.80}, doi = {10.1109/ICCD.2017.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WenZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuFHTLLZ17, author = {Jie Xu and Dan Feng and Yu Hua and Wei Tong and Jingning Liu and Chunyan Li and Wen Zhou}, title = {Improving Performance of {TLC} {RRAM} with Compression-Ratio-Aware Data Encoding}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {573--580}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.99}, doi = {10.1109/ICCD.2017.99}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuFHTLLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuFTLZ17, author = {Jie Xu and Dan Feng and Wei Tong and Jingning Liu and Wen Zhou}, title = {Encoding Separately: An Energy-Efficient Write Scheme for {MLC} {STT-RAM}}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {581--584}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.100}, doi = {10.1109/ICCD.2017.100}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuFTLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuS17, author = {Siyuan Xu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, title = {Approximate Reconfigurable Hardware Accelerator: Adapting the Micro-Architecture to Dynamic Workloads}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {113--120}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.25}, doi = {10.1109/ICCD.2017.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuSL17, author = {Siyuan Xu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer and Yidi Liu}, title = {Configurable SoC In-Situ Hardware/Software Co-Design Design Space Exploration}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.88}, doi = {10.1109/ICCD.2017.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangUS17, author = {Tongxin Yang and Tomoaki Ukezono and Toshinori Sato}, title = {Low-Power and High-Speed Approximate Multiplier Design with a Tree Compressor}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {89--96}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.22}, doi = {10.1109/ICCD.2017.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangUS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangWHZ17, author = {Tianming Yang and Haitao Wu and Ping Huang and Fei Zhang}, title = {A Shingle-Aware Persistent Cache Management Scheme for {DM-SMR} Disks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {81--88}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.21}, doi = {10.1109/ICCD.2017.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangWHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YaoHWWQ17, author = {Yue Yao and Shuyang Huang and Chen Wang and Yi Wu and Weikang Qian}, title = {Approximate Disjoint Bi-Decomposition and Its Application to Approximate Logic Synthesis}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {517--524}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.90}, doi = {10.1109/ICCD.2017.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YaoHWWQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuKLC17, author = {Joonsang Yu and Kyounghoon Kim and Jongeun Lee and Kiyoung Choi}, title = {Accurate and Efficient Stochastic Computing Hardware for Convolutional Neural Networks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {105--112}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.24}, doi = {10.1109/ICCD.2017.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuKLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZeinaliMRM17, author = {Behzad Zeinali and Jens Kargaard Madsen and Praveen Raghavan and Farshad Moradi}, title = {Ultra-Fast {SOT-MRAM} Cell with {STT} Current for Deterministic Switching}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {463--468}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.81}, doi = {10.1109/ICCD.2017.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZeinaliMRM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangFHC17, author = {Xiaoyi Zhang and Dan Feng and Yu Hua and Jianxi Chen}, title = {A Cost-Efficient NVM-Based Journaling Scheme for File Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.18}, doi = {10.1109/ICCD.2017.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangFHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangKFMJ17, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, title = {Yoda: Judge Me by My Size, Do You?}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {395--398}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.68}, doi = {10.1109/ICCD.2017.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangKFMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangWDYXW17, author = {Meng Zhang and Fei Wu and Yajuan Du and Chengmo Yang and Changsheng Xie and Jiguang Wan}, title = {CooECC: {A} Cooperative Error Correction Scheme to Reduce {LDPC} Decoding Latency in {NAND} Flash}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {657--664}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.115}, doi = {10.1109/ICCD.2017.115}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangWDYXW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangXBL17, author = {Tianwei Zhang and Yuan Xu and Yungang Bao and Ruby B. Lee}, title = {CloudShelter: Protecting Virtual Machines' Memory Resource Availability in Clouds}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {557--564}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.97}, doi = {10.1109/ICCD.2017.97}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangXBL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZJCL17, author = {Mingzhe Zhang and Lunkai Zhang and Lei Jiang and Frederic T. Chong and Zhiyong Liu}, title = {Quick-and-Dirty: Improving Performance of {MLC} {PCM} by Using Temporary Short Writes}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {585--588}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.101}, doi = {10.1109/ICCD.2017.101}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZJCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhengMHSZ17, author = {Shengan Zheng and Hong Mei and Linpeng Huang and Yanyan Shen and Yanmin Zhu}, title = {Adaptive Prefetching for Accelerating Read and Write in NVM-Based File Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {49--56}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.17}, doi = {10.1109/ICCD.2017.17}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhengMHSZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouHZWZD17, author = {Chaobing Zhou and Libo Huang and Tan Zhang and Yongwen Wang and Chengyi Zhang and Qiang Dou}, title = {Effective Optimization of Branch Predictors through Lightweight Simulation}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {653--656}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.114}, doi = {10.1109/ICCD.2017.114}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouHZWZD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2017, title = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8118206/proceeding}, isbn = {978-1-5386-2254-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001S16, author = {Majid Jalili and Hamid Sarbazi{-}Azad}, title = {Tolerating more hard errors in {MLC} PCMs using compression}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {304--311}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753294}, doi = {10.1109/ICCD.2016.7753294}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0001S16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0015MWWZG16, author = {Chen Li and Sheng Ma and Lu Wang and Zicong Wang and Xia Zhao and Yang Guo}, title = {{DLL:} {A} dynamic latency-aware load-balancing strategy in 2.5D NoC architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {646--653}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753352}, doi = {10.1109/ICCD.2016.7753352}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0015MWWZG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbusultanK16, author = {Monther Abusultan and Sunil P. Khatri}, title = {Implementing low power digital circuits using flash devices}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {109--116}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753268}, doi = {10.1109/ICCD.2016.7753268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbusultanK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AbusultanK16a, author = {Monther Abusultan and Sunil P. Khatri}, title = {Exploring static and dynamic flash-based {FPGA} design topologies}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {416--419}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753317}, doi = {10.1109/ICCD.2016.7753317}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AbusultanK16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AghaaliakbariHA16, author = {Fatemeh Aghaaliakbari and Mohaddeseh Hoveida and Mohammad Arjomand and Majid Jalili and Hamid Sarbazi{-}Azad}, title = {Efficient processor allocation in a reconfigurable {CMP} architecture for dark silicon era}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {336--343}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753298}, doi = {10.1109/ICCD.2016.7753298}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AghaaliakbariHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhmedM16, author = {Khondker Zakir Ahmed and Saibal Mukhopadhyay}, title = {A single-inductor-cascaded-stage topology for high conversion ratio boost regulator}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {487--491}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753331}, doi = {10.1109/ICCD.2016.7753331}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AhmedM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AkgunZW016, author = {Itir Akgun and Jia Zhan and Yuangang Wang and Yuan Xie}, title = {Scalable memory fabric for silicon interposer-based multi-core systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753258}, doi = {10.1109/ICCD.2016.7753258}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AkgunZW016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AkramS16, author = {Ayaz Akram and Lina Sawalha}, title = {{\texttimes}86 computer architecture simulators: {A} comparative study}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {638--645}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753351}, doi = {10.1109/ICCD.2016.7753351}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AkramS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AndradeGS16, author = {Gabriel A. G. Andrade and Marleson Graf and Luiz C. V. dos Santos}, title = {Chain-based pseudorandom tests for pre-silicon verification of {CMP} memory systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {552--559}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753340}, doi = {10.1109/ICCD.2016.7753340}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AndradeGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AngladaCA016, author = {Mart{\'{\i}} Anglada and Ramon Canal and Juan L. Arag{\'{o}}n and Antonio Gonz{\'{a}}lez}, title = {MASkIt: Soft error rate estimation for combinational circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {614--621}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753348}, doi = {10.1109/ICCD.2016.7753348}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AngladaCA016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AssareG16, author = {Omid Assare and Rajesh K. Gupta}, title = {Strategies for optimal operating point selection in timing speculative processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {584--591}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753344}, doi = {10.1109/ICCD.2016.7753344}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AssareG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AtaeiSG16, author = {Samira Ataei and James E. Stine and Matthew R. Guthaus}, title = {A 64 kb differential single-port 12T {SRAM} design with a bit-interleaving scheme for low-voltage operation in 32 nm {SOI} {CMOS}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {499--506}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753333}, doi = {10.1109/ICCD.2016.7753333}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AtaeiSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AttaranSHM16, author = {Aliyar Attaran and Hassan Salmani and Houman Homayoun and Hamid Mahmoodi}, title = {Dynamic single and Dual Rail spin transfer torque look up tables with enhanced robustness under {CMOS} and {MTJ} process variations}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {348--351}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753300}, doi = {10.1109/ICCD.2016.7753300}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AttaranSHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AzimF16, author = {Akramul Azim and Sebastian Fischmeister}, title = {Efficient mode changes in multi-mode systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {592--599}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753345}, doi = {10.1109/ICCD.2016.7753345}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AzimF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BegumHSC16, author = {Rizwana Begum and Mark Hempstead and Guru Prasad Srinivasa and Geoffrey Challen}, title = {Algorithms for {CPU} and {DRAM} {DVFS} under inefficiency constraints}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {161--168}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753276}, doi = {10.1109/ICCD.2016.7753276}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BegumHSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BeigiM16, author = {Majed Valad Beigi and Gokhan Memik}, title = {{TESLA:} Using microfluidics to thermally stabilize 3D stacked {STT-RAM} caches}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753299}, doi = {10.1109/ICCD.2016.7753299}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BeigiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BidmeshkiRZRM16, author = {Mohammad{-}Mahdi Bidmeshki and Gaurav Rajavendra Reddy and Liwei Zhou and Jeyavijayan Rajendran and Yiorgos Makris}, title = {Hardware-based attacks to compromise the cryptographic security of an election system}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {153--156}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753274}, doi = {10.1109/ICCD.2016.7753274}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BidmeshkiRZRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BockCMM16, author = {Santiago Bock and Bruce R. Childers and Rami G. Melhem and Daniel Moss{\'{e}}}, title = {Concurrent Migration of Multiple Pages in software-managed hybrid main memory}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {420--423}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753318}, doi = {10.1109/ICCD.2016.7753318}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BockCMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanDKNS16, author = {Wei{-}Ting Jonas Chan and Yang Du and Andrew B. Kahng and Siddhartha Nath and Kambiz Samadi}, title = {{BEOL} stack-aware routability prediction from placement using data mining techniques}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753259}, doi = {10.1109/ICCD.2016.7753259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanDKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChandraJB16, author = {Saumya Chandra and Ramkumar Jayaseelan and Ravi Bhargava}, title = {Speculative path power estimation using trace-driven simulations during high-level design phase}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {630--637}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753350}, doi = {10.1109/ICCD.2016.7753350}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChandraJB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangZMZCRX16, author = {Yisong Chang and Ke Zhang and Sally A. McKee and Lixin Zhang and Mingyu Chen and Liqiang Ren and Zhiwei Xu}, title = {Extending On-chip Interconnects for rack-level remote resource access}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {56--63}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753261}, doi = {10.1109/ICCD.2016.7753261}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangZMZCRX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DebFSMBS16, author = {Arjun Deb and Paolo Faraboschi and Ali Shafiee and Naveen Muralimanohar and Rajeev Balasubramonian and Robert Schreiber}, title = {Enabling technologies for memory compression: Metadata, mapping, and prediction}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753256}, doi = {10.1109/ICCD.2016.7753256}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DebFSMBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DingLHLW16, author = {Caiwen Ding and Hongjia Li and Jingtong Hu and Yongpan Liu and Yanzhi Wang}, title = {Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {289--295}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753292}, doi = {10.1109/ICCD.2016.7753292}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DingLHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DingLZWCL16, author = {Caiwen Ding and Hongjia Li and Weiwei Zheng and Yanzhi Wang and Naehyuck Chang and Xue Lin}, title = {Luminescent solar concentrator-based photovoltaic reconfiguration for hybrid and plug-in electric vehicles}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753291}, doi = {10.1109/ICCD.2016.7753291}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DingLZWCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FairouzAK16, author = {Abbas A. Fairouz and Monther Abusultan and Sunil P. Khatri}, title = {A novel hardware hash unit design for modern microprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {412--415}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753316}, doi = {10.1109/ICCD.2016.7753316}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FairouzAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FallahzadehG16, author = {Ramin Fallahzadeh and Hassan Ghasemzadeh}, title = {CyHOP: {A} generic framework for real-time power-performance optimization in networked wearable motion sensors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {428--431}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753320}, doi = {10.1109/ICCD.2016.7753320}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FallahzadehG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FleischerVBJ16, author = {Bruce M. Fleischer and Christos Vezyrtzis and Karthik Balakrishnan and Keith A. Jenkins}, title = {A statistical critical path monitor in 14nm {CMOS}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {507--511}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753334}, doi = {10.1109/ICCD.2016.7753334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FleischerVBJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ForbesR16, author = {Elliott Forbes and Eric Rotenberg}, title = {Fast register consolidation and migration for heterogeneous multi-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753254}, doi = {10.1109/ICCD.2016.7753254}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ForbesR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GoliSD16, author = {Mehran Goli and Jannis Stoppe and Rolf Drechsler}, title = {{AIBA:} An Automated Intra-cycle Behavioral Analysis for SystemC-based design exploration}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {360--363}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753303}, doi = {10.1109/ICCD.2016.7753303}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GoliSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GongECW16, author = {Na Gong and Jonathon Edstrom and Dongliang Chen and Jinhui Wang}, title = {Data-Pattern enabled Self-Recovery multimedia storage system for near-threshold computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {492--498}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753332}, doi = {10.1109/ICCD.2016.7753332}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GongECW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GovindarajG16, author = {Rekha Govindaraj and Swaroop Ghosh}, title = {A strong arbiter {PUF} using resistive {RAM} within 1T-1R memory architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {141--148}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753272}, doi = {10.1109/ICCD.2016.7753272}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GovindarajG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GrosseLHD16, author = {Daniel Gro{\ss}e and Hoang M. Le and Muhammad Hassan and Rolf Drechsler}, title = {Guided lightweight Software test qualification for {IP} integration using Virtual Prototypes}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {606--613}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753347}, doi = {10.1109/ICCD.2016.7753347}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GrosseLHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuSBK016, author = {Peng Gu and Dylan C. Stow and Russell Barnes and Eren Kursun and Yuan Xie}, title = {Thermal-aware 3D design for side-channel information leakage}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {520--527}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753336}, doi = {10.1109/ICCD.2016.7753336}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuSBK016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HanZWK16, author = {Xushen Han and Dajiang Zhou and Shihao Wang and Shinji Kimura}, title = {{CNN-MERP:} An FPGA-based memory-efficient reconfigurable processor for forward and backward propagation of convolutional neural networks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {320--327}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753296}, doi = {10.1109/ICCD.2016.7753296}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HanZWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HollisMM16, author = {Simon J. Hollis and Edward Ma and Radu Marculescu}, title = {nOS: {A} nano-sized distributed operating system for many-core embedded systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753278}, doi = {10.1109/ICCD.2016.7753278}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HollisMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HongKAK16, author = {Byungchul Hong and Yongkee Kwon and Jung Ho Ahn and John Kim}, title = {Adaptive and flexible key-value stores through soft data partitioning}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {296--303}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753293}, doi = {10.1109/ICCD.2016.7753293}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HongKAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HsiehKVCBGM16, author = {Kevin Hsieh and Samira Manabi Khan and Nandita Vijaykumar and Kevin K. Chang and Amirali Boroumand and Saugata Ghose and Onur Mutlu}, title = {Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753257}, doi = {10.1109/ICCD.2016.7753257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HsiehKVCBGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuemerLS16, author = {Florian Huemer and Jakob Lechner and Andreas Steininger}, title = {A new coding scheme for fault tolerant 4-phase delay-insensitive codes}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753311}, doi = {10.1109/ICCD.2016.7753311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuemerLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaZ16, author = {Qi Jia and Huiyang Zhou}, title = {Tuning Stencil codes in OpenCL for FPGAs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {249--256}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753287}, doi = {10.1109/ICCD.2016.7753287}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangWLG16, author = {Yanbing Jiang and Chentao Wu and Jie Li and Minyi Guo}, title = {{BDR:} {A} Balanced Data Redistribution scheme to accelerate the scaling process of XOR-based Triple Disk Failure Tolerant arrays}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {72--79}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753263}, doi = {10.1109/ICCD.2016.7753263}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangWLG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiaoJRG16, author = {Xun Jiao and Yu Jiang and Abbas Rahimi and Rajesh K. Gupta}, title = {{WILD:} {A} workload-based learning model to predict dynamic delay of functional units}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {185--192}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753279}, doi = {10.1109/ICCD.2016.7753279}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiaoJRG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JostNC16, author = {Tiago T. Jost and Gabriel L. Nazar and Luigi Carro}, title = {Scalable memory architecture for soft-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {396--399}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753312}, doi = {10.1109/ICCD.2016.7753312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JostNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JyothiTSK16, author = {Vinayaka Jyothi and Manasa Thoonoli and Richard Stern and Ramesh Karri}, title = {{FPGA} Trust Zone: Incorporating trust and reliability into {FPGA} designs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {600--605}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753346}, doi = {10.1109/ICCD.2016.7753346}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JyothiTSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KangKK16, author = {Yesung Kang and Jaewoo Kim and Seokhyeong Kang}, title = {Novel approximate synthesis flow for energy-efficient {FIR} filter}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {96--102}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753266}, doi = {10.1109/ICCD.2016.7753266}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KarSRDM16, author = {Monodeep Kar and Arvind Singh and Anand Rajan and Vivek De and Saibal Mukhopadhyay}, title = {What does ultra low power requirements mean for side-channel secure cryptography?}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {686--689}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753359}, doi = {10.1109/ICCD.2016.7753359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KarSRDM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhatamifardRKK16, author = {S. Karen Khatamifard and Michael Resch and Nam Sung Kim and Ulya R. Karpuzcu}, title = {{VARIUS-TC:} {A} modular architecture-level model of parametric variation for thin-channel switches}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {654--661}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753353}, doi = {10.1109/ICCD.2016.7753353}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhatamifardRKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimPB16, author = {Kyu Yeun Kim and Jinsu Park and Woongki Baek}, title = {{IACM:} Integrated adaptive cache management for high-performance and energy-efficient {GPGPU} computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {380--383}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753308}, doi = {10.1109/ICCD.2016.7753308}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimPB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KomariV16, author = {Prabanjan Komari and Ranga Vemuri}, title = {A novel simulation based approach for trace signal selection in silicon debug}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753280}, doi = {10.1109/ICCD.2016.7753280}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KomariV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KumarG0B16, author = {Satendra Kumar and Ankur Gupta and Sudip Roy and Bhargab B. Bhattacharya}, title = {Design automation of multiple-demand mixture preparation using a K-array rotary mixer on digital microfluidic biochips}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {273--280}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753290}, doi = {10.1109/ICCD.2016.7753290}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KumarG0B16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKH16, author = {Junghoon Lee and Taehoon Kim and Jaehyuk Huh}, title = {Dynamic prefetcher reconfiguration for diverse memory architectures}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753270}, doi = {10.1109/ICCD.2016.7753270}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKPKCJY16, author = {Seil Lee and Hanjoo Kim and Seongsik Park and Sei Joon Kim and Hyeokjun Choe and Chang{-}Sung Jeong and Sungroh Yoon}, title = {CloudSocket: Smart grid platform for datacenters}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {436--439}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753322}, doi = {10.1109/ICCD.2016.7753322}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeKPKCJY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeW16, author = {Shin{-}Ying Lee and Carole{-}Jean Wu}, title = {Ctrl-C: Instruction-Aware Control Loop Based Adaptive Cache Bypassing for GPUs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753271}, doi = {10.1109/ICCD.2016.7753271}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDLLW16, author = {Cunlu Li and Dezun Dong and Xiangke Liao and Fei Lei and Ji Wu}, title = {{CCAS:} Contention and congestion aware switch allocation for network-on-chips}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {444--447}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753324}, doi = {10.1109/ICCD.2016.7753324}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDLLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiDLPC16, author = {Yongjian Li and Kaiqiang Duan and Yi Lv and Jun Pang and Shaowei Cai}, title = {A novel approach to parameterized verification of cache coherence protocols}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {560--567}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753341}, doi = {10.1109/ICCD.2016.7753341}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiDLPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiJJKL16, author = {Tianjian Li and Li Jiang and Naifeng Jing and Nam Sung Kim and Xiaoyao Liang}, title = {CNFET-based high throughput register file architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {662--669}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753354}, doi = {10.1109/ICCD.2016.7753354}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiJJKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiRLQWY16, author = {Zhe Li and Ao Ren and Ji Li and Qinru Qiu and Yanzhi Wang and Bo Yuan}, title = {{DSCNN:} Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {678--681}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753357}, doi = {10.1109/ICCD.2016.7753357}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiRLQWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiSH16, author = {Changgong Li and Alexander Schwarz and Christian Hochberger}, title = {A readback based general debugging framework for soft-core processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {568--575}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753342}, doi = {10.1109/ICCD.2016.7753342}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinXBWGP16, author = {Xue Lin and Yuankun Xue and Paul Bogdan and Yanzhi Wang and Siddharth Garg and Massoud Pedram}, title = {Power-aware virtual machine mapping in the data-center-on-a-chip paradigm}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {241--248}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753286}, doi = {10.1109/ICCD.2016.7753286}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LinXBWGP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuCWM16, author = {Taizhi Liu and Chang{-}Chih Chen and Jiadong Wu and Linda S. Milor}, title = {{SRAM} stability analysis for different cache configurations due to Bias Temperature Instability and Hot Carrier Injection}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {225--232}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753284}, doi = {10.1109/ICCD.2016.7753284}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuCWM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuTGLJS16, author = {Yang Liu and Hung{-}Wei Tseng and Mark Gahagan and Jing Li and Yanqin Jin and Steven Swanson}, title = {Hippogriff: Efficiently moving data in heterogeneous computing systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {376--379}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753307}, doi = {10.1109/ICCD.2016.7753307}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuTGLJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuTS16, author = {Yang Liu and Hung{-}Wei Tseng and Steven Swanson}, title = {SPMario: Scale up MapReduce with I/O-Oriented Scheduling for the {GPU}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {384--387}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753309}, doi = {10.1109/ICCD.2016.7753309}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuVZP16, author = {Yin Liu and Hariharasudhan Venkataraman and Zisheng Zhang and Keshab K. Parhi}, title = {Machine learning classifiers using stochastic logic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {408--411}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753315}, doi = {10.1109/ICCD.2016.7753315}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LiuVZP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuYLXLW16, author = {Lei Liu and Hao Yang and Yong Li and Mengyao Xie and Lian Li and Chenggang Wu}, title = {Memos: {A} full hierarchy hybrid memory management framework}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {368--371}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753305}, doi = {10.1109/ICCD.2016.7753305}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuYLXLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LyAWHSJ16, author = {Kelvin Ly and Orlando Arias and Jacob Wurm and Khoa Hoang and Kaveh Shamsi and Yier Jin}, title = {Voting system design pitfalls: Vulnerability analysis and exploitation of a model platform}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {149--152}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753273}, doi = {10.1109/ICCD.2016.7753273}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LyAWHSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MirhosseiniSZS16, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Maryam Zare and Hamid Sarbazi{-}Azad}, title = {Quantifying the difference in resource demand among classic and modern NoC workloads}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {404--407}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753314}, doi = {10.1109/ICCD.2016.7753314}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MirhosseiniSZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MomeniTSK16, author = {Amir Momeni and Hamed Tabkhi and Gunar Schirner and David R. Kaeli}, title = {Hardware thread reordering to boost OpenCL throughput on FPGAs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {257--264}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753288}, doi = {10.1109/ICCD.2016.7753288}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MomeniTSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Neftci16, author = {Emre Neftci}, title = {Stochastic neuromorphic learning machines for weakly labeled data}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {670--673}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753355}, doi = {10.1109/ICCD.2016.7753355}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Neftci16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NejatHB16, author = {Arash Nejat and David H{\'{e}}ly and Vincent Beroulle}, title = {How logic masking can improve path delay analysis for Hardware Trojan detection}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {424--427}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753319}, doi = {10.1109/ICCD.2016.7753319}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NejatHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NomuraKIS16, author = {Hayato Nomura and Hiroyuki Katchi and Hidetsugu Irie and Shuichi Sakai}, title = {"Stubborn" strategy to mitigate remaining cache misses}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {388--391}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753310}, doi = {10.1109/ICCD.2016.7753310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NomuraKIS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanoYLTD16, author = {Vasil Pano and Isikcan Yilmaz and Yuqiao Liu and Baris Taskin and Kapil R. Dandekar}, title = {Wireless Network-on-Chip analysis of propagation technique for on-chip communication}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {400--403}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753313}, doi = {10.1109/ICCD.2016.7753313}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanoYLTD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanoYMT16, author = {Vasil Pano and Isikcan Yilmaz and Ankit More and Baris Taskin}, title = {Energy aware routing of multi-level Network-on-Chip traffic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {480--486}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753330}, doi = {10.1109/ICCD.2016.7753330}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanoYMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PapadimitriouGC16, author = {George Papadimitriou and Dimitris Gizopoulos and Athanasios Chatzidimitriou and Tom Kolan and Anatoly Koyfman and Ronny Morad and Vitali Sokhin}, title = {Unveiling difficult bugs in address translation caching arrays for effective post-silicon validation}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {544--551}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753339}, doi = {10.1109/ICCD.2016.7753339}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PapadimitriouGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PatranabisRVMG16, author = {Sikhar Patranabis and Debapriya Basu Roy and Praveen Kumar Vadnala and Debdeep Mukhopadhyay and Santosh Ghosh}, title = {Shuffling across rounds: {A} lightweight strategy to counter side-channel attacks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {440--443}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753323}, doi = {10.1109/ICCD.2016.7753323}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PatranabisRVMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PeterG16, author = {Steffen Peter and Tony Givargis}, title = {Towards a timing attack aware high-level synthesis of integrated circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {452--455}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753326}, doi = {10.1109/ICCD.2016.7753326}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PeterG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PetersFPC16, author = {Nadja Peters and Dominik Fuss and Sangyoung Park and Samarjit Chakraborty}, title = {Frame-based and thread-based power management for mobile games on {HMP} platforms}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {169--176}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753277}, doi = {10.1109/ICCD.2016.7753277}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PetersFPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PonugotiM16, author = {Mounika Ponugoti and Aleksandar Milenkovic}, title = {Exploiting cache coherence for effective on-the-fly data tracing in multicores}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {312--319}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753295}, doi = {10.1109/ICCD.2016.7753295}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PonugotiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PossignoloESR16, author = {Rafael Trapani Possignolo and Elnaz Ebrahimi and Haven Blake Skinner and Jose Renau}, title = {Fluid Pipelines: Elastic circuitry meets Out-of-Order execution}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {233--240}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753285}, doi = {10.1109/ICCD.2016.7753285}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PossignoloESR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiuLGZWXLX16, author = {Keni Qiu and Junpeng Luo and Zhiyao Gong and Weigong Zhang and Jing Wang and Yuanchao Xu and Tao Li and Chun Jason Xue}, title = {Refresh-aware loop scheduling for high performance low power volatile {STT-RAM}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {209--216}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753282}, doi = {10.1109/ICCD.2016.7753282}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiuLGZWXLX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiuNZWWXL16, author = {Keni Qiu and Yuanhui Ni and Weigong Zhang and Jing Wang and Xiaoqiang Wu and Chun Jason Xue and Tao Li}, title = {An adaptive Non-Uniform Loop Tiling for DMA-based bulk data transfers on many-core processor}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753255}, doi = {10.1109/ICCD.2016.7753255}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiuNZWWXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanaCHC16, author = {Manish Rana and Ramon Canal and Jie Han and Bruce F. Cockburn}, title = {{SRAM} memory margin probability failure estimation using Gaussian Process regression}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {448--451}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753325}, doi = {10.1109/ICCD.2016.7753325}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanaCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RapartiKP16, author = {Venkata Yaswanth Raparti and Nishit Ashok Kapadia and Sudeep Pasricha}, title = {{CHARM:} {A} checkpoint-based resource management framework for reliable multicore computing in the dark silicon era}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {201--208}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753281}, doi = {10.1109/ICCD.2016.7753281}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RapartiKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RayHBB16, author = {Sandip Ray and Tamzidul Hoque and Abhishek Basak and Swarup Bhunia}, title = {The power play: Security-energy trade-offs in the IoT regime}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {690--693}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753360}, doi = {10.1109/ICCD.2016.7753360}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RayHBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SatpathyMSK16, author = {Sudhir Satpathy and Sanu Mathew and Vikram B. Suresh and Ram Krishnamurthy}, title = {Ultra-low energy security circuits for IoT applications}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {682--685}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753358}, doi = {10.1109/ICCD.2016.7753358}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SatpathyMSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SchlaisL16, author = {David J. Schlais and Mikko H. Lipasti}, title = {{BADGR:} {A} practical {GHR} implementation for {TAGE} branch predictors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {536--543}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753338}, doi = {10.1109/ICCD.2016.7753338}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SchlaisL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SembrantHB16, author = {Andreas Sembrant and Erik Hagersten and David Black{-}Schaffer}, title = {Data placement across the cache hierarchy: Minimizing data movement with reuse-aware placement}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753269}, doi = {10.1109/ICCD.2016.7753269}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SembrantHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShaoWW16, author = {Airan Shao and Dongsheng Wang and Haixia Wang}, title = {Pull-off buffer: Borrowing cache space to avoid deadlock for fault-tolerant NoC routing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {464--471}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753328}, doi = {10.1109/ICCD.2016.7753328}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShaoWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShiWGX16, author = {Xin Shi and Fei Wu and Xidong Guan and Changsheng Xie}, title = {Error behaviors testing with temperature and magnetism dependency for {MRAM}}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {356--359}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753302}, doi = {10.1109/ICCD.2016.7753302}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShiWGX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShuT16, author = {Wei Shu and Nian{-}Feng Tzeng}, title = {Relinquishment coherence for enhancing directory efficiency in chip multiprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {372--375}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753306}, doi = {10.1109/ICCD.2016.7753306}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShuT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongLLLC16, author = {Chang Song and Beiye Liu and Chenchen Liu and Hai Li and Yiran Chen}, title = {Design techniques of eNVM-enabled neuromorphic computing systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {674--677}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753356}, doi = {10.1109/ICCD.2016.7753356}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanKK16, author = {Sudarshan Srinivasan and Israel Koren and Sandip Kundu}, title = {Improving performance per Watt of non-monotonic Multicore Processors via bottleneck-based online program phase classification}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {528--535}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753337}, doi = {10.1109/ICCD.2016.7753337}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SuiYTLC16, author = {Yan Sui and Chun Yang and Dong Tong and Xianhua Liu and Xu Cheng}, title = {{MFAP:} Fair Allocation between fully backlogged and non-fully backlogged applications}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {576--583}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753343}, doi = {10.1109/ICCD.2016.7753343}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SuiYTLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TaassoriSB16, author = {Meysam Taassori and Ali Shafiee and Rajeev Balasubramonian}, title = {Understanding and alleviating intra-die and intra-DIMM parameter variation in the memory system}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {217--224}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753283}, doi = {10.1109/ICCD.2016.7753283}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TaassoriSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TariqW16, author = {Umair Ullah Tariq and Hui Wu}, title = {Energy-aware scheduling of conditional task graphs with deadlines on MPSoCs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {265--272}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753289}, doi = {10.1109/ICCD.2016.7753289}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TariqW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TingH16, author = {Pai{-}Shun Ting and John P. Hayes}, title = {Isolation-based decorrelation of stochastic circuits}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753265}, doi = {10.1109/ICCD.2016.7753265}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TingH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TsoutsosM16, author = {Nektarios Georgios Tsoutsos and Michail Maniatakos}, title = {Cryptographic vote-stealing attacks against a partially homomorphic e-voting architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {157--160}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753275}, doi = {10.1109/ICCD.2016.7753275}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TsoutsosM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WaddenBWERSS16, author = {Jack Wadden and Nathan Brunelle and Ke Wang and Mohamed El{-}Hadedy and Gabriel Robins and Mircea Stan and Kevin Skadron}, title = {Generating efficient and high-quality pseudo-random behavior on Automata Processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {622--629}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753349}, doi = {10.1109/ICCD.2016.7753349}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WaddenBWERSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangS16, author = {Liang Wang and Kevin Skadron}, title = {Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753297}, doi = {10.1109/ICCD.2016.7753297}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangZ16, author = {Xinying Wang and Joseph Zambreno}, title = {Parallelizing Latent Semantic Indexing using an FPGA-based architecture}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {432--435}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753321}, doi = {10.1109/ICCD.2016.7753321}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuDQ16, author = {Guojin Wu and Yuhui Deng and Xiao Qin}, title = {Using Provenance to boost the Metadata Prefetching in distributed storage systems}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {80--87}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753264}, doi = {10.1109/ICCD.2016.7753264}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuDQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiangGMT16, author = {Xi{-}Yue Xiang and Saugata Ghose and Onur Mutlu and Nian{-}Feng Tzeng}, title = {A model for Application Slowdown Estimation in on-chip networks and its use for improving system fairness and performance}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {456--463}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753327}, doi = {10.1109/ICCD.2016.7753327}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiangGMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaoDMLZ16, author = {Wenjian Xiao and Huanqing Dong and Liuying Ma and Zhenjun Liu and Qiang Zhang}, title = {{HS-BAS:} {A} hybrid storage system based on band awareness of Shingled Write Disk}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753262}, doi = {10.1109/ICCD.2016.7753262}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiaoDMLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangM16, author = {Ping{-}Lin Yang and Malgorzata Marek{-}Sadowska}, title = {A fast, fully verifiable, and hardware predictable {ASIC} design methodology}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {364--367}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753304}, doi = {10.1109/ICCD.2016.7753304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YantirFEK16, author = {Hasan Erdem Yantir and Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Process variations-aware resistive associative processor design}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {49--55}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753260}, doi = {10.1109/ICCD.2016.7753260}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YantirFEK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoM0EW16, author = {Xia Zhao and Sheng Ma and Chen Li and Lieven Eeckhout and Zhiying Wang}, title = {A heterogeneous low-cost and low-latency Ring-Chain network for GPGPUs}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {472--479}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753329}, doi = {10.1109/ICCD.2016.7753329}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoM0EW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouLLFFC16, author = {Ching Zhou and Yu{-}Shiang Lin and Pong{-}Fei Lu and Bruce M. Fleischer and David J. Frank and Leland Chang}, title = {Synthesis design strategies for energy-efficient microprocessors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753267}, doi = {10.1109/ICCD.2016.7753267}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouLLFFC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhuARZ16, author = {Xian Zhu and Mihir Awatramani and Diane T. Rover and Joseph Zambreno}, title = {{ONAC:} Optimal number of active cores detector for energy efficient {GPU} computing}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {512--519}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753335}, doi = {10.1109/ICCD.2016.7753335}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhuARZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZongX16, author = {Wen Zong and Qiang Xu}, title = {{DOART:} {A} low-power and low-latency Network-on-Chip}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {352--355}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753301}, doi = {10.1109/ICCD.2016.7753301}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZongX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2016, title = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7742853/proceeding}, isbn = {978-1-5090-5142-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AguiarK15, author = {Joao Marcos de Aguiar and Sunil P. Khatri}, title = {Exploring the viability of stochastic computing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {391--394}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357131}, doi = {10.1109/ICCD.2015.7357131}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AguiarK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhariEOT15, author = {Ali Ahari and Mojtaba Ebrahimi and Fabian Oboril and Mehdi Baradaran Tahoori}, title = {Improving reliability, performance, and energy efficiency of {STT-MRAM} with dynamic write latency}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {109--116}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357091}, doi = {10.1109/ICCD.2015.7357091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AhariEOT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AliISCK15, author = {Sk Subidh Ali and Mohamed Ibrahim and Ozgur Sinanoglu and Krishnendu Chakrabarty and Ramesh Karri}, title = {Security implications of cyberphysical digital microfluidic biochips}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {483--486}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357154}, doi = {10.1109/ICCD.2015.7357154}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AliISCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AmbroseYBPP15, author = {Jude Angelo Ambrose and Yusuke Yachide and Kapil Batra and Jorgen Peddersen and Sri Parameswaran}, title = {Sequential C-code to distributed pipelined heterogeneous MPSoC synthesis for streaming applications}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {216--223}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357106}, doi = {10.1109/ICCD.2015.7357106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AmbroseYBPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ArimaNNMTFN15, author = {Eishi Arima and Hiroki Noguchi and Takashi Nakada and Shinobu Miwa and Susumu Takeda and Shinobu Fujita and Hiroshi Nakamura}, title = {Immediate sleep: Reducing energy impact of peripheral circuits in {STT-MRAM} caches}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {149--156}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357096}, doi = {10.1109/ICCD.2015.7357096}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ArimaNNMTFN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AtoofianS15, author = {Ehsan Atoofian and Ahsan Saghir}, title = {Shift-aware racetrack memory}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {427--430}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357140}, doi = {10.1109/ICCD.2015.7357140}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AtoofianS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Austin15, author = {Todd M. Austin}, title = {Keynote talk {I:} Ending the Tyranny of Amdahl's Law}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {vii--ix}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357074}, doi = {10.1109/ICCD.2015.7357074}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Austin15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaiSBSIF15, author = {Yuxin Bai and Yanwei Song and Mahdi Nazm Bojnordi and Alexander E. Shapiro and Engin Ipek and Eby G. Friedman}, title = {Architecting a {MOS} current mode logic {(MCML)} processor for fast, low noise and energy-efficient computing in the near-threshold regime}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {527--534}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357161}, doi = {10.1109/ICCD.2015.7357161}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaiSBSIF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BanerjeeR15, author = {Soumya Banerjee and Wenjing Rao}, title = {On the conditions of guaranteed k-fault tolerant systems supporting on-the-fly repairs}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {387--390}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357130}, doi = {10.1109/ICCD.2015.7357130}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BanerjeeR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaoS15, author = {Chongxi Bao and Ankur Srivastava}, title = {3D Integration: New opportunities in defense against cache-timing side-channel attacks}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {273--280}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357114}, doi = {10.1109/ICCD.2015.7357114}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaoS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BardizbanyanL15, author = {Alen Bardizbanyan and Per Larsson{-}Edefors}, title = {Exploring early and late ALUs for single-issue in-order pipelines}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {543--548}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357163}, doi = {10.1109/ICCD.2015.7357163}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BardizbanyanL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BegumH15, author = {Rizwana Begum and Mark Hempstead}, title = {Power-agility metrics: Measuring dynamic characteristics of energy proportionality}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {643--650}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357176}, doi = {10.1109/ICCD.2015.7357176}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BegumH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BertaccoB15, author = {Valeria Bertacco and Wade Bonkowski}, title = {ItHELPS: Iterative high-accuracy error localization in post-silicon}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {196--199}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357103}, doi = {10.1109/ICCD.2015.7357103}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BertaccoB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BoccaSMMP15, author = {Alberto Bocca and Alessandro Sassone and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {407--410}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357135}, doi = {10.1109/ICCD.2015.7357135}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BoccaSMMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BolchiniDMPS15, author = {Cristiana Bolchini and Gianluca C. Durelli and Antonio Miele and Gabriele Pallotta and Marco D. Santambrogio}, title = {An orchestrated approach to efficiently manage resources in heterogeneous system architectures}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {200--207}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357104}, doi = {10.1109/ICCD.2015.7357104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BolchiniDMPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BombieriBDPP15, author = {Nicola Bombieri and Federico Busato and Alessandro Danese and Luca Piccolboni and Graziano Pravadelli}, title = {Exploiting {GPU} architectures for dynamic invariant mining}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {192--195}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357102}, doi = {10.1109/ICCD.2015.7357102}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BombieriBDPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BournoutianO15, author = {Garo Bournoutian and Alex Orailoglu}, title = {Mobile ecosystem driven application-specific low-power control microarchitecture}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {720--727}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357186}, doi = {10.1109/ICCD.2015.7357186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BournoutianO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CallegaroMMRR15, author = {Vinicius Callegaro and Felipe S. Marranghello and Mayler G. A. Martins and Renato P. Ribas and Andr{\'{e}} In{\'{a}}cio Reis}, title = {Bottom-up disjoint-support decomposition based on cofactor and boolean difference analysis}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {680--687}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357181}, doi = {10.1109/ICCD.2015.7357181}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CallegaroMMRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaoCZ15, author = {Yanan Cao and Long Chen and Zhao Zhang}, title = {Memory design for selective error protection}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357094}, doi = {10.1109/ICCD.2015.7357094}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaoCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Chaparro-Baquero15, author = {Gustavo A. Chaparro{-}Baquero and Soamar Homsi and Omara Vichot and Shaolei Ren and Gang Quan and Shangping Ren}, title = {Cache allocation for fixed-priority real-time scheduling on multi-core platforms}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {589--596}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357169}, doi = {10.1109/ICCD.2015.7357169}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Chaparro-Baquero15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CheemaNAK15, author = {Umer I. Cheema and Gregory Nash and Rashid Ansari and Ashfaq A. Khokhar}, title = {InvArch: {A} hardware eficient architecture for Matrix Inversion}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {180--187}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357100}, doi = {10.1109/ICCD.2015.7357100}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CheemaNAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenQWX15, author = {Huajun Chen and Zichu Qi and Lin Wang and Chao Xu}, title = {A scan chain optimization method for diagnosis}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {613--620}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357172}, doi = {10.1109/ICCD.2015.7357172}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenQWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChouB15, author = {Chih{-}Hsun Chou and Laxmi N. Bhuyan}, title = {A multicore vacation scheme for thermal-aware packet processing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {565--572}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357166}, doi = {10.1109/ICCD.2015.7357166}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChouB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChuangSG15, author = {Pierce I{-}Jen Chuang and Manoj Sachdev and Vincent C. Gaudet}, title = {{VLSI} implementation of high-throughput, low-energy, configurable {MIMO} detector}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {535--542}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357162}, doi = {10.1109/ICCD.2015.7357162}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChuangSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CorsonelloPF15, author = {Pasquale Corsonello and Stefania Perri and Fabio Frustaci}, title = {Exploring well configurations for voltage level converter design in 28 nm {UTBB} {FDSOI} technology}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {499--504}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357157}, doi = {10.1109/ICCD.2015.7357157}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CorsonelloPF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CortadellaLLLMR15, author = {Jordi Cortadella and Luciano Lavagno and Pedro Lopez and Marc Lupon and Alberto Moreno and Antoni Roca and Sachin S. Sapatnekar}, title = {Reactive clocks with variability-tracking jitter}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {511--518}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357159}, doi = {10.1109/ICCD.2015.7357159}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/CortadellaLLLMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DangMK15, author = {Dharanidhar Dang and Rabi N. Mahapatra and Eun Jung Kim}, title = {{PID} controlled thermal management in photonic network-on-chip}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {17--23}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357079}, doi = {10.1109/ICCD.2015.7357079}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DangMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DemmeRNS15, author = {John Demme and Bipin Rajendran and Steven M. Nowick and Simha Sethumadhavan}, title = {Increasing reconfigurability with memristive interconnects}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {351--358}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357124}, doi = {10.1109/ICCD.2015.7357124}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DemmeRNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FezzardiCF15, author = {Pietro Fezzardi and Michele Castellana and Fabrizio Ferrandi}, title = {Trace-based automated logical debugging for high-level synthesis generated circuits}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {251--258}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357111}, doi = {10.1109/ICCD.2015.7357111}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FezzardiCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FilippiniST15, author = {Leo Filippini and Emre Salman and Baris Taskin}, title = {A wirelessly powered system with charge recovery logic}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {505--510}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357158}, doi = {10.1109/ICCD.2015.7357158}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FilippiniST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Fujita15, author = {Masahiro Fujita}, title = {Automatic identification of assertions and invariants with small numbers of test vectors}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {463--466}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357149}, doi = {10.1109/ICCD.2015.7357149}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Fujita15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Garcia-RedondoL15, author = {Fernando Garc{\'{\i}}a{-}Redondo and Marisa L{\'{o}}pez{-}Vallejo and Pablo Ituero}, title = {A thermal adaptive scheme for reliable write operation on {RRAM} based architectures}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {367--374}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357126}, doi = {10.1109/ICCD.2015.7357126}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Garcia-RedondoL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GeorgeM15, author = {M. P. Jomu George and Otmane A{\"{\i}}t Mohamed}, title = {A methodology to generate evenly distributed input stimuli by clustering of variable domain}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {605--612}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357171}, doi = {10.1109/ICCD.2015.7357171}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GeorgeM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GhasemiKK15, author = {Hamid Reza Ghasemi and Ulya R. Karpuzcu and Nam Sung Kim}, title = {Comparison of single-ISA heterogeneous versus wide dynamic range processors for mobile applications}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {304--310}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357118}, doi = {10.1109/ICCD.2015.7357118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GhasemiKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GomesH15, author = {Cesar Gomes and Mark Hempstead}, title = {Combative cache efficacy techniques: Cache replacement in the context of independent prefetching in last level cache}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {423--426}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357139}, doi = {10.1109/ICCD.2015.7357139}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GomesH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GrandhiMSPC15, author = {Satish Grandhi and David McCarthy and Christian Spagnol and Emanuel M. Popovici and Sorin Cotofana}, title = {{ROST-C:} Reliability driven optimisation and synthesis techniques for combinational circuits}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {431--434}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357141}, doi = {10.1109/ICCD.2015.7357141}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GrandhiMSPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaiderAHPJSKD15, author = {Syed Kamran Haider and Masab Ahmad and Farrukh Hijaz and Astha Patni and Ethan Johnson and Matthew Seita and Omer Khan and Marten van Dijk}, title = {{M-MAP:} Multi-factor memory authentication for secure embedded processors}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {471--474}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357151}, doi = {10.1109/ICCD.2015.7357151}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaiderAHPJSKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HajkazemiTH15, author = {Mohammad Hossein Hajkazemi and Mohammad Khavari Tavana and Houman Homayoun}, title = {Wide {I/O} or LPDDR? Exploration and analysis of performance, power and temperature trade-offs of emerging {DRAM} technologies in embedded MPSoCs}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {62--69}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357085}, doi = {10.1109/ICCD.2015.7357085}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HajkazemiTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HallC15, author = {Michael J. Hall and Roger D. Chamberlain}, title = {Using M/G/l queueing models with vacations to analyze virtualized logic computations}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {78--85}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357087}, doi = {10.1109/ICCD.2015.7357087}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HallC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HeKNSMN15, author = {Yuan He and Masaaki Kondo and Takashi Nakada and Hiroshi Sasaki and Shinobu Miwa and Hiroshi Nakamura}, title = {Runtime multi-optimizations for energy efficient on-chip interconnections1}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {455--458}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357147}, doi = {10.1109/ICCD.2015.7357147}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HeKNSMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HoGHC15, author = {Tsung{-}Yi Ho and William H. Grover and Shiyan Hu and Krishnendu Chakrabarty}, title = {Cyber-physical integration in programmable microfluidic biochips}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {224--227}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357107}, doi = {10.1109/ICCD.2015.7357107}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HoGHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IchiharaIII15, author = {Hideyuki Ichihara and Tomoya Inaoka and Tsuyoshi Iwagaki and Tomoo Inoue}, title = {Logic simplification by minterm complement for error tolerant application}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {94--100}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357089}, doi = {10.1109/ICCD.2015.7357089}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IchiharaIII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangHXXLLL15, author = {Li Jiang and Xiangwei Huang and Hongfeng Xie and Qiang Xu and Chao Li and Xiaoyao Liang and Huiyun Li}, title = {A novel {TSV} probing technique with adhesive test interposer}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {597--604}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357170}, doi = {10.1109/ICCD.2015.7357170}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangHXXLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JongeriusMADVC15, author = {Rik Jongerius and Giovanni Mariani and Andreea Anghel and Gero Dittmann and Erik Vermij and Henk Corporaal}, title = {Analytic processor model for fast design-space exploration}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {411--414}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357136}, doi = {10.1109/ICCD.2015.7357136}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JongeriusMADVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JungHS15, author = {Jinwook Jung and Daijoon Hyun and Youngsoo Shin}, title = {Physical synthesis of {DNA} circuits with spatially localized gates}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {259--265}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357112}, doi = {10.1109/ICCD.2015.7357112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JungHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JyothiAK15, author = {Vinayaka Jyothi and Sateesh Addepalli and Ramesh Karri}, title = {Deep Packet Field Extraction Engine {(DPFEE):} {A} pre-processor for network intrusion detection and denial-of-service detection systems}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {266--272}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357113}, doi = {10.1109/ICCD.2015.7357113}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JyothiAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KakoulliSKK15, author = {Elena Kakoulli and Vassos Soteriou and Charalambos Koutsides and Kyriacos Kalli}, title = {Design of high-performance, power-efficient optical NoCs using Silica-embedded silicon nanophotonics}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357077}, doi = {10.1109/ICCD.2015.7357077}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KakoulliSKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KalyanamSA15, author = {Vijay Kiran Kalyanam and Martin Saint{-}Laurent and Jacob A. Abraham}, title = {Power-aware multi-voltage custom memory models for enhancing {RTL} and low power verification}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {24--31}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357080}, doi = {10.1109/ICCD.2015.7357080}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KalyanamSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KanduriHRLJT15, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware runtime mapping for many-core systems: {A} patterning approach}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {573--580}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357167}, doi = {10.1109/ICCD.2015.7357167}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KanduriHRLJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimLS15, author = {Doyun Kim and Jiangyi Li and Mingoo Seok}, title = {Energy-optimal voltage model supporting a wide range of nodal switching rates for early design-space exploration}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {383--386}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357129}, doi = {10.1109/ICCD.2015.7357129}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LathigaraBS15, author = {Parth Lathigara and Shankar Balachandran and Virendra Singh}, title = {Application behavior aware re-reference interval prediction for shared {LLC}}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {172--179}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357099}, doi = {10.1109/ICCD.2015.7357099}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LathigaraBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LechnerSH15, author = {Jakob Lechner and Andreas Steininger and Florian Huemer}, title = {Methods for analysing and improving the fault resilience of delay-insensitive codes}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {519--526}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357160}, doi = {10.1109/ICCD.2015.7357160}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LechnerSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Lee15, author = {Benjamin C. Lee}, title = {Applied statistical inference for system design and management}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {188--191}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357101}, doi = {10.1109/ICCD.2015.7357101}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Lee15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiJMHJLOT15, author = {Dawei Li and Siddhartha Joshi and Seda Ogrenci Memik and James Hoff and Sergo Jindariani and Tiehui Liu and Jamieson Olsen and Nhan Tran}, title = {A methodology for power characterization of associative memories}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {491--498}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357156}, doi = {10.1109/ICCD.2015.7357156}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiJMHJLOT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiP15, author = {Jiashen Li and Yun Pan}, title = {A fast and energy efficient branch and bound algorithm for NoC task mapping}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357078}, doi = {10.1109/ICCD.2015.7357078}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinRWPC15, author = {Chen{-}Hsuan Lin and Subhendu Roy and Chun{-}Yao Wang and David Z. Pan and Deming Chen}, title = {{CSL:} Coordinated and scalable logic synthesis techniques for effective {NBTI} reduction}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {236--243}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357109}, doi = {10.1109/ICCD.2015.7357109}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LinRWPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuDY15, author = {Xiaowei Liu and Alex Doboli and Fan Ye}, title = {Optimized local control strategy for voice-based interaction-tracking badges for social applications}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {688--695}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357182}, doi = {10.1109/ICCD.2015.7357182}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuDY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuZSLL15, author = {Zhiguo Liu and Ziyuan Zhu and Jinglin Shi and Jinbao Liu and Shiqiang Li}, title = {A low power buffer-aided vector register file for {LTE} baseband signal processing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {403--406}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357134}, doi = {10.1109/ICCD.2015.7357134}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuZSLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuoFLMK15, author = {Chao Luo and Yunsi Fei and Pei Luo and Saoni Mukherjee and David R. Kaeli}, title = {Side-channel power analysis of a {GPU} {AES} implementation}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357115}, doi = {10.1109/ICCD.2015.7357115}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuoFLMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaKCSV15, author = {Yufei Ma and Minkyu Kim and Yu Cao and Jae{-}sun Seo and Sarma B. K. Vrudhula}, title = {Energy-efficient reconstruction of compressively sensed bioelectrical signals with stochastic computing circuits}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {443--446}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357144}, doi = {10.1109/ICCD.2015.7357144}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaKCSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MahmoudSFM15, author = {Khaled Z. Mahmoud and William E. Smith and Mark Fishkin and Timothy N. Miller}, title = {Data-driven logic synthesizer for acceleration of Forward propagation in artificial neural networks}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {435--438}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357142}, doi = {10.1109/ICCD.2015.7357142}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MahmoudSFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaksimovicVP15, author = {Djordje Maksimovic and Andreas G. Veneris and Zissis Poulos}, title = {Clustering-based revision debug in regression verification}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {32--37}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357081}, doi = {10.1109/ICCD.2015.7357081}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaksimovicVP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MalikH15, author = {Maria Malik and Houman Homayoun}, title = {Big data on low power cores: Are low power embedded processors a good fit for the big data workloads?}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {379--382}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357128}, doi = {10.1109/ICCD.2015.7357128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MalikH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaoCYC15, author = {Manqing Mao and Yu Cao and Shimeng Yu and Chaitali Chakrabarti}, title = {Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {359--366}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357125}, doi = {10.1109/ICCD.2015.7357125}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaoCYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaoW15, author = {Bo Mao and Suzhen Wu}, title = {Exploiting request characteristics and internal parallelism to improve {SSD} performance}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {447--450}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357145}, doi = {10.1109/ICCD.2015.7357145}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaoW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MarranghelloCRR15, author = {Felipe S. Marranghello and Vinicius Callegaro and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas}, title = {{SOP} based logic synthesis for memristive {IMPLY} stateful logic}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {228--235}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357108}, doi = {10.1109/ICCD.2015.7357108}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MarranghelloCRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MuzaffarE15, author = {Shahzad Muzaffar and Ibrahim Abe M. Elfadel}, title = {Power management of pulsed-index communication protocols}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {375--378}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357127}, doi = {10.1109/ICCD.2015.7357127}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MuzaffarE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Muzahid15, author = {Abdullah Muzahid}, title = {Hardware support for production run diagnosis of performance bugs}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {487--490}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357155}, doi = {10.1109/ICCD.2015.7357155}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Muzahid15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OttoMASHS15, author = {Paul Otto and Maria Malik and Nima Akhlaghi and Rebel Sequeira and Houman Homayoun and Siddhartha Sikdar}, title = {Power and performance characterization, analysis and tuning for energy-efficient edge detection on atom and {ARM} based platforms}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {479--482}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357153}, doi = {10.1109/ICCD.2015.7357153}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OttoMASHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PagliariCC15, author = {Daniele Jahier Pagliari and Mario R. Casu and Luca P. Carloni}, title = {Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {475--478}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357152}, doi = {10.1109/ICCD.2015.7357152}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PagliariCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PagliariCMP15, author = {Daniele Jahier Pagliari and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {An automated design flow for approximate circuits based on reduced precision redundancy}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {86--93}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357088}, doi = {10.1109/ICCD.2015.7357088}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PagliariCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PatelHM15, author = {Bhargavraj Patel and Nikos Hardavellas and Gokhan Memik}, title = {{SCP:} Synergistic cache compression and prefetching}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {164--171}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357098}, doi = {10.1109/ICCD.2015.7357098}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PatelHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Penry15, author = {David A. Penry}, title = {Improving the interface performance of synthesized structural {FAME} simulators through scheduling}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {70--77}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357086}, doi = {10.1109/ICCD.2015.7357086}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Penry15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PrakashWIM15, author = {Alok Prakash and Siqi Wang and Alexandru Eugen Irimiea and Tulika Mitra}, title = {Energy-efficient execution of data-parallel applications on heterogeneous mobile platforms}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {208--215}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357105}, doi = {10.1109/ICCD.2015.7357105}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PrakashWIM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PugsleyDBL15, author = {Seth H. Pugsley and Arjun Deb and Rajeev Balasubramonian and Feifei Li}, title = {Fixed-function hardware sorting accelerators for near data MapReduce execution}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {439--442}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357143}, doi = {10.1109/ICCD.2015.7357143}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PugsleyDBL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PujariWH15, author = {Ravi Kumar Pujari and Thomas Wild and Andreas Herkersdorf}, title = {A hardware-based multi-objective thread mapper for tiled manycore architectures}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {459--462}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357148}, doi = {10.1109/ICCD.2015.7357148}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PujariWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PuriH15, author = {Prateek Puri and Michael S. Hsiao}, title = {{SI-SMART:} Functional test generation for {RTL} circuits using loop abstraction and learning recurrence relationships}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {38--45}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357082}, doi = {10.1109/ICCD.2015.7357082}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PuriH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RahmanFRT15, author = {Md. Tauhidur Rahman and Domenic Forte and Fahim Rahman and Mark M. Tehranipoor}, title = {A pair selection algorithm for robust {RO-PUF} against environmental variations and aging}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {415--418}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357137}, doi = {10.1109/ICCD.2015.7357137}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RahmanFRT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RethinagiriPMUC15, author = {Santhosh Kumar Rethinagiri and Oscar Palomar and Javier Arias Moreno and Osman S. Unsal and Adri{\'{a}}n Cristal}, title = {{VPM:} Virtual power meter tool for low-power many-core/heterogeneous data center prototypes}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {651--658}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357177}, doi = {10.1109/ICCD.2015.7357177}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RethinagiriPMUC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RoyBGDM15, author = {Debapriya Basu Roy and Shivam Bhasin and Sylvain Guilley and Jean{-}Luc Danger and Debdeep Mukhopadhyay}, title = {From theory to practice of private circuit: {A} cautionary note}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {296--303}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357117}, doi = {10.1109/ICCD.2015.7357117}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RoyBGDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SaravananCR15, author = {Karthikeyan P. Saravanan and Paul M. Carpenter and Alex Ram{\'{\i}}rez}, title = {Exploring multiple sleep modes in on/off based energy efficient {HPC} networks}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {54--61}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357084}, doi = {10.1109/ICCD.2015.7357084}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SaravananCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShakyaGTF15, author = {Bicky Shakya and Ujjwal Guin and Mark M. Tehranipoor and Domenic Forte}, title = {Performance optimization for on-chip sensors to detect recycled ICs}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {289--295}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357116}, doi = {10.1109/ICCD.2015.7357116}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShakyaGTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShamsiBJGNH15, author = {Kaveh Shamsi and Yu Bi and Yier Jin and Pierre{-}Emmanuel Gaillardon and Michael T. Niemier and Xiaobo Sharon Hu}, title = {Reliable and high performance {STT-MRAM} architectures based on controllable-polarity devices}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {343--350}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357123}, doi = {10.1109/ICCD.2015.7357123}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShamsiBJGNH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShenH15, author = {Yiren Shen and Jiang Hu}, title = {{GPU} acceleration for PCA-based statistical static timing analysis}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {674--679}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357180}, doi = {10.1109/ICCD.2015.7357180}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShenH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShevgoorMBJ15, author = {Manjunath Shevgoor and Naveen Muralimanohar and Rajeev Balasubramonian and Yoocharn Jeon}, title = {Improving memristor memory with sneak current sharing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {549--556}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357164}, doi = {10.1109/ICCD.2015.7357164}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShevgoorMBJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShuklaC15, author = {Sudhanshu Shukla and Mainak Chaudhuri}, title = {Pool directory: Efficient coherence tracking with dynamic directory allocation in many-core systems}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {557--564}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357165}, doi = {10.1109/ICCD.2015.7357165}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShuklaC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShweBYPP15, author = {Su Myat Min Shwe and Kapil Batra and Yusuke Yachide and Jorgen Peddersen and Sri Parameswaran}, title = {{RAPITIMATE:} Rapid performance estimation of pipelined processing systems containing shared memory}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {635--642}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357175}, doi = {10.1109/ICCD.2015.7357175}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShweBYPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SomashekarTJ15, author = {Ahish Mysore Somashekar and Spyros Tragoudas and Rathish Jayabharathi}, title = {Non-enumerative correlation-aware path selection}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {629--634}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357174}, doi = {10.1109/ICCD.2015.7357174}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SomashekarTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongBI15, author = {Yanwei Song and Mahdi Nazm Bojnordi and Engin Ipek}, title = {Energy-efficient data movement with sparse transition encoding}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {399--402}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357133}, doi = {10.1109/ICCD.2015.7357133}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongBI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SongJJ15, author = {Chang Song and Lei Ju and Zhiping Jia}, title = {Hybrid scratchpad and cache memory management for energy-efficient parallel {HEVC} encoding}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {712--719}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357185}, doi = {10.1109/ICCD.2015.7357185}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SongJJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanKK15, author = {Sudarshan Srinivasan and Israel Koren and Sandip Kundu}, title = {Online mechanism for reliability and power-efficiency management of a dynamically reconfigurable core}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {327--334}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357121}, doi = {10.1109/ICCD.2015.7357121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TaatizadehN15, author = {Pouya Taatizadeh and Nicola Nicolici}, title = {Emulation-based selection and assessment of assertion checkers for post-silicon validation}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {46--53}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357083}, doi = {10.1109/ICCD.2015.7357083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TaatizadehN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TangGM15, author = {Xifan Tang and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, title = {{FPGA-SPICE:} {A} simulation-based power estimation framework for FPGAs}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {696--703}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357183}, doi = {10.1109/ICCD.2015.7357183}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TangGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TarghettaOIG15, author = {Andrew D. Targhetta and Donald E. Owen and Francis L. Israel and Paul V. Gratz}, title = {Energy-efficient implementations of {GF} (p) and GF(2m) elliptic curve cryptography}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {704--711}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357184}, doi = {10.1109/ICCD.2015.7357184}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TarghettaOIG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TavanaPHMSH15, author = {Mohammad Khavari Tavana and Divya Pathak and Mohammad Hossein Hajkazemi and Maria Malik and Ioannis Savidis and Houman Homayoun}, title = {Realizing complexity-effective on-chip power delivery for many-core platforms by exploiting optimized mapping}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {581--588}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357168}, doi = {10.1109/ICCD.2015.7357168}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TavanaPHMSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThakkarP15, author = {Ishan G. Thakkar and Sudeep Pasricha}, title = {A novel 3D graphics {DRAM} architecture for high-performance and low-energy memory accesses}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {467--470}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357150}, doi = {10.1109/ICCD.2015.7357150}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ThakkarP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VegaLSBPB15, author = {Augusto Vega and Chung{-}Ching Lin and Karthik Swaminathan and Alper Buyuktosunoglu and Sharathchandra Pankanti and Pradip Bose}, title = {Resilient, UAV-embedded real-time computing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {736--739}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357189}, doi = {10.1109/ICCD.2015.7357189}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VegaLSBPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VelasquezJ15, author = {Alvaro Velasquez and Sumit Kumar Jha}, title = {Fault-tolerant in-memory crossbar computing using quantified constraint solving}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {101--108}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357090}, doi = {10.1109/ICCD.2015.7357090}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VelasquezJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ViguierLSVBPBAB15, author = {Raphael Viguier and Chung{-}Ching Lin and Karthik Swaminathan and Augusto Vega and Alper Buyuktosunoglu and Sharathchandra Pankanti and Pradip Bose and H. Akbarpour and Filiz Bunyak and Kannappan Palaniappan and Guna Seetharaman}, title = {Resilient mobile cognition: Algorithms, innovations, and architectures}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {728--731}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357187}, doi = {10.1109/ICCD.2015.7357187}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ViguierLSVBPBAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VitkovskiySG15, author = {Arseniy Vitkovskiy and Vassos Soteriou and Paul V. Gratz}, title = {Clotho: Proactive wearout deceleration in Chip-Multiprocessor interconnects}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357092}, doi = {10.1109/ICCD.2015.7357092}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/VitkovskiySG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WickramasingheG15, author = {Mahanama Wickramasinghe and Hui Guo}, title = {Effective hardware-level thread synchronization for high performance and power efficiency in application specific multi-threaded embedded processors}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {311--318}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357119}, doi = {10.1109/ICCD.2015.7357119}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WickramasingheG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuDLW15, author = {Ji Wu and Dezun Dong and Xiangke Liao and Wang Li}, title = {Chameleon: Adaptive energy-efficient heterogeneous network-on-chip}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {419--422}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357138}, doi = {10.1109/ICCD.2015.7357138}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuDLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuGH15, author = {Chia{-}Yu Wu and Helmut Graeb and Jiang Hu}, title = {A pre-search assisted {ILP} approach to analog integrated circuit routing}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {244--250}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357110}, doi = {10.1109/ICCD.2015.7357110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuXLZ15, author = {Si Wu and Yinlong Xu and Yongkun Li and Yunfeng Zhu}, title = {{POS:} {A} Popularity-based Online Scaling scheme for RAID-structured storage systems}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {141--148}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357095}, doi = {10.1109/ICCD.2015.7357095}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuXLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XieNTBH15, author = {Lei Xie and Hoang Anh Du Nguyen and Mottaqiallah Taouil and Koen Bertels and Said Hamdioui}, title = {Fast boolean logic mapped on memristor crossbar}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {335--342}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357122}, doi = {10.1109/ICCD.2015.7357122}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XieNTBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XueB15, author = {Cheng Xue and R. D. (Shawn) Blanton}, title = {A one-pass test-selection method for maximizing test coverage}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {621--628}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357173}, doi = {10.1109/ICCD.2015.7357173}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XueB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YonedaI15, author = {Tomohiro Yoneda and Masashi Imai}, title = {A new encoding mechanism for low power inter-chip serial communication in asynchronous circuits}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {395--398}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357132}, doi = {10.1109/ICCD.2015.7357132}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YonedaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuJ15, author = {Jeongjae Yu and Wooyoung Jang}, title = {{FDRAM:} {DRAM} architecture flexible in successive row and column accesses}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {451--454}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357146}, doi = {10.1109/ICCD.2015.7357146}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangPSDSJ15, author = {Jie Zhang and Gieseo Park and Mustafa M. Shihab and David Donofrio and John Shalf and Myoungsoo Jung}, title = {OpenNVM: An open-sourced FPGA-based {NVM} controller for low level memory characterization}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {666--673}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357179}, doi = {10.1109/ICCD.2015.7357179}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangPSDSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZL15, author = {Wei Zhang and Hang Zhang and John C. Lach}, title = {Dynamic core scaling: Trading off performance and energy beyond {DVFS}}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {319--326}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357120}, doi = {10.1109/ICCD.2015.7357120}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZY15, author = {XianWei Zhang and Youtao Zhang and Jun Yang}, title = {{DLB:} Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357093}, doi = {10.1109/ICCD.2015.7357093}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZY15a, author = {XianWei Zhang and Youtao Zhang and Jun Yang}, title = {TriState-SET: Proactive {SET} for improved performance of {MLC} phase change memories}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {659--665}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357178}, doi = {10.1109/ICCD.2015.7357178}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZY15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZZY15, author = {XianWei Zhang and Lei Zhao and Youtao Zhang and Jun Yang}, title = {Exploit common source-line to construct energy efficient domain wall memory based caches}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {157--163}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357097}, doi = {10.1109/ICCD.2015.7357097}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhouNGKH15, author = {Wang Zhou and Dhruv Nair and Oki Gunawan and Theodore G. van Kessel and Hendrik F. Hamann}, title = {A testing platform for on-drone computation}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {732--735}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357188}, doi = {10.1109/ICCD.2015.7357188}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhouNGKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2015, title = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7347055/proceeding}, isbn = {978-1-4673-7166-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AdvaniCSICSN14, author = {Siddharth Advani and Nandhini Chandramoorthy and Karthik Swaminathan and Kevin M. Irick and Yong Cheol Peter Cho and Jack Sampson and Vijaykrishnan Narayanan}, title = {Refresh Enabled Video Analytics {(REVA):} Implications on power and performance of {DRAM} supported embedded visual systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {501--504}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974727}, doi = {10.1109/ICCD.2014.6974727}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AdvaniCSICSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AguileraMK14, author = {Paula Aguilera and Katherine Morrow and Nam Sung Kim}, title = {Fair share: Allocation of {GPU} resources for both performance and fairness}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {440--447}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974717}, doi = {10.1109/ICCD.2014.6974717}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AguileraMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AitkenPC14, author = {Robert C. Aitken and David Pietromonaco and Brian Cline}, title = {{DFM} is dead - Long live {DFM}}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {300--307}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974697}, doi = {10.1109/ICCD.2014.6974697}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AitkenPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AksoyFM14, author = {Levent Aksoy and Paulo F. Flores and Jos{\'{e}} Monteiro}, title = {Efficient design of {FIR} filters using hybrid multiple constant multiplications on {FPGA}}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {42--47}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974660}, doi = {10.1109/ICCD.2014.6974660}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AksoyFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ArunkumarW14, author = {Akhil Arunkumar and Carole{-}Jean Wu}, title = {ReMAP: Reuse and memory access cost aware eviction policy for last level cache management}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {110--117}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974670}, doi = {10.1109/ICCD.2014.6974670}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ArunkumarW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BadarogluNJKKCCF14, author = {Mustafa Badaroglu and Kwok Ng and Mehdi Salmani Jelodar and SungGeun Kim and Gerhard Klimeck and Chorng{-}Ping Chang and Charles Cheung and Yuzo Fukuzaki}, title = {More Moore landscape for system readiness - {ITRS2.0} requirements}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {147--152}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974674}, doi = {10.1109/ICCD.2014.6974674}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BadarogluNJKKCCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaekSKCC14, author = {Seungjae Baek and Daeyeon Son and Dongwoo Kang and Jongmoo Choi and Sangyeun Cho}, title = {Design space exploration of an NVM-based memory hierarchy}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {224--229}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974685}, doi = {10.1109/ICCD.2014.6974685}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaekSKCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BolchiniCGM14, author = {Cristiana Bolchini and Matteo Carminati and Marco Gribaudo and Antonio Miele}, title = {A lightweight and open-source framework for the lifetime estimation of multicore systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {166--172}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974677}, doi = {10.1109/ICCD.2014.6974677}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BolchiniCGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarballoCGKN14, author = {Juan Antonio Carballo and Wei{-}Ting Jonas Chan and Paolo A. Gargini and Andrew B. Kahng and Siddhartha Nath}, title = {{ITRS} 2.0: Toward a re-framing of the Semiconductor Technology Roadmap}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {139--146}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974673}, doi = {10.1109/ICCD.2014.6974673}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarballoCGKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanDK14, author = {Tuck{-}Boon Chan and Sorin Dobre and Andrew B. Kahng}, title = {Improved signoff methodology with tightened {BEOL} corners}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {311--316}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974699}, doi = {10.1109/ICCD.2014.6974699}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanDK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanKNY14, author = {Wei{-}Ting Jonas Chan and Andrew B. Kahng and Siddhartha Nath and Ichiro Yamamoto}, title = {The {ITRS} {MPU} and {SOC} system drivers: Calibration and implications for design-based equivalent scaling in the roadmap}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {153--160}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974675}, doi = {10.1109/ICCD.2014.6974675}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanKNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenH14, author = {Te{-}Hsuan Chen and John P. Hayes}, title = {Analyzing and controlling accuracy in stochastic circuits}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {367--373}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974707}, doi = {10.1109/ICCD.2014.6974707}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChiangKHL14, author = {Jiun{-}Yi Chiang and Jun{-}Hua Kuo and Ting{-}Shuo Hsu and Jing{-}Jia Liou}, title = {Chip clustering with mutual information on multiple clock tests and its application to yield tuning}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974688}, doi = {10.1109/ICCD.2014.6974688}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChiangKHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DayalanOP14, author = {Karthikeyan Dayalan and Meltem Ozsoy and Dmitry V. Ponomarev}, title = {Dynamic associative caches: Reducing dynamic energy of first level caches}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {118--124}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974693}, doi = {10.1109/ICCD.2014.6974693}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DayalanOP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ElshennawyK14, author = {Amr Elshennawy and Sunil P. Khatri}, title = {An asynchronous Network-on-Chip router with low standby power}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {394--399}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974711}, doi = {10.1109/ICCD.2014.6974711}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ElshennawyK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FallinWM14, author = {Chris Fallin and Chris Wilkerson and Onur Mutlu}, title = {The heterogeneous block architecture}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {386--393}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974710}, doi = {10.1109/ICCD.2014.6974710}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FallinWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FedorovAK14, author = {Viacheslav V. Fedorov and Monther Abusultan and Sunil P. Khatri}, title = {An area-efficient Ternary {CAM} design using floating gate transistors}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974662}, doi = {10.1109/ICCD.2014.6974662}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FedorovAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ForbesCDR14, author = {Elliott Forbes and Niket Kumar Choudhary and Brandon H. Dwiel and Eric Rotenberg}, title = {Design-effort alloy: Boosting a highly tuned primary core with untuned alternate cores}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {408--415}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974713}, doi = {10.1109/ICCD.2014.6974713}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ForbesCDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GanapathyCGR14, author = {Shrikanth Ganapathy and Ramon Canal and Antonio Gonz{\'{a}}lez and Antonio Rubio}, title = {iRMW: {A} low-cost technique to reduce NBTI-dependent parametric failures in {L1} data caches}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {68--74}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974664}, doi = {10.1109/ICCD.2014.6974664}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GanapathyCGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GaoSWXS14, author = {Congming Gao and Liang Shi and Kaijie Wu and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Exploit asymmetric error rates of cell states to improve the performance of flash memory storage systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {202--207}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974682}, doi = {10.1109/ICCD.2014.6974682}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GaoSWXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GhaidaBG14, author = {Rani S. Ghaida and Yasmine Badr and Puneet Gupta}, title = {Pattern-restricted design at 10nm and beyond}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {308--310}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974698}, doi = {10.1109/ICCD.2014.6974698}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GhaidaBG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GhandourG14, author = {Walid J. Ghandour and Nadine J. Ghandour}, title = {Leveraging dynamic slicing to enhance indirect branch prediction}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {292--299}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974696}, doi = {10.1109/ICCD.2014.6974696}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GhandourG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GrigorianR14, author = {Beayna Grigorian and Glenn Reinman}, title = {Accelerating divergent applications on {SIMD} architectures using neural networks}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {317--323}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974700}, doi = {10.1109/ICCD.2014.6974700}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GrigorianR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaghbayanRWLPJT14, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Awet Yemane Weldezion and Pasi Liljeberg and Juha Plosila and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware power management for manycore systems under dynamic workloads}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974729}, doi = {10.1109/ICCD.2014.6974729}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaghbayanRWLPJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Hong0K14, author = {Seokin Hong and Jongmin Lee and Soontae Kim}, title = {Ternary cache: Three-valued {MLC} {STT-RAM} caches}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {83--89}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974666}, doi = {10.1109/ICCD.2014.6974666}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Hong0K14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangLLLCLK14, author = {Chia{-}Chi Huang and Chang{-}Tzu Lin and Wei{-}Syun Liao and Chieh{-}Jui Lee and Hung{-}Ming Chen and Chia{-}Hsin Lee and Ding{-}Ming Kwai}, title = {Improving power delivery network design by practical methodologies}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974687}, doi = {10.1109/ICCD.2014.6974687}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangLLLCLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangN14, author = {Cheng{-}Chieh Huang and Vijay Nagarajan}, title = {Increasing cache capacity via critical-words-only cache}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974671}, doi = {10.1109/ICCD.2014.6974671}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IchiharaISII14, author = {Hideyuki Ichihara and Shota Ishii and Daiki Sunamori and Tsuyoshi Iwagaki and Tomoo Inoue}, title = {Compact and accurate stochastic circuits with shared random number sources}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {361--366}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974706}, doi = {10.1109/ICCD.2014.6974706}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IchiharaISII14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ImamuraSIN14, author = {Satoshi Imamura and Hiroshi Sasaki and Koji Inoue and Dimitrios S. Nikolopoulos}, title = {Power-capped {DVFS} and thread allocation with {ANN} models on modern {NUMA} systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {324--331}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974701}, doi = {10.1109/ICCD.2014.6974701}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ImamuraSIN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/IordanouSA14, author = {Costas Iordanou and Vassos Soteriou and Konstantinos Aisopos}, title = {Hermes: Architecting a top-performing fault-tolerant routing algorithm for Networks-on-Chips}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {424--431}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974715}, doi = {10.1109/ICCD.2014.6974715}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/IordanouSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JayasingheRAIP14, author = {Darshana Jayasinghe and Roshan G. Ragel and Jude Angelo Ambrose and Aleksandar Ignjatovic and Sri Parameswaran}, title = {Advanced modes in {AES:} Are they safe from power analysis based side channel attacks?}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974678}, doi = {10.1109/ICCD.2014.6974678}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JayasingheRAIP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KapadiaP14, author = {Nishit Ashok Kapadia and Sudeep Pasricha}, title = {{PRATHAM:} {A} power delivery-aware and thermal-aware mapping framework for parallel embedded applications on 3D MPSoCs}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {525--528}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974733}, doi = {10.1109/ICCD.2014.6974733}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KapadiaP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KaplanVHAHBTC14, author = {Fulya Kaplan and Charlie De Vivero and Samuel Howes and Manish Arora and Houman Homayoun and Wayne P. Burleson and Dean M. Tullsen and Ayse K. Coskun}, title = {Modeling and analysis of Phase Change Materials for efficient thermal management}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {256--263}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974690}, doi = {10.1109/ICCD.2014.6974690}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KaplanVHAHBTC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KumarB14, author = {Raghavan Kumar and Wayne P. Burleson}, title = {Hybrid modeling attacks on current-based PUFs}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {493--496}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974725}, doi = {10.1109/ICCD.2014.6974725}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KumarB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KumarMP14, author = {Vinay B. Y. Kumar and Shovan Maity and Sachin B. Patkar}, title = {Storage-allocation to sequential structures in High-Level Synthesis-assisted prototyping}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {464--469}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974720}, doi = {10.1109/ICCD.2014.6974720}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KumarMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KumarVCGZJ14, author = {N. G. Chetan Kumar and Sudhanshu Vyas and Ron K. Cytron and Christopher D. Gill and Joseph Zambreno and Phillip H. Jones}, title = {Cache design for mixed criticality real-time systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {513--516}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974730}, doi = {10.1109/ICCD.2014.6974730}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KumarVCGZJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeISZN14, author = {Chris S. Lee and Kevin M. Irick and Jack Sampson and Chuanjun Zhang and Vijaykrishnan Narayanan}, title = {Exploiting natural redundancy in visual information}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {505--508}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974728}, doi = {10.1109/ICCD.2014.6974728}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeISZN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiLXQG14, author = {Yao Li and Antonio Roldao Lopes and Zhouyun Xu and Zhengwei Qi and Haibing Guan}, title = {ScalaHDL: Express and test hardware designs in a Scala {DSL}}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {521--524}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974732}, doi = {10.1109/ICCD.2014.6974732}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiLXQG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LinWCP14, author = {Xue Lin and Yanzhi Wang and Naehyuck Chang and Massoud Pedram}, title = {Power supply and consumption co-optimization of portable embedded systems with hybrid power supply}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {477--482}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974722}, doi = {10.1109/ICCD.2014.6974722}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LinWCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuC14, author = {Chi{-}Yuan Liu and Yao{-}Wen Chang}, title = {Simultaneous {EUV} flare- and CMP-aware placement}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {249--255}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974689}, doi = {10.1109/ICCD.2014.6974689}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiuY14, author = {Chen Liu and Chengmo Yang}, title = {Improving multilevel {PCM} reliability through age-aware reading and writing strategies}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974691}, doi = {10.1109/ICCD.2014.6974691}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiuY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuSSM14, author = {Youyou Lu and Jiwu Shu and Long Sun and Onur Mutlu}, title = {Loose-Ordering Consistency for persistent memory}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {216--223}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974684}, doi = {10.1109/ICCD.2014.6974684}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuSSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LyonsWB14, author = {Michael J. Lyons and Gu{-}Yeon Wei and David M. Brooks}, title = {Multi-accelerator system development with the ShrinkFit acceleration framework}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {75--82}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974665}, doi = {10.1109/ICCD.2014.6974665}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LyonsWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaLZL14, author = {Yuchun Ma and Jinglan Liu and Chao Zhang and Wayne Luk}, title = {{HW/SW} partitioning for region-based dynamic partial reconfigurable FPGAs}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {470--476}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974721}, doi = {10.1109/ICCD.2014.6974721}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaLZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MajumderKM14, author = {Pritam Majumder and T. Venkata Kalyan and Madhu Mutyam}, title = {SFFMap: Set-First Fill mapping for an energy efficient pipelined data cache}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {104--109}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974669}, doi = {10.1109/ICCD.2014.6974669}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MajumderKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MercatiPBBR14, author = {Pietro Mercati and Francesco Paterna and Andrea Bartolini and Luca Benini and Tajana Simunic Rosing}, title = {Dynamic variability management in mobile multicore processors under lifetime constraints}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {448--455}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974718}, doi = {10.1109/ICCD.2014.6974718}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MercatiPBBR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MurarkaGPK14, author = {Yogesh Murarka and Pankaj Shailendra Gode and Sirish Kumar Pasupuleti and Soma Kohli}, title = {Software pipelining of dataflow programs with dynamic constructs on multi-core processor}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {340--347}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974703}, doi = {10.1109/ICCD.2014.6974703}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MurarkaGPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NeophytouM14, author = {Stelios N. Neophytou and Maria K. Michael}, title = {Optimal variable ordering in ZBDD-based path representations for directed acyclic graphs}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {489--492}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974724}, doi = {10.1109/ICCD.2014.6974724}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NeophytouM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PanT14, author = {Xiang Pan and Radu Teodorescu}, title = {NVSleep: Using non-volatile memory to enable fast sleep/wakeup of idle cores}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {400--407}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974712}, doi = {10.1109/ICCD.2014.6974712}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PanT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PetriccaSBMMP14, author = {Massimo Petricca and Donghwa Shin and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Automated generation of battery aging models from datasheets}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {483--488}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974723}, doi = {10.1109/ICCD.2014.6974723}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/PetriccaSBMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiCZ14, author = {Zhongdong Qi and Yici Cai and Qiang Zhou}, title = {Accurate prediction of detailed routing congestion using supervised data learning}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {97--103}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974668}, doi = {10.1109/ICCD.2014.6974668}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/QiCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanaC14, author = {Manish Rana and Ramon Canal}, title = {{REEM:} Failure/non-failure region estimation method for {SRAM} yield analysis}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {36--41}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974659}, doi = {10.1109/ICCD.2014.6974659}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanaC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SalvadorNTHM14, author = {Giordano Salvador and Siddharth Nilakantan and Baris Taskin and Mark Hempstead and Ankit More}, title = {Static thread mapping for NoCs via binary instrumentation traces}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {517--520}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974731}, doi = {10.1109/ICCD.2014.6974731}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SalvadorNTHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SedighiNNH14, author = {Behnam Sedighi and Joseph J. Nahas and Michael T. Niemier and Xiaobo Sharon Hu}, title = {Boolean circuit design using emerging tunneling devices}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974705}, doi = {10.1109/ICCD.2014.6974705}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SedighiNNH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShafaeiWP14, author = {Alireza Shafaei and Yanzhi Wang and Massoud Pedram}, title = {Low write-energy STT-MRAMs using FinFET-based access transistors}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {374--379}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974708}, doi = {10.1109/ICCD.2014.6974708}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShafaeiWP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShioyaA14, author = {Ryota Shioya and Hideki Ando}, title = {Energy efficiency improvement of renamed trace cache through the reduction of dependent path length}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {416--423}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974714}, doi = {10.1109/ICCD.2014.6974714}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShioyaA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SimPPK14, author = {Jaehyeong Sim and Jun{-}Seok Park and Seungwook Paek and Lee{-}Sup Kim}, title = {Timing error masking by exploiting operand value locality in {SIMD} architecture}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {90--96}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974667}, doi = {10.1109/ICCD.2014.6974667}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SimPPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SitikLT14, author = {Can Sitik and Scott Lerner and Baris Taskin}, title = {Timing characterization of clock buffers for clock tree synthesis}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {230--236}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974686}, doi = {10.1109/ICCD.2014.6974686}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SitikLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Smith14, author = {Gary Smith}, title = {Updates of the {ITRS} design cost and power models}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {161--165}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974676}, doi = {10.1109/ICCD.2014.6974676}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Smith14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SteiningerVACA14, author = {Andreas Steininger and Varadan Savulimedu Veeravalli and Dan Alexandrescu and Enrico Costenaro and Lorena Anghel}, title = {Exploring the state dependent {SET} sensitivity of asynchronous logic - The muller-pipeline example}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {61--67}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974663}, doi = {10.1109/ICCD.2014.6974663}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/SteiningerVACA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SubramanianLSRM14, author = {Lavanya Subramanian and Donghyuk Lee and Vivek Seshadri and Harsha Rastogi and Onur Mutlu}, title = {The Blacklisting Memory Scheduler: Achieving high performance and fairness at low cost}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {8--15}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974655}, doi = {10.1109/ICCD.2014.6974655}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SubramanianLSRM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ThakkarP14, author = {Ishan G. Thakkar and Sudeep Pasricha}, title = {3D-Wiz: {A} novel high bandwidth, optically interfaced 3D {DRAM} architecture with reduced random access time}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974654}, doi = {10.1109/ICCD.2014.6974654}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ThakkarP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TongM14, author = {Xin Tong and Andreas Moshovos}, title = {BarTLB: Barren page resistant {TLB} for managed runtime languages}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {270--277}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974692}, doi = {10.1109/ICCD.2014.6974692}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TongM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TuncerVGC14, author = {Ozan Tuncer and Kalyan Vaidyanathan and Kenny C. Gross and Ayse K. Coskun}, title = {CoolBudget: Data center power budgeting with workload and cooling asymmetry awareness}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {497--500}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974726}, doi = {10.1109/ICCD.2014.6974726}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TuncerVGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/UmurogluJ14, author = {Yaman Umuroglu and Magnus Jahre}, title = {An energy efficient column-major backend for {FPGA} SpMV accelerators}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {432--439}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974716}, doi = {10.1109/ICCD.2014.6974716}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/UmurogluJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangCB14, author = {Ran Wang and Krishnendu Chakrabarty and Sudipta Bhawmik}, title = {Built-in self-test for interposer-based 2.5D ICs}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {181--188}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974679}, doi = {10.1109/ICCD.2014.6974679}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangD014, author = {Jue Wang and Xiangyu Dong and Yuan Xie}, title = {ProactiveDRAM: {A} DRAM-initiated retention management scheme}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {22--27}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974657}, doi = {10.1109/ICCD.2014.6974657}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangD014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangRWBL14, author = {Jianxing Wang and Pooja Roy and Weng{-}Fai Wong and Xiuyuan Bi and Hai Li}, title = {Optimizing MLC-based {STT-RAM} caches by dynamic block size reconfiguration}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974672}, doi = {10.1109/ICCD.2014.6974672}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WangRWBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WeiJXC14, author = {Wei Wei and Dejun Jiang and Jin Xiong and Mingyu Chen}, title = {{HAP:} Hybrid-memory-Aware Partition in shared Last-Level Cache}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {28--35}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974658}, doi = {10.1109/ICCD.2014.6974658}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WeiJXC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WijayasekaraSS14, author = {Vidura Wijayasekara and Sudarshan K. Srinivasan and Scott C. Smith}, title = {Equivalence verification for {NULL} Convention Logic {(NCL)} circuits}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {195--201}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974681}, doi = {10.1109/ICCD.2014.6974681}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WijayasekaraSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XiaJXS14, author = {Fei Xia and Dejun Jiang and Jin Xiong and Ninghui Sun}, title = {Write-aware random page initialization for non-volatile memory systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {208--215}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974683}, doi = {10.1109/ICCD.2014.6974683}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XiaJXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XieWCP14, author = {Qing Xie and Yanzhi Wang and Shuang Chen and Massoud Pedram}, title = {Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974709}, doi = {10.1109/ICCD.2014.6974709}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XieWCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Yu014, author = {Jiyang Yu and Peng Liu}, title = {A Thread-Aware Adaptive Data Prefetcher}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {278--285}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974694}, doi = {10.1109/ICCD.2014.6974694}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Yu014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangA14, author = {Bei Zhang and Vishwani D. Agrawal}, title = {An optimized diagnostic procedure for pre-bond {TSV} defects}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {189--194}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974680}, doi = {10.1109/ICCD.2014.6974680}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangL14, author = {Tao Zhang and Xiaoyao Liang}, title = {Dynamic front-end sharing in graphics processing units}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {286--291}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974695}, doi = {10.1109/ICCD.2014.6974695}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangLLLHY14, author = {Daming Zhang and Shuangchen Li and Ang Li and Yongpan Liu and Xiaobo Sharon Hu and Huazhong Yang}, title = {Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {348--354}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974704}, doi = {10.1109/ICCD.2014.6974704}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangLLLHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZIIHP14, author = {Ying Zhang and Li Zhao and Ramesh Illikkal and Ravi R. Iyer and Andrew Herdrich and Lu Peng}, title = {QoS management on heterogeneous architecture for parallel applications}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {332--339}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974702}, doi = {10.1109/ICCD.2014.6974702}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZIIHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangZL14, author = {Hang Zhang and Wei Zhang and John C. Lach}, title = {A low-power accuracy-configurable floating point multiplier}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {48--54}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974661}, doi = {10.1109/ICCD.2014.6974661}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoSYX14, author = {Mengying Zhao and Liang Shi and Chengmo Yang and Chun Jason Xue}, title = {Leveling to the last mile: Near-zero-cost bit level wear leveling for PCM-based main memory}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {16--21}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974656}, doi = {10.1109/ICCD.2014.6974656}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoSYX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhongVLMN14, author = {Guanwen Zhong and Vanchinathan Venkataramani and Yun Liang and Tulika Mitra and Sma{\"{\i}}l Niar}, title = {Design space exploration of multiple loops on FPGAs using high level synthesis}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {456--463}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974719}, doi = {10.1109/ICCD.2014.6974719}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhongVLMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2014, title = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6964907/proceeding}, isbn = {978-1-4799-6492-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0020YV13, author = {Jie Chen and Fan Yao and Guru Venkataramani}, title = {Watts-inside: {A} hardware-software cooperative approach for Multicore Power Debugging}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {335--342}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657062}, doi = {10.1109/ICCD.2013.6657062}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0020YV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AdegbijaG13, author = {Tosiron Adegbija and Ann Gordon{-}Ross}, title = {Exploiting dynamic phase distance mapping for phase-based tuning of embedded systems}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {363--368}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657066}, doi = {10.1109/ICCD.2013.6657066}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AdegbijaG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AhsanCK13, author = {Muhammad Ahsan and Byung{-}Soo Choi and Jungsang Kim}, title = {Performance simulator based on hardware resources constraints for ion trap quantum computer}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {411--418}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657073}, doi = {10.1109/ICCD.2013.6657073}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AhsanCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlaghiH13, author = {Armin Alaghi and John P. Hayes}, title = {Exploiting correlation in stochastic circuit design}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {39--46}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657023}, doi = {10.1109/ICCD.2013.6657023}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlaghiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AllredRC13, author = {Jason M. Allred and Sanghamitra Roy and Koushik Chakraborty}, title = {Long term sustainability of differentially reliable systems in the dark silicon era}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {70--77}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657027}, doi = {10.1109/ICCD.2013.6657027}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AllredRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AwatramaniZR13, author = {Mihir Awatramani and Joseph Zambreno and Diane T. Rover}, title = {Increasing {GPU} throughput using kernel interleaved thread block scheduling}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {503--506}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657093}, doi = {10.1109/ICCD.2013.6657093}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AwatramaniZR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BardizbanyanSWL13, author = {Alen Bardizbanyan and Magnus Sj{\"{a}}lander and David B. Whalley and Per Larsson{-}Edefors}, title = {Speculative tag access for reduced energy dissipation in set-associative {L1} data caches}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {302--308}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657057}, doi = {10.1109/ICCD.2013.6657057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BardizbanyanSWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BarrioHM13, author = {Alberto A. Del Barrio and Rom{\'{a}}n Hermida and Seda Ogrenci Memik}, title = {Exploring the energy efficiency of Multispeculative Adders}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {309--315}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657058}, doi = {10.1109/ICCD.2013.6657058}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BarrioHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BasuHHS13, author = {Arkaprava Basu and Derek Hower and Mark D. Hill and Michael M. Swift}, title = {FreshCache: Statically and dynamically exploiting dataless ways}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {286--293}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657055}, doi = {10.1109/ICCD.2013.6657055}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BasuHHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BattleH13, author = {Steven J. Battle and Mark Hempstead}, title = {Characterizing the costs and benefits of hardware parallelism in accelerator cores}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {26--32}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657021}, doi = {10.1109/ICCD.2013.6657021}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BattleH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BattleH13a, author = {Steven J. Battle and Mark Hempstead}, title = {Register allocation and VDD-gating algorithms for out-of-order architectures}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {108--114}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657032}, doi = {10.1109/ICCD.2013.6657032}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BattleH13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BoratenK13, author = {Travis Boraten and Avinash Karanth Kodi}, title = {Energy-efficient Runtime Adaptive Scrubbing in fault-tolerant Network-on-Chips (NoCs) architectures}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {264--271}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657052}, doi = {10.1109/ICCD.2013.6657052}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BoratenK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BraakGCGG13, author = {Gert{-}Jan van den Braak and Juan G{\'{o}}mez{-}Luna and Henk Corporaal and Jos{\'{e}} Mar{\'{\i}}a Gonz{\'{a}}lez{-}Linares and Nicol{\'{a}}s Guil}, title = {Simulation and architecture improvements of atomic operations on {GPU} scratchpad memory}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {357--362}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657065}, doi = {10.1109/ICCD.2013.6657065}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BraakGCGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaiMHM13, author = {Yu Cai and Onur Mutlu and Erich F. Haratsch and Ken Mai}, title = {Program interference in {MLC} {NAND} flash memory: Characterization, modeling, and mitigation}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {123--130}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657034}, doi = {10.1109/ICCD.2013.6657034}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/CaiMHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanKKKS13, author = {Wei{-}Ting Jonas Chan and Andrew B. Kahng and Seokhyeong Kang and Rakesh Kumar and John Sartori}, title = {Statistical analysis and modeling for error composition in approximate computation circuits}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {47--53}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657024}, doi = {10.1109/ICCD.2013.6657024}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanKKKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenCGHLXZ13, author = {Yu{-}Ting Chen and Jason Cong and Mohammad Ali Ghodrat and Muhuan Huang and Chunyue Liu and Bingjun Xiao and Yi Zou}, title = {Accelerator-rich CMPs: From concept to real hardware}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {169--176}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657039}, doi = {10.1109/ICCD.2013.6657039}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenCGHLXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenCZ13, author = {Long Chen and Yanan Cao and Zhao Zhang}, title = {Free {ECC:} An efficient error protection for compressed last-level caches}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {278--285}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657054}, doi = {10.1109/ICCD.2013.6657054}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenWCHBC13, author = {Licheng Chen and Yanan Wang and Zehan Cui and Yongbing Huang and Yungang Bao and Mingyu Chen}, title = {Scattered superpage: {A} case for bridging the gap between superpage and page coloring}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657040}, doi = {10.1109/ICCD.2013.6657040}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenWCHBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ConosP13, author = {Nathaniel A. Conos and Miodrag Potkonjak}, title = {A temperature-aware synthesis approach for simultaneous delay and leakage optimization}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {316--321}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657059}, doi = {10.1109/ICCD.2013.6657059}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ConosP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.