:facetid:stream:\"streams/journals/iet-cds\"OK:facetid:stream:streams/journals/iet-cdsAbdullah S. AlmansouriA chipless light switch for smart-homes.IET Circuits Devices Syst.174244-2492023Journal Articlesclosedjournals/iet-cds/Almansouri2310.1049/CDS2.12163https://doi.org/10.1049/cds2.12163https://dblp.org/rec/journals/iet-cds/Almansouri23URL#147734Ying FengDejun KongQuasi-fixed frequency controlled phase modulation LCC resonant converter with a wide power range.IET Circuits Devices Syst.173160-1732023Journal Articlesopenjournals/iet-cds/FengK2310.1049/CDS2.12155https://doi.org/10.1049/cds2.12155https://dblp.org/rec/journals/iet-cds/FengK23URL#147735Sofana Reka Sudhakar GovindarajuluRobin Karipat JustineV. Ravi 0001Prakash VenugopalHassan Haes AlhelouDesign and hardware demonstration of smart meter by cloud interface.IET Circuits Devices Syst.17262-742023Journal Articlesopenjournals/iet-cds/GovindarajuluJRVA2310.1049/CDS2.12137https://doi.org/10.1049/cds2.12137https://dblp.org/rec/journals/iet-cds/GovindarajuluJRVA23URL#147736Xiaoyan GuiRenjie TangKai LiKanan WangDan Li 0011Quan Pan 0002Li GengA CMOS slew-rate controlled output driver with low process, voltage and temperature variations using a dual-path signal-superposition technique.IET Circuits Devices Syst.17113-282023Journal Articlesopenjournals/iet-cds/GuiTLWLPG2310.1049/CDS2.12133https://doi.org/10.1049/cds2.12133https://dblp.org/rec/journals/iet-cds/GuiTLWLPG23URL#147737Nima JafarzadehAhmad JaliliJafar Ahmad Abed AlzubiKhosro RezaeeYang Liu 0039Mehdi GheisariBahram Sadeghi BighamAmir JavadpourA novel buffering fault-tolerance approach for network on chip (NoC).IET Circuits Devices Syst.174250-2572023Journal Articlesopenjournals/iet-cds/JafarzadehJARLGBJ2310.1049/CDS2.12127https://doi.org/10.1049/cds2.12127https://dblp.org/rec/journals/iet-cds/JafarzadehJARLGBJ23URL#147738Kalpana KasilingamPaulchamy BalaiyahStephen Jeswinde NuagahPiyush Kumar ShuklaDesign of a high-performance advanced phase locked loop with high stability external loop filter.IET Circuits Devices Syst.1711-122023Journal Articlesopenjournals/iet-cds/KasilingamBNS2310.1049/CDS2.12130https://doi.org/10.1049/cds2.12130https://dblp.org/rec/journals/iet-cds/KasilingamBNS23URL#147739Mohammed LamiFaris Al-naemiWalid R. IssaAutomated quantification system for vision through polymer-dispersed liquid crystal double-glazed windows: Circuit implementation.IET Circuits Devices Syst.17138-522023Journal Articlesopenjournals/iet-cds/LamiAI2310.1049/CDS2.12135https://doi.org/10.1049/cds2.12135https://dblp.org/rec/journals/iet-cds/LamiAI23URL#147740Guang Li 0009Yangyang YeFushun ZhangA compact frequency reconfigurable beam switching antenna based on a single-layer FSS.IET Circuits Devices Syst.174193-1982023Journal Articlesopenjournals/iet-cds/LiYZ2310.1049/CDS2.12157https://doi.org/10.1049/cds2.12157https://dblp.org/rec/journals/iet-cds/LiYZ23URL#147741Satish MulletiEliya ReznitskiyShlomi SavariegoMoshe NamerNimrod GlazerYonina C. EldarA hardware prototype of wideband high-dynamic range analog-to-digital converter.IET Circuits Devices Syst.174181-1922023Journal Articlesopenjournals/iet-cds/MulletiRSNGE2310.1049/CDS2.12156https://doi.org/10.1049/cds2.12156https://dblp.org/rec/journals/iet-cds/MulletiRSNGE23URL#147742Sarina NematiMostafa Haghi KashaniReza Faghih MirzaeeComprehensive survey of ternary full adders: Statistics, corrections, and assessments.IET Circuits Devices Syst.173111-1342023Journal Articlesopenjournals/iet-cds/NematiKM2310.1049/CDS2.12152https://doi.org/10.1049/cds2.12152https://dblp.org/rec/journals/iet-cds/NematiKM23URL#147743Konudula Anjali RaoAbhishek KumarDmitrii I. KaplunSujit Kumar PatelNeetesh PurohitDesign of low complexity parallel polyphase finite impulse response filter using coefficient symmetry.IET Circuits Devices Syst.17129-372023Journal Articlesopenjournals/iet-cds/RaoKKPP2310.1049/CDS2.12134https://doi.org/10.1049/cds2.12134https://dblp.org/rec/journals/iet-cds/RaoKKPP23URL#147744Mahan RezaeiAbdolah AmiranyMohammad Hossein MoaiyeriKian JafariA high-capacity and nonvolatile spintronic associative memory hardware accelerator.IET Circuits Devices Syst.174205-2122023Journal Articlesopenjournals/iet-cds/RezaeiAMJ2310.1049/CDS2.12160https://doi.org/10.1049/cds2.12160https://dblp.org/rec/journals/iet-cds/RezaeiAMJ23URL#147745Nesa Abedi RikAli A. OroujiDariush Madadi500 V breakdown voltage in β-Ga2O3 laterally diffused metal-oxide-semiconductor field-effect transistor with 108 MW/cm2 power figure of merit.IET Circuits Devices Syst.174199-2042023Journal Articlesopenjournals/iet-cds/RikOM2310.1049/CDS2.12158https://doi.org/10.1049/cds2.12158https://dblp.org/rec/journals/iet-cds/RikOM23URL#147746Megan C. RobinsonZoya PopovicGregor LasserLinear broadband interference suppression circuit based on GaN monolithic microwave integrated circuits.IET Circuits Devices Syst.174213-2242023Journal Articlesclosedjournals/iet-cds/RobinsonPL2310.1049/CDS2.12159https://doi.org/10.1049/cds2.12159https://dblp.org/rec/journals/iet-cds/RobinsonPL23URL#147747Ahmad Safaai-JaziA new method for calculation of closed-form response of linear time-invariant systems to periodic input signals.IET Circuits Devices Syst.17288-942023Journal Articlesopenjournals/iet-cds/SafaaiJazi2310.1049/CDS2.12142https://doi.org/10.1049/cds2.12142https://dblp.org/rec/journals/iet-cds/SafaaiJazi23URL#147748Hajar SedghiMohammad SarviA combined capacitor current balancing method with weighting factor control for multi-string LED drivers.IET Circuits Devices Syst.173135-1482023Journal Articlesopenjournals/iet-cds/SedghiS2310.1049/CDS2.12145https://doi.org/10.1049/cds2.12145https://dblp.org/rec/journals/iet-cds/SedghiS23URL#147749Chua-Chin WangRalph Gerard B. SangalangI-Ting TsengYi-Jen ChiuYu-Cheng LinOliver Lexter July A. JoseA 1.0 fJ energy/bit single-ended 1 kb 6T SRAM implemented using 40 nm CMOS process.IET Circuits Devices Syst.17275-872023Journal Articlesopenjournals/iet-cds/WangSTCLJ2310.1049/CDS2.12141https://doi.org/10.1049/cds2.12141https://dblp.org/rec/journals/iet-cds/WangSTCLJ23URL#147750Jiawei Wang 0004Hao XuZiqiang WangHaikun JiaHanjun JiangChun ZhangZhihua Wang 0001A 128 Gbps PAM-4 feed forward equaliser with optimized 1UI pulse generator in 65 nm CMOS.IET Circuits Devices Syst.173174-1792023Journal Articlesopenjournals/iet-cds/WangXWJJZW2310.1049/CDS2.12151https://doi.org/10.1049/cds2.12151https://dblp.org/rec/journals/iet-cds/WangXWJJZW23URL#147751Retracted.IET Circuits Devices Syst.1743012023Journal Articlesclosedjournals/iet-cds/X2310.1049/CDS2.12164https://doi.org/10.1049/cds2.12164https://dblp.org/rec/journals/iet-cds/X23URL#147752Tingyu XiaoChunxi ZhangLailiang SongLongjun RanWanying HuangMechanical model analysis and reliability design approach of Quartz Flexible Accelerometer under fractured state.IET Circuits Devices Syst.174225-2342023Journal Articlesclosedjournals/iet-cds/XiaoZSRH2310.1049/CDS2.12161https://doi.org/10.1049/cds2.12161https://dblp.org/rec/journals/iet-cds/XiaoZSRH23URL#147753Ahmad YarahmadiAbumoslem JannesariA PVT resilient true-time delay cell.IET Circuits Devices Syst.17295-1102023Journal Articlesopenjournals/iet-cds/YarahmadiJ2310.1049/CDS2.12143https://doi.org/10.1049/cds2.12143https://dblp.org/rec/journals/iet-cds/YarahmadiJ23URL#147754Mahdi Safaei YarazizAhmad JaliliMehdi GheisariYang Liu 0039Recent trends towards privacy-preservation in Internet of Things, its challenges and future directions.IET Circuits Devices Syst.17253-612023Journal Articlesopenjournals/iet-cds/YarazizJGL2310.1049/CDS2.12138https://doi.org/10.1049/cds2.12138https://dblp.org/rec/journals/iet-cds/YarazizJGL23URL#147755Alireza ZirakImprovements in reliability and radio frequency performance of junctionless tunnelling field effect transistor using p+ pocket and metal strip.IET Circuits Devices Syst.174235-2432023Journal Articlesclosedjournals/iet-cds/Zirak2310.1049/CDS2.12162https://doi.org/10.1049/cds2.12162https://dblp.org/rec/journals/iet-cds/Zirak23URL#147756Retracted: Research on wavelet neural network PID control of maglev linear synchronous motor.IET Circuits Devices Syst.174268-2772023Withdrawn Itemswithdrawnjournals/iet-cds/MaoM2310.1049/CDS2.12136https://doi.org/10.1049/cds2.12136https://dblp.org/rec/journals/iet-cds/MaoM23URL#566526Retracted: Research on tridimensional monitoring and defence technology of substation.IET Circuits Devices Syst.174258-2672023Withdrawn Itemswithdrawnjournals/iet-cds/QiuLLMLZCC2310.1049/CDS2.12129https://doi.org/10.1049/cds2.12129https://dblp.org/rec/journals/iet-cds/QiuLLMLZCC23URL#566527Construction of visual evaluation system for building block night scene lighting based on multi-target recognition and data processing.IET Circuits Devices Syst.173149-1592023Withdrawn Itemswithdrawnjournals/iet-cds/WangSW2310.1049/CDS2.12154https://doi.org/10.1049/cds2.12154https://dblp.org/rec/journals/iet-cds/WangSW23URL#566528Retracted: Multi-mode urban rail transit and spatial coordinated development based on deep learning system.IET Circuits Devices Syst.174291-3002023Withdrawn Itemswithdrawnjournals/iet-cds/WangWS2310.1049/CDS2.12144https://doi.org/10.1049/cds2.12144https://dblp.org/rec/journals/iet-cds/WangWS23URL#566529Retracted: Multi-vehicle group-aware data protection model based on differential privacy for autonomous sensor networks.IET Circuits Devices Syst.174278-2902023Withdrawn Itemswithdrawnjournals/iet-cds/YuanWXLDL2310.1049/CDS2.12140https://doi.org/10.1049/cds2.12140https://dblp.org/rec/journals/iet-cds/YuanWXLDL23URL#566530Mokhtar AarabiAlireza SalehiAlireza KashaniniaCalculated characterisation of a sensitive gas sensor based on PEDOT: PSS.IET Circuits Devices Syst.166461-4702022Journal Articlesopenjournals/iet-cds/AarabiSK2210.1049/CDS2.12119https://doi.org/10.1049/cds2.12119https://dblp.org/rec/journals/iet-cds/AarabiSK22URL#639123Muhammad Ovais AkhterNajam Muhammad AminRazia ZiaDesign and optimisation of high-efficient class-F ULP-PA using envelope tracking supply bias control for long-range low power wireless local area network IEEE 802.11ah standard using 65 nm CMOS technology.IET Circuits Devices Syst.167553-5682022Journal Articlesopenjournals/iet-cds/AkhterAZ2210.1049/CDS2.12125https://doi.org/10.1049/cds2.12125https://dblp.org/rec/journals/iet-cds/AkhterAZ22URL#639124N. Nalla AnandakumarMohammad S. HashmiSomitra Kumar SanadhyaField Programmable Gate Array based elliptic curve Menezes-Qu-Vanstone key agreement protocol realization using Physical Unclonable Function and true random number generator primitives.IET Circuits Devices Syst.165382-3982022Journal Articlesclosedjournals/iet-cds/AnandakumarHS2210.1049/CDS2.12111https://doi.org/10.1049/cds2.12111https://dblp.org/rec/journals/iet-cds/AnandakumarHS22URL#639125Ebrahim BabaeiHamed Mashinchi MaheriMehran SabahiA transformer-less DC-DC converter with high voltage conversion ratio adopting inverting voltage lift cell.IET Circuits Devices Syst.163257-2712022Journal Articlesopenjournals/iet-cds/BabaeiMS2210.1049/CDS2.12101https://doi.org/10.1049/cds2.12101https://dblp.org/rec/journals/iet-cds/BabaeiMS22URL#639126Cheng CaoYubing LiZhe WangZemeng HuangTao TanDeyang ChenXiuping LiCMOS X-band pole-converging triple-cascode LNA with low-noise and wideband performance.IET Circuits Devices Syst.16126-392022Journal Articlesopenjournals/iet-cds/CaoLWHTCL2210.1049/CDS2.12081https://doi.org/10.1049/cds2.12081https://dblp.org/rec/journals/iet-cds/CaoLWHTCL22URL#639127Wenhao ChaiYaxun YangHaibo YuFuli YangZhikui YangOptimal sensor placement of bridge structure based on sensitivity-effective independence method.IET Circuits Devices Syst.162125-1352022Journal Articlesopenjournals/iet-cds/ChaiYYYY2210.1049/CDS2.12078https://doi.org/10.1049/cds2.12078https://dblp.org/rec/journals/iet-cds/ChaiYYYY22URL#639128Jui-Hung ChangChia-Lun LeeFu-Hsing ChenChih-Lung LinOptical properties of a-Si: H thin-film transistors by illumination by white light with different colour temperatures.IET Circuits Devices Syst.165399-4092022Journal Articlesclosedjournals/iet-cds/ChangLCL2210.1049/CDS2.12114https://doi.org/10.1049/cds2.12114https://dblp.org/rec/journals/iet-cds/ChangLCL22URL#639129Chung-Cheng ChenYen-Ting ChenCorrection of traditional incorrect oscillation formula for the Wien-Bridge Oscillator.IET Circuits Devices Syst.163280-2992022Journal Articlesopenjournals/iet-cds/ChenC2210.1049/CDS2.12103https://doi.org/10.1049/cds2.12103https://dblp.org/rec/journals/iet-cds/ChenC22URL#639130Chi-Chun ChenYu-Lin WangChing-Ping ChangOptimised ladder-climbing rehabilitation training for various stroke severity levels in rats.IET Circuits Devices Syst.168598-6102022Journal Articlesclosedjournals/iet-cds/ChenWC2210.1049/CDS2.12132https://doi.org/10.1049/cds2.12132https://dblp.org/rec/journals/iet-cds/ChenWC22URL#639131Deruo ChengYiqiong ShiTong Lin 0001Bah-Hwee GweeKar-Ann TohDelayered IC image analysis with template-based Tanimoto Convolution and Morphological Decision.IET Circuits Devices Syst.162169-1772022Journal Articlesopenjournals/iet-cds/ChengSLGT2210.1049/CDS2.12093https://doi.org/10.1049/cds2.12093https://dblp.org/rec/journals/iet-cds/ChengSLGT22URL#639132Li Dong 0007Yan SongBing ZhangZhechong LanYouze XinLiheng LiuKen LiXiaofei WangLi GengTheoretical total harmonic distortion evaluation based on digital to analogue converter mismatch to improve the linearity of successive approximation register analogue to digital converter.IET Circuits Devices Syst.162189-1992022Journal Articlesopenjournals/iet-cds/DongSZLXLLWG2210.1049/CDS2.12095https://doi.org/10.1049/cds2.12095https://dblp.org/rec/journals/iet-cds/DongSZLXLLWG22URL#639133Yang Feng 0007Jun LiRu BaiZhenghong QianMethods of solving in-band ripples and out-of-band suppression for yarn tension sensor based on surface acoustic wave.IET Circuits Devices Syst.166483-4902022Journal Articlesopenjournals/iet-cds/FengLBQ2210.1049/CDS2.12121https://doi.org/10.1049/cds2.12121https://dblp.org/rec/journals/iet-cds/FengLBQ22URL#639134Amir GavoshaniAli A. OroujiDesign considerations of a novel Triple Oxide Trench Deep Gate LDMOS to improve self-heating effect and breakdown voltage.IET Circuits Devices Syst.163272-2792022Journal Articlesopenjournals/iet-cds/GavoshaniO2210.1049/CDS2.12102https://doi.org/10.1049/cds2.12102https://dblp.org/rec/journals/iet-cds/GavoshaniO22URL#639135Benqing GuoHaishi WangHuifen WangLei LiWanting ZhouKianoosh JalaliA 1-5 GHz 22 mW receiver frontend with active-feedback baseband and voltage-commutating mixers in 65 nm CMOS.IET Circuits Devices Syst.167543-5522022Journal Articlesopenjournals/iet-cds/GuoWWLZJ2210.1049/CDS2.12124https://doi.org/10.1049/cds2.12124https://dblp.org/rec/journals/iet-cds/GuoWWLZJ22URL#639136Byeongcheol HanSeok-Min WiMinsung KimCombined feedback-feedforward control of Ćuk CCM converter for achieving fast transient response.IET Circuits Devices Syst.16171-802022Journal Articlesopenjournals/iet-cds/HanWK2210.1049/CDS2.12085https://doi.org/10.1049/cds2.12085https://dblp.org/rec/journals/iet-cds/HanWK22URL#639137Xianghong HuXueming LiXin Zheng 0001Yuan Liu 0022Xiaoming XiongA high speed processor for elliptic curve cryptography over NIST prime field.IET Circuits Devices Syst.164350-3592022Journal Articlesopenjournals/iet-cds/HuLZLX2210.1049/CDS2.12110https://doi.org/10.1049/cds2.12110https://dblp.org/rec/journals/iet-cds/HuLZLX22URL#639138Hongmin HuangXianghong HuXueming LiXiaoming XiongAn efficient loop tiling framework for convolutional neural network inference accelerators.IET Circuits Devices Syst.161116-1232022Journal Articlesopenjournals/iet-cds/HuangHLX2210.1049/CDS2.12091https://doi.org/10.1049/cds2.12091https://dblp.org/rec/journals/iet-cds/HuangHLX22URL#639139Shahbaz HussainMehedi HasanGazal AgrawalMohd. HasanA high-performance full swing 1-bit hybrid full adder cell.IET Circuits Devices Syst.163210-2172022Journal Articlesopenjournals/iet-cds/HussainHAH2210.1049/CDS2.12097https://doi.org/10.1049/cds2.12097https://dblp.org/rec/journals/iet-cds/HussainHAH22URL#639140Arumugam KarthigeyanSankararajan RadhaEsakkimuthu ManikandanSingle event transient mitigation techniques for a cross-coupled LC oscillator, including a single-event transient hardened CMOS LC-VCO circuit.IET Circuits Devices Syst.162178-1882022Journal Articlesopenjournals/iet-cds/KarthigeyanRM2210.1049/CDS2.12094https://doi.org/10.1049/cds2.12094https://dblp.org/rec/journals/iet-cds/KarthigeyanRM22URL#639141Jayaraj U. KidavPerumal M. PillaiV. S. DeepakSreejeesh S. G.Design of a 128-channel transceiver hardware for medical ultrasound imaging systems.IET Circuits Devices Syst.16192-1042022Journal Articlesopenjournals/iet-cds/KidavPDG2210.1049/CDS2.12087https://doi.org/10.1049/cds2.12087https://dblp.org/rec/journals/iet-cds/KidavPDG22URL#639142Pu LiXiaoyuan WangXue ZhangJason Kamran EshraghianHerbert Ho-Ching LuSpice modelling of a tri-state memristor and analysis of its series and parallel characteristics.IET Circuits Devices Syst.16181-912022Journal Articlesopenjournals/iet-cds/LiWZEL2210.1049/CDS2.12086https://doi.org/10.1049/cds2.12086https://dblp.org/rec/journals/iet-cds/LiWZEL22URL#639143Chengxi LiuZhen GongFilipe Faria da SilvaQiupin LaiPan HuA study of harmonic spatial propagation along AC power lines of meshed power systems.IET Circuits Devices Syst.164337-3492022Journal Articlesopenjournals/iet-cds/LiuGSLH2210.1049/CDS2.12107https://doi.org/10.1049/cds2.12107https://dblp.org/rec/journals/iet-cds/LiuGSLH22URL#639144Dong LiuMingyue LiYangjie OuZhong LanMaosen TangWeibo WangXiarong Hu1.2 kV 4H-SiC planar power MOSFETs with a low-K dielectric in central gate.IET Circuits Devices Syst.165419-4262022Journal Articlesclosedjournals/iet-cds/LiuLOLTWH2210.1049/CDS2.12115https://doi.org/10.1049/cds2.12115https://dblp.org/rec/journals/iet-cds/LiuLOLTWH22URL#639145Yanhua MaXiangzhe KongYu-Chun ChangDesign of a multi-mode digital pixel with conversion data protection.IET Circuits Devices Syst.167501-5242022Journal Articlesopenjournals/iet-cds/MaKC2210.1049/CDS2.12122https://doi.org/10.1049/cds2.12122https://dblp.org/rec/journals/iet-cds/MaKC22URL#639146Rui MaFlorian ProtzeFrank EllingerA 5.5-7.5-GHz band-configurable wake-up receiver fully integrated in 45-nm RF-SOI CMOS.IET Circuits Devices Syst.167525-5422022Journal Articlesopenjournals/iet-cds/MaPE2210.1049/CDS2.12123https://doi.org/10.1049/cds2.12123https://dblp.org/rec/journals/iet-cds/MaPE22URL#639147Hamid MahmoodianMehdi DolatshahiSayed Mohammad Ali ZanjaniMohammad Amin HonarvarAn energy-efficient dynamic comparator in Carbon Nanotube Field Effect Transistor technology for successive approximation register ADC applications.IET Circuits Devices Syst.164360-3712022Journal Articlesopenjournals/iet-cds/MahmoodianDZH2210.1049/CDS2.12112https://doi.org/10.1049/cds2.12112https://dblp.org/rec/journals/iet-cds/MahmoodianDZH22URL#639148Ryoma NakataTakashi HisakadoTohlu MatsushimaOsami WadaCircuit analysis of radiation reaction in metamaterials by retarded electromagnetic coupling.IET Circuits Devices Syst.164311-3212022Journal Articlesopenjournals/iet-cds/NakataHMW2210.1049/CDS2.12104https://doi.org/10.1049/cds2.12104https://dblp.org/rec/journals/iet-cds/NakataHMW22URL#639149Predrag Bosko PetrovicNew full-wave rectifier based on modified voltage differencing transconductance amplifier.IET Circuits Devices Syst.164322-3362022Journal Articlesopenjournals/iet-cds/Petrovic2210.1049/CDS2.12106https://doi.org/10.1049/cds2.12106https://dblp.org/rec/journals/iet-cds/Petrovic22URL#639150Farzaneh RezaeiFatemeh Dehghan NayeriAdel RezaeianA novel design of a silicon PIN diode for increasing the breakdown voltage.IET Circuits Devices Syst.166491-4992022Journal Articlesopenjournals/iet-cds/RezaeiNR2210.1049/CDS2.12120https://doi.org/10.1049/cds2.12120https://dblp.org/rec/journals/iet-cds/RezaeiNR22URL#639151Ayoub SadeghiNabiollah ShiriMahmood RafieeRahim GhayourTolerant and low power subtractor with 4: 2 compressor and a new TG-PTL-float full adder cell.IET Circuits Devices Syst.166437-4602022Journal Articlesopenjournals/iet-cds/SadeghiSRG2210.1049/CDS2.12117https://doi.org/10.1049/cds2.12117https://dblp.org/rec/journals/iet-cds/SadeghiSRG22URL#639152Samaneh SadiAbdolreza NabaviMassoud DoustiDesign and analysis of a tunable broadband 180-degree active coupler with low phase-error and high-directivity using staggering technique.IET Circuits Devices Syst.168581-5972022Journal Articlesclosedjournals/iet-cds/SadiND2210.1049/CDS2.12131https://doi.org/10.1049/cds2.12131https://dblp.org/rec/journals/iet-cds/SadiND22URL#639153Jean Paul Dytioco SantosKamal BhaktaFoad FereidoonyYuanxun Ethan WangOnto a higher power handling for very high frequency direct antenna modulation.IET Circuits Devices Syst.165373-3812022Journal Articlesclosedjournals/iet-cds/SantosBFW2210.1049/CDS2.12108https://doi.org/10.1049/cds2.12108https://dblp.org/rec/journals/iet-cds/SantosBFW22URL#639154Abubaker SasiArash AhmadiMajid AhmadiCharacterizing a standard cell library for large scale design of memristive based signal processing.IET Circuits Devices Syst.16113-252022Journal Articlesopenjournals/iet-cds/SasiAA2210.1049/CDS2.12076https://doi.org/10.1049/cds2.12076https://dblp.org/rec/journals/iet-cds/SasiAA22URL#639155Saeid SeyediBehrouz PourgheblehNima Jafari NavimipourA new coplanar design of a 4-bit ripple carry adder based on quantum-dot cellular automata technology.IET Circuits Devices Syst.16164-702022Journal Articlesopenjournals/iet-cds/SeyediPN2210.1049/CDS2.12083https://doi.org/10.1049/cds2.12083https://dblp.org/rec/journals/iet-cds/SeyediPN22URL#639156Salimeh ShahrabadiChallenges and solutions of working under threshold supply-voltage, for CNTFET-based SRAM-bitcell.IET Circuits Devices Syst.168569-5802022Journal Articlesclosedjournals/iet-cds/Shahrabadi2210.1049/CDS2.12126https://doi.org/10.1049/cds2.12126https://dblp.org/rec/journals/iet-cds/Shahrabadi22URL#639157Shanmugam ShaliniDharmar SelvathiVery large scale integration implementation of seizure detection system with on-chip support vector machine classifier.IET Circuits Devices Syst.1611-122022Journal Articlesopenjournals/iet-cds/ShaliniS2210.1049/CDS2.12077https://doi.org/10.1049/cds2.12077https://dblp.org/rec/journals/iet-cds/ShaliniS22URL#639158Samira ShamsirLaila Parvin PolyRajat ChakrabortySamia SubrinaCurrent-voltage model of a graphene nanoribbon p-n junction and Schottky junction diode.IET Circuits Devices Syst.162157-1682022Journal Articlesopenjournals/iet-cds/ShamsirPCS2210.1049/CDS2.12092https://doi.org/10.1049/cds2.12092https://dblp.org/rec/journals/iet-cds/ShamsirPCS22URL#639159Vijay SharmaMohammad S. HashmiFrequency generator demonstration using half mode Substrate Integrated Waveguide (SIW) structures for chipless Radio Frequency Identification (RFID) reader.IET Circuits Devices Syst.165410-4182022Journal Articlesclosedjournals/iet-cds/SharmaH2210.1049/CDS2.12113https://doi.org/10.1049/cds2.12113https://dblp.org/rec/journals/iet-cds/SharmaH22URL#639160Elmira TavakkoliMahdi AminianDesign and analysis of energy-efficient compressors based on low-power XOR gates in carbon nanotube technology.IET Circuits Devices Syst.163240-2562022Journal Articlesopenjournals/iet-cds/TavakkoliA2210.1049/CDS2.12100https://doi.org/10.1049/cds2.12100https://dblp.org/rec/journals/iet-cds/TavakkoliA22URL#639161Mahmood VesaliHosein RanjbarFarhad GhafoorianA new soft-switching high step-down DC-DC converter for voltage regular module application.IET Circuits Devices Syst.162136-1462022Journal Articlesopenjournals/iet-cds/VesaliRG2210.1049/CDS2.12089https://doi.org/10.1049/cds2.12089https://dblp.org/rec/journals/iet-cds/VesaliRG22URL#639162Zhaoyan WangHengyu LiJun LiuTiehui ZhangXinru MaShaorong XieJun Luo 0006Bipartite consensus in coupled harmonic oscillators with local instantaneous interaction and measurement noise.IET Circuits Devices Syst.166471-4822022Journal Articlesopenjournals/iet-cds/WangLLZMXL2210.1049/CDS2.12118https://doi.org/10.1049/cds2.12118https://dblp.org/rec/journals/iet-cds/WangLLZMXL22URL#639163CORRIGENDUM Systematic Cell placement in Quantum-dot Cellular Automata Embedding Underlying Regular Clocking Circuit.IET Circuits Devices Syst.1622002022Journal Articlesopenjournals/iet-cds/X2210.1049/CDS2.12082https://doi.org/10.1049/cds2.12082https://dblp.org/rec/journals/iet-cds/X22URL#639164Yujun XieBin WangLijun ZhangXin Zheng 0001Xiaoling LinXiaoming XiongYuan Liu 0022A high-performance processor for optimal ate pairing computation over Barreto-Naehrig curves.IET Circuits Devices Syst.165427-4362022Journal Articlesclosedjournals/iet-cds/XieWZZLXL2210.1049/CDS2.12116https://doi.org/10.1049/cds2.12116https://dblp.org/rec/journals/iet-cds/XieWZZLXL22URL#639165Jie Xu 0017Sizhou SunHuacai LuResearch on torque feedback equivalent structures in the multi-layer and multi-axis synchronisation control model.IET Circuits Devices Syst.164301-3102022Journal Articlesopenjournals/iet-cds/XuSL2210.1049/CDS2.12105https://doi.org/10.1049/cds2.12105https://dblp.org/rec/journals/iet-cds/XuSL22URL#639166Ying ZhangMinghui GeXin ChenJiaqi YaoZhiming MaoBlinding HT: Hiding Hardware Trojan signals traced across multiple sequential levels.IET Circuits Devices Syst.161105-1152022Journal Articlesopenjournals/iet-cds/ZhangGCYM2210.1049/CDS2.12088https://doi.org/10.1049/cds2.12088https://dblp.org/rec/journals/iet-cds/ZhangGCYM22URL#639167Tianyi ZhangWenchang LiJinyu XiaoJian Liu 0021Thermal field reconstruction based on weighted dictionary learning.IET Circuits Devices Syst.163228-2392022Journal Articlesopenjournals/iet-cds/ZhangLXL2210.1049/CDS2.12098https://doi.org/10.1049/cds2.12098https://dblp.org/rec/journals/iet-cds/ZhangLXL22URL#639168Yuejun ZhangQiufeng WuPengjun WangLiang WenZhicun LuanChongyan GuTVD-PB logic circuit based on camouflaging circuit for IoT security.IET Circuits Devices Syst.16140-522022Journal Articlesopenjournals/iet-cds/ZhangWWWLG2210.1049/CDS2.12080https://doi.org/10.1049/cds2.12080https://dblp.org/rec/journals/iet-cds/ZhangWWWLG22URL#639169Guopeng ZhaoTeaching method of designing experiment from the perspective of teacher in power electronics course.IET Circuits Devices Syst.16153-632022Journal Articlesopenjournals/iet-cds/Zhao2210.1049/CDS2.12079https://doi.org/10.1049/cds2.12079https://dblp.org/rec/journals/iet-cds/Zhao22URL#639170Guopeng ZhaoEffect analysis of adding selective experiments in power electronics course to encourage students' active learning.IET Circuits Devices Syst.162147-1562022Journal Articlesopenjournals/iet-cds/Zhao22a10.1049/CDS2.12090https://doi.org/10.1049/cds2.12090https://dblp.org/rec/journals/iet-cds/Zhao22aURL#639171Guopeng ZhaoEffect analysis of the teaching method of mutual result correction between students in an experiment of power electronics course.IET Circuits Devices Syst.163201-2092022Journal Articlesopenjournals/iet-cds/Zhao22b10.1049/CDS2.12096https://doi.org/10.1049/cds2.12096https://dblp.org/rec/journals/iet-cds/Zhao22bURL#639172Guopeng ZhaoEffect of adding small applications after verification experiment in a power electronics course.IET Circuits Devices Syst.163218-2272022Journal Articlesopenjournals/iet-cds/Zhao22c10.1049/CDS2.12099https://doi.org/10.1049/cds2.12099https://dblp.org/rec/journals/iet-cds/Zhao22cURL#639173Sudipta Paul 0001Pritha Banerjee 0001Susmita Sur-KolayA study on flare minimisation in EUV lithography by post-layout re-allocation of wire segments.IET Circuits Devices Syst.154310-3292021Journal Articlesopenjournals/iet-cds/0001BS2110.1049/CDS2.12028https://doi.org/10.1049/cds2.12028https://dblp.org/rec/journals/iet-cds/0001BS21URL#1114893Wei Xu 0029Ning Cao 0003Jerk forms dynamics of a Chua's family and their new unified circuit implementation.IET Circuits Devices Syst.158755-7712021Journal Articlesopenjournals/iet-cds/002902110.1049/CDS2.12066https://doi.org/10.1049/cds2.12066https://dblp.org/rec/journals/iet-cds/0029021URL#1114894Zhen Li 0037Zhenrong LiXing QuanZeyuan WangXinyu LiYiqi ZhuangA 0.6 V 2.7 mW 94.3% locking range injection-locked frequency divider using modified varactor-less Colpitts oscillator topology.IET Circuits Devices Syst.157610-6182021Journal Articlesclosedjournals/iet-cds/0037LQWLZ2110.1049/CDS2.12056https://doi.org/10.1049/cds2.12056https://dblp.org/rec/journals/iet-cds/0037LQWLZ21URL#1114895Sa'ed AbedReem JaffalBassam Jamil MohdMohammad AlshayejiPerformance evaluation of the SM4 cipher based on field-programmable gate array implementation.IET Circuits Devices Syst.152121-1352021Journal Articlesopenjournals/iet-cds/AbedJMA2110.1049/CDS2.12011https://doi.org/10.1049/cds2.12011https://dblp.org/rec/journals/iet-cds/AbedJMA21URL#1114896Sonia AfroozNima Jafari NavimipourAn effective nano design of demultiplexer architecture based on coplanar quantum-dot cellular automata.IET Circuits Devices Syst.152168-1742021Journal Articlesopenjournals/iet-cds/AfroozN2110.1049/CDS2.12019https://doi.org/10.1049/cds2.12019https://dblp.org/rec/journals/iet-cds/AfroozN21URL#1114897Payam AlemiJiacheng Wang 0003Jianwen ZhangSahar AminiPerformance analysis of high-power three-phase current source inverters in photovoltaic applications.IET Circuits Devices Syst.15279-872021Journal Articlesopenjournals/iet-cds/AlemiWZA2110.1049/CDS2.12001https://doi.org/10.1049/cds2.12001https://dblp.org/rec/journals/iet-cds/AlemiWZA21URL#1114898Abdullah S. AlotaibiA hybrid attack detection strategy for cybersecurity using moth elephant herding optimisation-based stacked autoencoder.IET Circuits Devices Syst.153224-2362021Journal Articlesopenjournals/iet-cds/Alotaibi2110.1049/CDS2.12016https://doi.org/10.1049/cds2.12016https://dblp.org/rec/journals/iet-cds/Alotaibi21URL#1114899Andres AmayaJavier ArdilaElkim RoaA digital phase-based on-fly offset compensation method for decision feedback equalisers.IET Circuits Devices Syst.154297-3092021Journal Articlesopenjournals/iet-cds/AmayaAR2110.1049/CDS2.12027https://doi.org/10.1049/cds2.12027https://dblp.org/rec/journals/iet-cds/AmayaAR21URL#1114900ApoorvaNaveen KumarS. Intekhab AminSunny AnandDesign and investigation of negative capacitance-based core-shell dopingless nanotube tunnel field-effect transistor.IET Circuits Devices Syst.157686-6942021Journal Articlesclosedjournals/iet-cds/ApoorvaKAA2110.1049/CDS2.12064https://doi.org/10.1049/cds2.12064https://dblp.org/rec/journals/iet-cds/ApoorvaKAA21URL#1114901Moez BaltiAbderrazak JemaiPerformance survey of classic and Optic network-on-chip.IET Circuits Devices Syst.154393-4022021Journal Articlesopenjournals/iet-cds/BaltiJ2110.1049/CDS2.12025https://doi.org/10.1049/cds2.12025https://dblp.org/rec/journals/iet-cds/BaltiJ21URL#1114902Amin BeiramiHassan Feshki FarahaniR. Mohammad RahimiS. AminiDynamic analysis of Halbach coaxial magnetic gears based on magnetic equivalent circuit modelling.IET Circuits Devices Syst.153260-2712021Journal Articlesopenjournals/iet-cds/BeiramiFRA2110.1049/CDS2.12023https://doi.org/10.1049/cds2.12023https://dblp.org/rec/journals/iet-cds/BeiramiFRA21URL#1114903Dhrubajyoti BhowmikJayanta PalMrinal GoswamiPinaki SenApu Kumar SahaBibhash SenSystematic cell placement in quantum-dot cellular automata embedding underlying regular clocking circuit.IET Circuits Devices Syst.152156-1672021Journal Articlesopenjournals/iet-cds/BhowmikPGSSS2110.1049/CDS2.12015https://doi.org/10.1049/cds2.12015https://dblp.org/rec/journals/iet-cds/BhowmikPGSSS21URL#1114904Laxmidhar BiswalBappaditya MondalHafizur Rahaman 0001Fault-tolerant quantum implementation of conventional decoder logic with enable input.IET Circuits Devices Syst.155415-4232021Journal Articlesopenjournals/iet-cds/BiswalM02110.1049/CDS2.12036https://doi.org/10.1049/cds2.12036https://dblp.org/rec/journals/iet-cds/BiswalM021URL#1114905Ria BoseJatindra Nath RoyAnalytical model and simulation-based analysis of a work function engineered triple metal tunnel field-effect transistor device showing excellent device performance.IET Circuits Devices Syst.15111-192021Journal Articlesopenjournals/iet-cds/BoseR2110.1049/CDS2.12009https://doi.org/10.1049/cds2.12009https://dblp.org/rec/journals/iet-cds/BoseR21URL#1114906Tso-Jung ChangKrishna PandeTing-Jui HuangHeng-Tung HsuCompact phase shifter using arbitrary length of two coupled transmission lines.IET Circuits Devices Syst.155403-4142021Journal Articlesopenjournals/iet-cds/ChangPHH2110.1049/CDS2.12030https://doi.org/10.1049/cds2.12030https://dblp.org/rec/journals/iet-cds/ChangPHH21URL#1114907Samba Raju ChiluveruManoj TripathyBibhuduttaNon-linear activation function approximation using a REMEZ algorithm.IET Circuits Devices Syst.157630-6402021Journal Articlesclosedjournals/iet-cds/ChiluveruTB2110.1049/CDS2.12058https://doi.org/10.1049/cds2.12058https://dblp.org/rec/journals/iet-cds/ChiluveruTB21URL#1114908Francisco ColodroJuana Maria Martinez-HerediaJose Luis MoraAntonio Torralba 0002Time-interleaving design of error-feedback sigma-delta modulators with infinite impulse response noise transfer function.IET Circuits Devices Syst.155448-4542021Journal Articlesopenjournals/iet-cds/ColodroMM02110.1049/CDS2.12040https://doi.org/10.1049/cds2.12040https://dblp.org/rec/journals/iet-cds/ColodroMM021URL#1114909Mojtaba DaliriPietro M. FerreiraGeoffroy KlisnickAziz Benlarbi-DelaïA comparative study between E-neurons mathematical model and circuit model.IET Circuits Devices Syst.152175-1822021Journal Articlesopenjournals/iet-cds/DaliriFKB2110.1049/CDS2.12017https://doi.org/10.1049/cds2.12017https://dblp.org/rec/journals/iet-cds/DaliriFKB21URL#1114910Ahmed S. ElwakilMohammed E. FoudaSohaib MajzoubAhmed G. RadwanPinched hysteresis loops in non-linear resonators.IET Circuits Devices Syst.15288-932021Journal Articlesopenjournals/iet-cds/ElwakilFMR2110.1049/CDS2.12003https://doi.org/10.1049/cds2.12003https://dblp.org/rec/journals/iet-cds/ElwakilFMR21URL#1114911Razieh EskandariAfshin EbrahimiHassan Faraji BaghtashA wideband balun-LNA employing symmetrical CCC technique and balanced outputs.IET Circuits Devices Syst.154330-3392021Journal Articlesopenjournals/iet-cds/EskandariEB2110.1049/CDS2.12029https://doi.org/10.1049/cds2.12029https://dblp.org/rec/journals/iet-cds/EskandariEB21URL#1114912Jürgen FreudenbergerDaniel Nicolas BailonMalek SafiehReduced complexity hard- and soft-input BCH decoding with applications in concatenated codes.IET Circuits Devices Syst.153284-2962021Journal Articlesopenjournals/iet-cds/FreudenbergerBS2110.1049/CDS2.12026https://doi.org/10.1049/cds2.12026https://dblp.org/rec/journals/iet-cds/FreudenbergerBS21URL#1114913Weishi GaoYunbing YanQiang MaXiaodong WangBowen ZhuResearch on three-phase VSR segmented PI synergetic control strategy based on LCL filter.IET Circuits Devices Syst.154377-3872021Journal Articlesopenjournals/iet-cds/GaoYMWZ2110.1049/CDS2.12034https://doi.org/10.1049/cds2.12034https://dblp.org/rec/journals/iet-cds/GaoYMWZ21URL#1114914Christopher M. GrötschIulia DanLaurenz JohnSandrine WagnerIngmar KallfassComparison of active dual-gate and passive mixers for terahertz applications.IET Circuits Devices Syst.154353-3652021Journal Articlesopenjournals/iet-cds/GrotschDJWK2110.1049/CDS2.12032https://doi.org/10.1049/cds2.12032https://dblp.org/rec/journals/iet-cds/GrotschDJWK21URL#1114915Khandaker A. HaqueMd. Zunaid BatenCorrelation between performance characteristics of indoor photovoltaic devices and DC-to-DC up-converters for low-power electronic applications.IET Circuits Devices Syst.158728-7372021Journal Articlesopenjournals/iet-cds/HaqueB2110.1049/CDS2.12069https://doi.org/10.1049/cds2.12069https://dblp.org/rec/journals/iet-cds/HaqueB21URL#1114916Ali H. HassanHassan MostafaMohamed RefkyKhaled N. SalamaAhmed M. SolimanA 0.002-mm2 8-bit 1-MS/s low-power time-based DAC (T-DAC).IET Circuits Devices Syst.158738-7442021Journal Articlesopenjournals/iet-cds/HassanMRSS2110.1049/CDS2.12068https://doi.org/10.1049/cds2.12068https://dblp.org/rec/journals/iet-cds/HassanMRSS21URL#1114917Khizar HayatSalahuddin ZafarTariq MehmoodBusra Cankaya AkogluEkmel ÖzbayAhsan KashifEighty nine-watt cascaded multistage power amplifier using gallium nitride-on-silicon high electron mobility transistor for L-band radar applications.IET Circuits Devices Syst.158830-8412021Journal Articlesopenjournals/iet-cds/HayatZMAOK2110.1049/CDS2.12075https://doi.org/10.1049/cds2.12075https://dblp.org/rec/journals/iet-cds/HayatZMAOK21URL#1114918Qinghua HuWen-Sheng ZhaoKai FuDa-Wei Wang 0003Gaofeng WangOn the applicability of two-bit carbon nanotube through-silicon via for power distribution networks in 3-D integrated circuits.IET Circuits Devices Syst.15120-262021Journal Articlesopenjournals/iet-cds/HuZFWW2110.1049/CDS2.12010https://doi.org/10.1049/cds2.12010https://dblp.org/rec/journals/iet-cds/HuZFWW21URL#1114919Sheikh Wasmir HussainTelajala Venkata MahendraSandeep MishraAnup DandapatMatch-line control unit for power and delay reduction in hybrid CAM.IET Circuits Devices Syst.153272-2832021Journal Articlesopenjournals/iet-cds/HussainMMD2110.1049/CDS2.12024https://doi.org/10.1049/cds2.12024https://dblp.org/rec/journals/iet-cds/HussainMMD21URL#1114920Jérôme Folla KamdemMaria Liz CrespoWembe Tafo EvaristeMohammad A. S. BhuiyanAndres CicuttinEssimbi Zobo BernardMamun Bin Ibne ReazA low-offset low-power and high-speed dynamic latch comparator with a preamplifier-enhanced stage.IET Circuits Devices Syst.15165-772021Journal Articlesopenjournals/iet-cds/JeromeCEBCBR2110.1049/CDS2.12008https://doi.org/10.1049/cds2.12008https://dblp.org/rec/journals/iet-cds/JeromeCEBCBR21URL#1114921Rui JinYaohua WangLi LiLonglai XuKui PuJun ZengMohamed DarwishComparative study of electro-thermal characteristics of 4500 V diffusion-CS IGBT and buried-CS IGBT.IET Circuits Devices Syst.153251-2592021Journal Articlesopenjournals/iet-cds/JinWLXPZD2110.1049/CDS2.12022https://doi.org/10.1049/cds2.12022https://dblp.org/rec/journals/iet-cds/JinWLXPZD21URL#1114922Manoj JoshiAshish Ranjan 0002Dual feedback IRC ring for chaotic waveform generation.IET Circuits Devices Syst.157595-6012021Journal Articlesclosedjournals/iet-cds/JoshiR2110.1049/CDS2.12054https://doi.org/10.1049/cds2.12054https://dblp.org/rec/journals/iet-cds/JoshiR21URL#1114923Sudarsana Reddy KarnatiLakshmi BopannaDhanunjay R. JahagirdarDynamically tuneable pre-modulation filter for an airborne PCM/FM telemetry system.IET Circuits Devices Syst.157602-6092021Journal Articlesclosedjournals/iet-cds/KarnatiBJ2110.1049/CDS2.12055https://doi.org/10.1049/cds2.12055https://dblp.org/rec/journals/iet-cds/KarnatiBJ21URL#1114924Manjit KaurNeena GuptaSanjeev KumarBalwinder RajArun K. SinghComparative radio-frequency and crosstalk analysis of carbon-based nano-interconnects.IET Circuits Devices Syst.156493-5032021Journal Articlesopenjournals/iet-cds/KaurGKRS2110.1049/CDS2.12044https://doi.org/10.1049/cds2.12044https://dblp.org/rec/journals/iet-cds/KaurGKRS21URL#1114925Masoodur Rahman KhanA. B. M. Harun-ur RashidMemristor-transistor hybrid ternary content addressable memory using ternary memristive memory cell.IET Circuits Devices Syst.157619-6292021Journal Articlesclosedjournals/iet-cds/KhanR2110.1049/CDS2.12057https://doi.org/10.1049/cds2.12057https://dblp.org/rec/journals/iet-cds/KhanR21URL#1114926Sabavat Satheesh KumarKumaravel Sundaram 0001Sanjeevikumar PadmanabanJens Bo Holm-NielsenFrede BlaabjergA low power and soft error resilience guard-gated Quartro-based flip-flop in 45 nm CMOS technology.IET Circuits Devices Syst.156571-5802021Journal Articlesopenjournals/iet-cds/Kumar0PHB2110.1049/CDS2.12052https://doi.org/10.1049/cds2.12052https://dblp.org/rec/journals/iet-cds/Kumar0PHB21URL#1114927Satyendra KumarTemperature dependence of analogue/RF performance, linearity and harmonic distortion for dual-material gate-oxide-stack double-gate TFET.IET Circuits Devices Syst.156540-5522021Journal Articlesopenjournals/iet-cds/Kumar2110.1049/CDS2.12049https://doi.org/10.1049/cds2.12049https://dblp.org/rec/journals/iet-cds/Kumar21URL#1114928Sagnik KumarR. MuralidharanG. NarayananHall-effect sensors based on AlGaN/GaN heterojunctions on Si substrates for a wide temperature range.IET Circuits Devices Syst.158772-7862021Journal Articlesopenjournals/iet-cds/KumarMN2110.1049/CDS2.12067https://doi.org/10.1049/cds2.12067https://dblp.org/rec/journals/iet-cds/KumarMN21URL#1114929Chandrasekar LakshumananKumar Prasannajit PradhanMemoryless non-linearity in B-Substitution doped and undoped graphene FETs: A comparative investigation.IET Circuits Devices Syst.157641-6482021Journal Articlesclosedjournals/iet-cds/LakshumananP2110.1049/CDS2.12059https://doi.org/10.1049/cds2.12059https://dblp.org/rec/journals/iet-cds/LakshumananP21URL#1114930Daniel Junehee LeeFei Yuan 0005Gul N. KhanYushi ZhouAn 8-bit digital-to-time converter with pre-skewing and time interpolation.IET Circuits Devices Syst.157670-6852021Journal Articlesclosedjournals/iet-cds/Lee0KZ2110.1049/CDS2.12063https://doi.org/10.1049/cds2.12063https://dblp.org/rec/journals/iet-cds/Lee0KZ21URL#1114931Yue LiFei Yuan 0005Adaptive data-transition decision feedback equaliser with edge emphasis.IET Circuits Devices Syst.154340-3522021Journal Articlesopenjournals/iet-cds/Li02110.1049/CDS2.12031https://doi.org/10.1049/cds2.12031https://dblp.org/rec/journals/iet-cds/Li021URL#1114932Chunbiao LiJulien Clinton SprottWesley Joo-Chen ThioZhenyu GuA simple memristive jerk system.IET Circuits Devices Syst.154388-3922021Journal Articlesopenjournals/iet-cds/LiSTG2110.1049/CDS2.12035https://doi.org/10.1049/cds2.12035https://dblp.org/rec/journals/iet-cds/LiSTG21URL#1114933Guishu LiangMingjue JiangW transform and its application in fractional linear systems with rational powers.IET Circuits Devices Syst.153209-2232021Journal Articlesopenjournals/iet-cds/LiangJ2110.1049/CDS2.12013https://doi.org/10.1049/cds2.12013https://dblp.org/rec/journals/iet-cds/LiangJ21URL#1114934Guozhuang LiangHanlei TianHetong WangYiwen XiaXianyong XiaoConstant frequency, non-isolated multichannel LED driver based on variable inductor.IET Circuits Devices Syst.158803-8132021Journal Articlesopenjournals/iet-cds/LiangTWXX2110.1049/CDS2.12072https://doi.org/10.1049/cds2.12072https://dblp.org/rec/journals/iet-cds/LiangTWXX21URL#1114935Jingtian LiuBin LiangJianjun ChenYaqing ChiLi YanYang Guo 0003Current mirror with charge dissipation transistor for analogue single-event transient mitigation in space application.IET Circuits Devices Syst.152136-1402021Journal Articlesopenjournals/iet-cds/LiuLCCY02110.1049/CDS2.12012https://doi.org/10.1049/cds2.12012https://dblp.org/rec/journals/iet-cds/LiuLCCY021URL#1114936Yanhua MaJitong LiMing ZhuYu-Chun ChangOptimal design of 10-bit single-slope ADC for CMOS image sensor based on swarm intelligent optimization algorithm.IET Circuits Devices Syst.158787-8022021Journal Articlesopenjournals/iet-cds/MaLZC2110.1049/CDS2.12070https://doi.org/10.1049/cds2.12070https://dblp.org/rec/journals/iet-cds/MaLZC21URL#1114937Mountassar MaamounAdnane HassaniSamir DahmaniHocine Ait SaadiGhania ZerariNoureddine ChabiniRachid BeguenaneEfficient FPGA based architecture for high-order FIR filtering using simultaneous DSP and LUT reduced utilization.IET Circuits Devices Syst.155475-4842021Journal Articlesopenjournals/iet-cds/MaamounHDSZCB2110.1049/CDS2.12043https://doi.org/10.1049/cds2.12043https://dblp.org/rec/journals/iet-cds/MaamounHDSZCB21URL#1114938Gabriel MaranhãoJanaina Gonçalves GuimarãesLow-power hybrid memristor-CMOS spiking neuromorphic STDP learning system.IET Circuits Devices Syst.153237-2502021Journal Articlesopenjournals/iet-cds/MaranhaoG2110.1049/CDS2.12018https://doi.org/10.1049/cds2.12018https://dblp.org/rec/journals/iet-cds/MaranhaoG21URL#1114939Pavan MehtaSubhanarayan SahooMayank Kumar 0001A fault-diagnosis and tolerant control technique for five-level cascaded H-bridge inverters.IET Circuits Devices Syst.154366-3762021Journal Articlesopenjournals/iet-cds/MehtaS02110.1049/CDS2.12033https://doi.org/10.1049/cds2.12033https://dblp.org/rec/journals/iet-cds/MehtaS021URL#1114940Jared MercierYushi ZhouLow-power multi-band injection-locked wireless receiver in 0.13 μm CMOS.IET Circuits Devices Syst.156522-5392021Journal Articlesopenjournals/iet-cds/MercierZ2110.1049/CDS2.12048https://doi.org/10.1049/cds2.12048https://dblp.org/rec/journals/iet-cds/MercierZ21URL#1114941Prasad NagabhushanamgariVikash SehwagIndrajit ChakrabartiSantanu ChattopadhyayEmbedding delay-based physical unclonable functions in networks-on-chip.IET Circuits Devices Syst.15127-412021Journal Articlesopenjournals/iet-cds/Nagabhushanamgari2110.1049/CDS2.12004https://doi.org/10.1049/cds2.12004https://dblp.org/rec/journals/iet-cds/Nagabhushanamgari21URL#1114942Shaleen NrSangeeta SinghPankaj KumarSi1-xGex nanowire based metal-semiconductor-metal Schottky biristor: Design and sensitivity analysis.IET Circuits Devices Syst.158745-7542021Journal Articlesopenjournals/iet-cds/NrSK2110.1049/CDS2.12065https://doi.org/10.1049/cds2.12065https://dblp.org/rec/journals/iet-cds/NrSK21URL#1114943Hadi PahlavanzadehMohammad Azim KaramiRegenerative comparator with floating capacitor for energy-harvesting applications.IET Circuits Devices Syst.158842-8512021Journal Articlesopenjournals/iet-cds/PahlavanzadehK2110.1049/CDS2.12073https://doi.org/10.1049/cds2.12073https://dblp.org/rec/journals/iet-cds/PahlavanzadehK21URL#1114944Abbas PasdarMasoud MeghdadiAli MediStabilisation of multi-loop amplifiers using circuit-based two-port models stability analysis.IET Circuits Devices Syst.156553-5592021Journal Articlesopenjournals/iet-cds/PasdarMM2110.1049/CDS2.12050https://doi.org/10.1049/cds2.12050https://dblp.org/rec/journals/iet-cds/PasdarMM21URL#1114945Sreenivasulu PolineniS. RekhaM. S. Bhat 0001A fully differential switched-capacitor integrator based programmable resolution hybrid ADC architecture for biomedical applications.IET Circuits Devices Syst.152141-1552021Journal Articlesopenjournals/iet-cds/PolineniR02110.1049/CDS2.12014https://doi.org/10.1049/cds2.12014https://dblp.org/rec/journals/iet-cds/PolineniR021URL#1114946Amin RashidiNiloofar YazdaniAmir M. SodagarFully implantable, multi-channel microstimulator with tracking supply ribbon, multi-output charge pump and energy recovery.IET Circuits Devices Syst.152104-1202021Journal Articlesopenjournals/iet-cds/RashidiYS2110.1049/CDS2.12007https://doi.org/10.1049/cds2.12007https://dblp.org/rec/journals/iet-cds/RashidiYS21URL#1114947Krishnan S. RengarajanSaroj MondalRavindra KapreChallenges to adopting adiabatic circuits for systems-on-a-chip.IET Circuits Devices Syst.156581-5932021Journal Articlesopenjournals/iet-cds/RengarajanMK2110.1049/CDS2.12053https://doi.org/10.1049/cds2.12053https://dblp.org/rec/journals/iet-cds/RengarajanMK21URL#1114948Shirshendu RoyDebiprasad Priyabrata AcharyaAjit Kumar Sahoo 0001Fast OMP algorithm and its FPGA implementation for compressed sensing-based sparse signal acquisition systems.IET Circuits Devices Syst.156511-5212021Journal Articlesopenjournals/iet-cds/RoyAS2110.1049/CDS2.12047https://doi.org/10.1049/cds2.12047https://dblp.org/rec/journals/iet-cds/RoyAS21URL#1114949Ashish SachdevaV. K. TomarDesign of 10T SRAM cell with improved read performance and expanded write margin.IET Circuits Devices Syst.15142-642021Journal Articlesopenjournals/iet-cds/SachdevaT2110.1049/CDS2.12006https://doi.org/10.1049/cds2.12006https://dblp.org/rec/journals/iet-cds/SachdevaT21URL#1114950Ashkan SafariMehran SabahiPractical data connection between MATLAB and microcontrollers using virtual serial port and MicroPython Pyboard: A survey.IET Circuits Devices Syst.155485-4922021Journal Articlesopenjournals/iet-cds/SafariS2110.1049/CDS2.12038https://doi.org/10.1049/cds2.12038https://dblp.org/rec/journals/iet-cds/SafariS21URL#1114951Sayantam SarkarSatish S. BhairannawarK. B. RajaFPGACam: A FPGA based efficient camera interfacing architecture for real time video processing.IET Circuits Devices Syst.158814-8292021Journal Articlesopenjournals/iet-cds/SarkarBR2110.1049/CDS2.12074https://doi.org/10.1049/cds2.12074https://dblp.org/rec/journals/iet-cds/SarkarBR21URL#1114952Shahab ShahrabadiUltrawideband LNA 1960-2019: Review.IET Circuits Devices Syst.158697-7272021Journal Articlesopenjournals/iet-cds/Shahrabadi2110.1049/CDS2.12071https://doi.org/10.1049/cds2.12071https://dblp.org/rec/journals/iet-cds/Shahrabadi21URL#1114953Suruchi SharmaRikmantra BasuBaljit KaurInterface trap charges associated reliability analysis of Si/Ge heterojunction dopingless TFET.IET Circuits Devices Syst.155424-4332021Journal Articlesopenjournals/iet-cds/SharmaBK2110.1049/CDS2.12037https://doi.org/10.1049/cds2.12037https://dblp.org/rec/journals/iet-cds/SharmaBK21URL#1114954F. S. ShoucairThermal synergies in 50 nanometer CMOS and below.IET Circuits Devices Syst.152183-1962021Journal Articlesopenjournals/iet-cds/Shoucair2110.1049/CDS2.12002https://doi.org/10.1049/cds2.12002https://dblp.org/rec/journals/iet-cds/Shoucair21URL#1114955Suryabhan Pratap SinghUmesh Chandra JaiswalAudio classification using grasshopper-ride optimization algorithm-based support vector machine.IET Circuits Devices Syst.155434-4472021Journal Articlesopenjournals/iet-cds/SinghJ2110.1049/CDS2.12039https://doi.org/10.1049/cds2.12039https://dblp.org/rec/journals/iet-cds/SinghJ21URL#1114956Ankit Kumar SinghAnjanee Kumar MishraKrishna Kumar GuptaTaehyung KimComprehensive review of nonisolated bridgeless power factor converter topologies.IET Circuits Devices Syst.153197-2082021Journal Articlesopenjournals/iet-cds/SinghMGK2110.1049/CDS2.12046https://doi.org/10.1049/cds2.12046https://dblp.org/rec/journals/iet-cds/SinghMGK21URL#1114957Mahdi TaheriMohammad Saeed AnsariSebastian MagierowskiAli Mahani 0001Hardware acceleration of the novel two dimensional Burrows-Wheeler Aligner algorithm with maximal exact matches seed extension kernel.IET Circuits Devices Syst.15294-1032021Journal Articlesopenjournals/iet-cds/TaheriAM02110.1049/CDS2.12005https://doi.org/10.1049/cds2.12005https://dblp.org/rec/journals/iet-cds/TaheriAM021URL#1114958Geetam Singh TomarMarcus Llyode GeorgeAbhineet Singh TomarMulti-precision binary multiplier architecture for multi-precision floating-point multiplication.IET Circuits Devices Syst.155455-4642021Journal Articlesopenjournals/iet-cds/TomarGT2110.1049/CDS2.12041https://doi.org/10.1049/cds2.12041https://dblp.org/rec/journals/iet-cds/TomarGT21URL#1114959Somanath TripathyVikash Kumar RaiJimson MathewMARPUF: physical unclonable function with improved machine learning attack resistance.IET Circuits Devices Syst.155465-4742021Journal Articlesopenjournals/iet-cds/TripathyRM2110.1049/CDS2.12042https://doi.org/10.1049/cds2.12042https://dblp.org/rec/journals/iet-cds/TripathyRM21URL#1114960Chun-Hsun WuBu-Wei ChenWei-Hung KoChih-Wei LiuLe-Ren Chang-ChienPhase sequence interchange scheme for suppressing transient cross regulation on the compensator controlled and non-compensator controlled single-inductor dual-output buck converter.IET Circuits Devices Syst.157657-6692021Journal Articlesclosedjournals/iet-cds/WuCKLC2110.1049/CDS2.12062https://doi.org/10.1049/cds2.12062https://dblp.org/rec/journals/iet-cds/WuCKLC21URL#1114961Kai-Kai WuHongyi Wang 0010Chen ChenTao TaoYouyou FanHao ZhangYuxin LiuImproved voltage transfer method for lithium battery string management chip.IET Circuits Devices Syst.157649-6562021Journal Articlesclosedjournals/iet-cds/WuWCTFZL2110.1049/CDS2.12060https://doi.org/10.1049/cds2.12060https://dblp.org/rec/journals/iet-cds/WuWCTFZL21URL#1114962Erratum.IET Circuits Devices Syst.1576952021Journal Articlesclosedjournals/iet-cds/X2110.1049/CDS2.12061https://doi.org/10.1049/cds2.12061https://dblp.org/rec/journals/iet-cds/X21URL#1114963Lanhua XiaJifei TangAll-digital built-in self-test scheme for charge-pump phase-locked loops.IET Circuits Devices Syst.1511-102021Journal Articlesopenjournals/iet-cds/XiaT2110.1049/CDS2.12000https://doi.org/10.1049/cds2.12000https://dblp.org/rec/journals/iet-cds/XiaT21URL#1114964Serkan YildizAhmet AksenSedat KilincB. Siddik YarmanLow pass filter design with improved stop-band suppression and synthesis with transformer-free ladders.IET Circuits Devices Syst.156504-5102021Journal Articlesopenjournals/iet-cds/YildizAKY2110.1049/CDS2.12045https://doi.org/10.1049/cds2.12045https://dblp.org/rec/journals/iet-cds/YildizAKY21URL#1114965Guopeng ZhaoEffect analysis of small-problem-based teaching method for improving students' problem-solving ability in the experiment of power electronics course.IET Circuits Devices Syst.156560-5702021Journal Articlesopenjournals/iet-cds/Zhao2110.1049/CDS2.12051https://doi.org/10.1049/cds2.12051https://dblp.org/rec/journals/iet-cds/Zhao21URL#1114966Ahmet AbaciErkan YüceSingle DDCC- based simulated floating inductors and their applications.IET Circuits Devices Syst.146796-8042020Journal Articlesclosedjournals/iet-cds/AbaciY2010.1049/IET-CDS.2019.0558https://doi.org/10.1049/iet-cds.2019.0558https://dblp.org/rec/journals/iet-cds/AbaciY20URL#1573553Reza Abbasi-KesbiAlireza NikfarjamMohammadreza NematiDeveloped wireless sensor network to supervise the essential parameters in greenhouses for internet of things applications.IET Circuits Devices Syst.1481258-12642020Journal Articlesclosedjournals/iet-cds/Abbasi-KesbiNN2010.1049/IET-CDS.2020.0085https://doi.org/10.1049/iet-cds.2020.0085https://dblp.org/rec/journals/iet-cds/Abbasi-KesbiNN20URL#1573554Alireza AbolhasaniMorteza MousazadehAbdollah KhoeiFast-locking PLL based on a novel PFD-CP structure and reconfigurable loop filter.IET Circuits Devices Syst.1481235-12422020Journal Articlesclosedjournals/iet-cds/AbolhasaniMK2010.1049/IET-CDS.2019.0561https://doi.org/10.1049/iet-cds.2019.0561https://dblp.org/rec/journals/iet-cds/AbolhasaniMK20URL#1573555Mohamed AboualalaaIslam MansourAdel B. Abdel-RahmanAhmed AllamMohammed Abo-ZahhadHala ElsadekRamesh K. PokharelDual-band CPW rectenna for low input power energy harvesting applications.IET Circuits Devices Syst.146892-8972020Journal Articlesclosedjournals/iet-cds/AboualalaaMAAAE2010.1049/IET-CDS.2020.0013https://doi.org/10.1049/iet-cds.2020.0013https://dblp.org/rec/journals/iet-cds/AboualalaaMAAAE20URL#1573556Syed Afzal AhmadNaushad AlamSuppression of ambipolarity in tunnel-FETs using gate oxide as parameter: analysis and investigation.IET Circuits Devices Syst.143288-2932020Journal Articlesclosedjournals/iet-cds/AhmadA2010.1049/IET-CDS.2019.0053https://doi.org/10.1049/iet-cds.2019.0053https://dblp.org/rec/journals/iet-cds/AhmadA20URL#1573557Ahmet AktasDesign and implementation of adaptive battery charging method considering the battery temperature.IET Circuits Devices Syst.14172-792020Journal Articlesclosedjournals/iet-cds/Aktas2010.1049/IET-CDS.2019.0270https://doi.org/10.1049/iet-cds.2019.0270https://dblp.org/rec/journals/iet-cds/Aktas20URL#1573558Mohammed Al-QadasiAbdullah Alshehri 0003Abdullah AlturkiAbdullah S. AlmansouriKhaled N. SalamaHossein FariborziTalal Al-AttarRail-to-rail complementary input StrongARM comparator for low-power applications.IET Circuits Devices Syst.146898-9002020Journal Articlesclosedjournals/iet-cds/Al-QadasiAAASFA2010.1049/IET-CDS.2019.0361https://doi.org/10.1049/iet-cds.2019.0361https://dblp.org/rec/journals/iet-cds/Al-QadasiAAASFA20URL#1573559Johny Renoald AlbertAlbert Alexander StonierDesign and development of symmetrical super-lift DC-AC converter using firefly algorithm for solar-photovoltaic applications.IET Circuits Devices Syst.143261-2692020Journal Articlesclosedjournals/iet-cds/AlbertS2010.1049/IET-CDS.2018.5292https://doi.org/10.1049/iet-cds.2018.5292https://dblp.org/rec/journals/iet-cds/AlbertS20URL#1573560Milad AlmasiGholamreza KarimiMahnaz RanjbarMostafa Rahimi AzghadiNew analogue stop-learning control module using astrocyte for neuromorphic learning.IET Circuits Devices Syst.141100-1062020Journal Articlesclosedjournals/iet-cds/AlmasiKRA2010.1049/IET-CDS.2019.0297https://doi.org/10.1049/iet-cds.2019.0297https://dblp.org/rec/journals/iet-cds/AlmasiKRA20URL#1573561Hamed Aminzadehg m/I D functions of MOS devices" >Systematic circuit design and analysis using generalised g m/I D functions of MOS devices.IET Circuits Devices Syst.144432-4432020Journal Articlesclosedjournals/iet-cds/Aminzadeh2010.1049/IET-CDS.2019.0209https://doi.org/10.1049/iet-cds.2019.0209https://dblp.org/rec/journals/iet-cds/Aminzadeh20URL#1573562M. Arif Hussain AnsariChoi Look LawCircuit analysis and optimisation of the high-voltage high-efficiency IR-UWB pulse generator for ranging and radar application.IET Circuits Devices Syst.144562-5682020Journal Articlesclosedjournals/iet-cds/AnsariL2010.1049/IET-CDS.2019.0379https://doi.org/10.1049/iet-cds.2019.0379https://dblp.org/rec/journals/iet-cds/AnsariL20URL#1573563Ernest AntolakAndrzej PulkaFlexible hardware approach to multi-core time-predictable systems design based on the interleaved pipeline processing.IET Circuits Devices Syst.145648-6592020Journal Articlesclosedjournals/iet-cds/AntolakP2010.1049/IET-CDS.2019.0521https://doi.org/10.1049/iet-cds.2019.0521https://dblp.org/rec/journals/iet-cds/AntolakP20URL#1573564Kokila ArunachalamSenthil Kumar VairakannuGobi NallathambiComparison and optimisation of three-level neutral point clamped dual output inverter.IET Circuits Devices Syst.14148-592020Journal Articlesclosedjournals/iet-cds/ArunachalamVN2010.1049/IET-CDS.2018.5434https://doi.org/10.1049/iet-cds.2018.5434https://dblp.org/rec/journals/iet-cds/ArunachalamVN20URL#1573565Amin AvanMojtaba MaleknejadKeivan NaviHigh-speed energy efficient process, voltage and temperature tolerant hybrid multi-threshold 4: 2 compressor design in CNFET technology.IET Circuits Devices Syst.143357-3682020Journal Articlesclosedjournals/iet-cds/AvanMN2010.1049/IET-CDS.2019.0105https://doi.org/10.1049/iet-cds.2019.0105https://dblp.org/rec/journals/iet-cds/AvanMN20URL#1573566Juan Pablo Ochoa AvilésValceres Vieira Rocha e SilvaFernando Lessa TofoliHousehold induction cooking system based on a grid-connected photovoltaic system.IET Circuits Devices Syst.1481117-11282020Journal Articlesclosedjournals/iet-cds/AvilesST2010.1049/IET-CDS.2019.0305https://doi.org/10.1049/iet-cds.2019.0305https://dblp.org/rec/journals/iet-cds/AvilesST20URL#1573567Ahmed B. AyoubNihal Y. IbrahimSalah E. A. ElnahwySecond-order non-quasi-static, compact model of field-effect transistor revealing terminal rectification beyond their cutoff frequency.IET Circuits Devices Syst.145660-6662020Journal Articlesclosedjournals/iet-cds/AyoubIE2010.1049/IET-CDS.2019.0127https://doi.org/10.1049/iet-cds.2019.0127https://dblp.org/rec/journals/iet-cds/AyoubIE20URL#1573568Faisal BashirAsim M. MurshidSajad A. LoanMetal controlled nanoscaled dopingless MOSFET on selective/partial buried oxide.IET Circuits Devices Syst.1471058-10642020Journal Articlesclosedjournals/iet-cds/BashirML2010.1049/IET-CDS.2020.0273https://doi.org/10.1049/iet-cds.2020.0273https://dblp.org/rec/journals/iet-cds/BashirML20URL#1573569Kobra BehzadiMasoud BaghelaniBandwidth controlled weakly connected MEMS resonators based narrowband filter.IET Circuits Devices Syst.1481265-12712020Journal Articlesclosedjournals/iet-cds/BehzadiB2010.1049/IET-CDS.2020.0216https://doi.org/10.1049/iet-cds.2020.0216https://dblp.org/rec/journals/iet-cds/BehzadiB20URL#1573570Mohamed Ali BelaïdAhmed AlmusallamMohamed MasmoudiRF performance reliability of power N-LDMOS under pulsed-RF aging life test in radar application S-band.IET Circuits Devices Syst.146805-8102020Journal Articlesclosedjournals/iet-cds/BelaidAM2010.1049/IET-CDS.2019.0552https://doi.org/10.1049/iet-cds.2019.0552https://dblp.org/rec/journals/iet-cds/BelaidAM20URL#1573571Safa BerrimaYves BlaquièreYvon SavariaFine resolution delay tuning method to improve the linearity of an unbalanced time-to-digital converter on a Xilinx FPGA.IET Circuits Devices Syst.1481243-12522020Journal Articlesclosedjournals/iet-cds/BerrimaBS2010.1049/IET-CDS.2020.0026https://doi.org/10.1049/iet-cds.2020.0026https://dblp.org/rec/journals/iet-cds/BerrimaBS20URL#1573572Kapil BhardwajMayank SrivastavaFloating memristor and inverse memristor emulation configurations with electronic/resistance controllability.IET Circuits Devices Syst.1471065-10762020Journal Articlesclosedjournals/iet-cds/BhardwajS2010.1049/IET-CDS.2020.0106https://doi.org/10.1049/iet-cds.2020.0106https://dblp.org/rec/journals/iet-cds/BhardwajS20URL#1573573Hafiz Muhammad BilalZiren WangJinchun GaoJunaid Ahmed UqailiImpact of receptacle degradation and loose connection on signal integrity and electrical performance repeatability.IET Circuits Devices Syst.1471012-10172020Journal Articlesclosedjournals/iet-cds/BilalWGU2010.1049/IET-CDS.2020.0185https://doi.org/10.1049/iet-cds.2020.0185https://dblp.org/rec/journals/iet-cds/BilalWGU20URL#1573574Pavan Kumar Reddy BoppidiVictor Jeffry LouisArvind SubramaniamRajesh K. TripathySouri BanerjeeSouvik Kundu 0001Implementation of fast ICA using memristor crossbar arrays for blind image source separations.IET Circuits Devices Syst.144484-4892020Journal Articlesclosedjournals/iet-cds/BoppidiLSTBK2010.1049/IET-CDS.2019.0420https://doi.org/10.1049/iet-cds.2019.0420https://dblp.org/rec/journals/iet-cds/BoppidiLSTBK20URL#1573575Ria BoseJatindra Nath RoyEvanescent mode based compact modelling of a dual-metal double-gate tunnel field-effect transistor.IET Circuits Devices Syst.1471032-10372020Journal Articlesclosedjournals/iet-cds/BoseR2010.1049/IET-CDS.2019.0531https://doi.org/10.1049/iet-cds.2019.0531https://dblp.org/rec/journals/iet-cds/BoseR20URL#1573576Simon BuhrXin XuMartin KreißigChristian D. MatthusFrank EllingerLow power analogue equaliser with adaptive digital tuning for fast ethernet.IET Circuits Devices Syst.145600-6102020Journal Articlesopenjournals/iet-cds/BuhrXKME2010.1049/IET-CDS.2019.0370https://doi.org/10.1049/iet-cds.2019.0370https://dblp.org/rec/journals/iet-cds/BuhrXKME20URL#1573577Chung-Cheng ChenYen-Ting ChenNew method of finding exact frequency response for feedback amplifiers.IET Circuits Devices Syst.146819-8292020Journal Articlesclosedjournals/iet-cds/ChenC2010.1049/IET-CDS.2020.0055https://doi.org/10.1049/iet-cds.2020.0055https://dblp.org/rec/journals/iet-cds/ChenC20URL#1573578Shih-En ChenJhih-Syuan LinHsin-Fang LiKuang-Wei ChengReference-less wake-up receiver with noise suppression and injection-locked clock recovery.IET Circuits Devices Syst.142168-1752020Journal Articlesclosedjournals/iet-cds/ChenLLC2010.1049/IET-CDS.2019.0195https://doi.org/10.1049/iet-cds.2019.0195https://dblp.org/rec/journals/iet-cds/ChenLLC20URL#1573579Amel ChenoufBoualem DjezzarHamid BentarziAbdelmadjid BenabdelmoumeneSizing of the CMOS 6T-SRAM cell for NBTI ageing mitigation.IET Circuits Devices Syst.144555-5612020Journal Articlesclosedjournals/iet-cds/ChenoufDBB2010.1049/IET-CDS.2019.0307https://doi.org/10.1049/iet-cds.2019.0307https://dblp.org/rec/journals/iet-cds/ChenoufDBB20URL#1573580Behnam Babazadeh DaryanHassan KhalesiVahid GhodsFour-stage CMOS amplifier: frequency compensated using differential block.IET Circuits Devices Syst.146762-7692020Journal Articlesclosedjournals/iet-cds/DaryanKG2010.1049/IET-CDS.2019.0517https://doi.org/10.1049/iet-cds.2019.0517https://dblp.org/rec/journals/iet-cds/DaryanKG20URL#1573581Devarshi Mrinal DasKashyap BarotAbhishek Srivastava 0002Maryam Shojaei BaghiniNoise-power-area optimised design procedure for OTAs with complementary input transistors for neural amplifiers.IET Circuits Devices Syst.145702-7062020Journal Articlesclosedjournals/iet-cds/DasBSB2010.1049/IET-CDS.2019.0259https://doi.org/10.1049/iet-cds.2019.0259https://dblp.org/rec/journals/iet-cds/DasBSB20URL#1573582Kaushik DasDebanjali NathSambhu Nath PradhanFPGA and ASIC realisation of EMD algorithm for real-time signal processing.IET Circuits Devices Syst.146741-7492020Journal Articlesclosedjournals/iet-cds/DasNP2010.1049/IET-CDS.2019.0322https://doi.org/10.1049/iet-cds.2019.0322https://dblp.org/rec/journals/iet-cds/DasNP20URL#1573583Kaya DemirSalih ErgünCryptanalysis of a random number generator based on continuous-time chaos.IET Circuits Devices Syst.145569-5752020Journal Articlesclosedjournals/iet-cds/DemirE2010.1049/IET-CDS.2019.0356https://doi.org/10.1049/iet-cds.2019.0356https://dblp.org/rec/journals/iet-cds/DemirE20URL#1573584Feifei DengGuangjun XieXin Cheng 0001Zhang ZhangYongqiang Zhang 0006CFE: a convenient, flexible, and efficient clocking scheme for quantum-dot cellular automata.IET Circuits Devices Syst.14188-922020Journal Articlesclosedjournals/iet-cds/DengXCZZ2010.1049/IET-CDS.2019.0096https://doi.org/10.1049/iet-cds.2019.0096https://dblp.org/rec/journals/iet-cds/DengXCZZ20URL#1573585Abdollah Norouzi DoshanlouMajid HaghparastMehdi Hosseinzadeh 0001Midia ReshadiEfficient design of quaternary quantum comparator with only a single ancillary input.IET Circuits Devices Syst.14180-872020Journal Articlesclosedjournals/iet-cds/DoshanlouHHR2010.1049/IET-CDS.2019.0098https://doi.org/10.1049/iet-cds.2019.0098https://dblp.org/rec/journals/iet-cds/DoshanlouHHR20URL#1573586Prabhat Kumar DubeyBrajesh Kumar KaushikEvaluation of circuit performance of T-shaped tunnel FET.IET Circuits Devices Syst.145667-6732020Journal Articlesclosedjournals/iet-cds/DubeyK2010.1049/IET-CDS.2019.0456https://doi.org/10.1049/iet-cds.2019.0456https://dblp.org/rec/journals/iet-cds/DubeyK20URL#1573587Jothi DuraiSivakumar RajagopalGeetha GanesanDesign and Analysis of Power-Efficient Quasi-Adiabatic Ternary Content Addressable Memory (QATCAM).IET Circuits Devices Syst.147923-9282020Journal Articlesclosedjournals/iet-cds/DuraiRG2010.1049/IET-CDS.2019.0223https://doi.org/10.1049/iet-cds.2019.0223https://dblp.org/rec/journals/iet-cds/DuraiRG20URL#1573588Adeleh Ghasemi FarbodEhsan RahimiNon-adiabatic energy dissipation of quantum cellular automata logic devices.IET Circuits Devices Syst.145623-6282020Journal Articlesclosedjournals/iet-cds/FarbodR2010.1049/IET-CDS.2019.0301https://doi.org/10.1049/iet-cds.2019.0301https://dblp.org/rec/journals/iet-cds/FarbodR20URL#1573589Lichen FengZunchao LiJian ZhangFast automated on-chip artefact removal of EEG for seizure detection based on ICA-R algorithm and wavelet denoising.IET Circuits Devices Syst.144547-5542020Journal Articlesclosedjournals/iet-cds/FengLZ2010.1049/IET-CDS.2019.0491https://doi.org/10.1049/iet-cds.2019.0491https://dblp.org/rec/journals/iet-cds/FengLZ20URL#1573590Wameedh Nazar FlayyihKhairulmizam SamsudinShaiful J. HashimYehea IsmailFakhrul Zaman RokhaniMulti-bit error control coding with limited correction for high-performance and energy-efficient network on chip.IET Circuits Devices Syst.1417-162020Journal Articlesclosedjournals/iet-cds/FlayyihSHIR2010.1049/IET-CDS.2018.5282https://doi.org/10.1049/iet-cds.2018.5282https://dblp.org/rec/journals/iet-cds/FlayyihSHIR20URL#1573591Ganesh Kumar GanjikuntaSubhendu Kumar SahooPower-efficient compensation circuit for fixed-width multipliers.IET Circuits Devices Syst.144505-5092020Journal Articlesclosedjournals/iet-cds/GanjikuntaS2010.1049/IET-CDS.2019.0332https://doi.org/10.1049/iet-cds.2019.0332https://dblp.org/rec/journals/iet-cds/GanjikuntaS20URL#1573592Prasanna Kumar GodiBattula Tirumala KrishnaPushpa KotipalliDesign optimisation of multiplier-free parallel pipelined FFT on field programmable gate array.IET Circuits Devices Syst.147995-10002020Journal Articlesclosedjournals/iet-cds/GodiKK2010.1049/IET-CDS.2019.0512https://doi.org/10.1049/iet-cds.2019.0512https://dblp.org/rec/journals/iet-cds/GodiKK20URL#1573593Álvaro Gómez-PauEmili LuponLuz BaladoJoan FiguerasIndirect and adaptive test of analogue circuits based on preselected steady-state response measures.IET Circuits Devices Syst.145611-6182020Journal Articlesclosedjournals/iet-cds/Gomez-PauLBF2010.1049/IET-CDS.2019.0191https://doi.org/10.1049/iet-cds.2019.0191https://dblp.org/rec/journals/iet-cds/Gomez-PauLBF20URL#1573594Héctor GómezJulian ArenasElkim RoaLow-cost TRNG IPs.IET Circuits Devices Syst.147942-9462020Journal Articlesclosedjournals/iet-cds/GomezAR2010.1049/IET-CDS.2019.0535https://doi.org/10.1049/iet-cds.2019.0535https://dblp.org/rec/journals/iet-cds/GomezAR20URL#1573595Karan GumberMeenakshi RawatBroadband RF-predistortion supporting carrier aggregation.IET Circuits Devices Syst.1481135-11442020Journal Articlesclosedjournals/iet-cds/GumberR2010.1049/IET-CDS.2020.0003https://doi.org/10.1049/iet-cds.2020.0003https://dblp.org/rec/journals/iet-cds/GumberR20URL#1573596Mohsen HayatiFarzad DaryabariSepehr ZarghamiUltra-wideband complementary metal-oxide semiconductor low noise amplifier using CS-CG noise-cancellation and dual resonance network techniques.IET Circuits Devices Syst.142200-2082020Journal Articlesclosedjournals/iet-cds/HayatiDZ2010.1049/IET-CDS.2019.0078https://doi.org/10.1049/iet-cds.2019.0078https://dblp.org/rec/journals/iet-cds/HayatiDZ20URL#1573597Sakineh HeidariHamzeh AlaibakhshMohammad Azim Karami3D device-level simulation of charge separation from sidewall in vertical transfer gate pinned photodiode pixels for noise mitigation.IET Circuits Devices Syst.145619-6222020Journal Articlesclosedjournals/iet-cds/HeidariAK2010.1049/IET-CDS.2019.0501https://doi.org/10.1049/iet-cds.2019.0501https://dblp.org/rec/journals/iet-cds/HeidariAK20URL#1573598Seied Ali HosseiniSajjad EtezadiLow storage power and high noise margin ternary memory cells in nanoelectronics.IET Circuits Devices Syst.147929-9412020Journal Articlesclosedjournals/iet-cds/HosseiniE2010.1049/IET-CDS.2019.0432https://doi.org/10.1049/iet-cds.2019.0432https://dblp.org/rec/journals/iet-cds/HosseiniE20URL#1573599Shih-Chang HsiaYu-Cheng LinWing-Kwong WongRen-Chien HsuAsynchronous control and driver for high-speed LED display with local scanning approach.IET Circuits Devices Syst.1411-62020Journal Articlesclosedjournals/iet-cds/HsiaLWH2010.1049/IET-CDS.2019.0154https://doi.org/10.1049/iet-cds.2019.0154https://dblp.org/rec/journals/iet-cds/HsiaLWH20URL#1573600Khaled HumoodBaker MohammadHeba AbunahlaAnas AzzamOn-chip tunable Memristor-based flash-ADC converter for artificial intelligence applications.IET Circuits Devices Syst.141107-1142020Journal Articlesclosedjournals/iet-cds/HumoodMAA2010.1049/IET-CDS.2019.0293https://doi.org/10.1049/iet-cds.2019.0293https://dblp.org/rec/journals/iet-cds/HumoodMAA20URL#1573601Sarfraz HussainRajesh KumarGaurav TrivediMethodology and comparative design of an efficient 4-bit encoder with bubble error corrector for 1-GSPS flash type ADC.IET Circuits Devices Syst.145629-6392020Journal Articlesclosedjournals/iet-cds/HussainKT2010.1049/IET-CDS.2019.0499https://doi.org/10.1049/iet-cds.2019.0499https://dblp.org/rec/journals/iet-cds/HussainKT20URL#1573602Arya Lekshmi JagathThulasiraman Nandha KumarHaider Abbas F. AlmuribKochupurackal Balakrishna Pillai JineshAnalytical modelling of tantalum/titanium oxide-based multi-layer selector to eliminate sneak path current in RRAM arrays.IET Circuits Devices Syst.1471092-10982020Journal Articlesclosedjournals/iet-cds/JagathKAJ2010.1049/IET-CDS.2019.0480https://doi.org/10.1049/iet-cds.2019.0480https://dblp.org/rec/journals/iet-cds/JagathKAJ20URL#1573603Aditya JapaManoj Kumar MajumderSubhendu Kumar SahooRamesh VaddiLow area overhead DPA countermeasure exploiting tunnel transistor-based random number generator.IET Circuits Devices Syst.145640-6472020Journal Articlesclosedjournals/iet-cds/JapaMSV2010.1049/IET-CDS.2019.0504https://doi.org/10.1049/iet-cds.2019.0504https://dblp.org/rec/journals/iet-cds/JapaMSV20URL#1573604Yao-Lin JiangZhi-Yong QiuPing YangStructure preserving model reduction of second-order time-delay systems via approximate Gramians.IET Circuits Devices Syst.142130-1362020Journal Articlesclosedjournals/iet-cds/JiangQY2010.1049/IET-CDS.2019.0107https://doi.org/10.1049/iet-cds.2019.0107https://dblp.org/rec/journals/iet-cds/JiangQY20URL#1573605Shuowei JinJiaxin ChaiJingjiao LiAiyun Yan9 ps TDC based on multiple sampling in 0.18 μm complementary metal-oxide-semiconductor.IET Circuits Devices Syst.144459-4632020Journal Articlesclosedjournals/iet-cds/JinCLY2010.1049/IET-CDS.2019.0242https://doi.org/10.1049/iet-cds.2019.0242https://dblp.org/rec/journals/iet-cds/JinCLY20URL#1573606Dina A. JohnKarabi BiswasOptimisation of effective parameters of multiwalled carbon nanotube-based solid-state fractional capacitor for evaluation of fractional exponent.IET Circuits Devices Syst.142148-1542020Journal Articlesclosedjournals/iet-cds/JohnB2010.1049/IET-CDS.2019.0229https://doi.org/10.1049/iet-cds.2019.0229https://dblp.org/rec/journals/iet-cds/JohnB20URL#1573607Ashish JoshiHitesh ShrimaliSatinder K. SharmaReduced switching mode for SAR ADCs: analysis and design of SAR A-to-D algorithm with periodic standby mode circuit components.IET Circuits Devices Syst.145686-6942020Journal Articlesclosedjournals/iet-cds/JoshiSS2010.1049/IET-CDS.2019.0224https://doi.org/10.1049/iet-cds.2019.0224https://dblp.org/rec/journals/iet-cds/JoshiSS20URL#1573608Wadia JouhaAhmed El OualkadiPascal DherbécourtMohamed MasmoudiEric JoubertIn-depth analysis of the static behaviour of a SiC MOSFET and of its associated parameters using both compact modelling and physical simulation.IET Circuits Devices Syst.142222-2282020Journal Articlesclosedjournals/iet-cds/JouhaODMJ2010.1049/IET-CDS.2018.5509https://doi.org/10.1049/iet-cds.2018.5509https://dblp.org/rec/journals/iet-cds/JouhaODMJ20URL#1573609Jaehun JunSangsu LeeChulwoo KimNear threshold voltage digital PLL using low voltage optimised blocks for AR display system.IET Circuits Devices Syst.142155-1582020Journal Articlesclosedjournals/iet-cds/JunLK2010.1049/IET-CDS.2018.5468https://doi.org/10.1049/iet-cds.2018.5468https://dblp.org/rec/journals/iet-cds/JunLK20URL#1573610Siddharth Rajkumar KalaSushma ChandakaNithin Kumar Yernad BalachandraVasantha Moodabettu HarishchandraEdoardo Bonizzoni6.25 GHz, 1 mV input resolution auxiliary circuit assisted comparator in 65 nm CMOS process.IET Circuits Devices Syst.143340-3462020Journal Articlesclosedjournals/iet-cds/KalaCBHB2010.1049/IET-CDS.2019.0421https://doi.org/10.1049/iet-cds.2019.0421https://dblp.org/rec/journals/iet-cds/KalaCBHB20URL#1573611Supriya KarmakarThree-state dynamic random-access memory (DRAM).IET Circuits Devices Syst.142176-1812020Journal Articlesclosedjournals/iet-cds/Karmakar2010.1049/IET-CDS.2019.0117https://doi.org/10.1049/iet-cds.2019.0117https://dblp.org/rec/journals/iet-cds/Karmakar20URL#1573612Supriya KarmakarSimulator of semiconductor devices for multivalued logic.IET Circuits Devices Syst.144528-5362020Journal Articlesclosedjournals/iet-cds/Karmakar20a10.1049/IET-CDS.2019.0415https://doi.org/10.1049/iet-cds.2019.0415https://dblp.org/rec/journals/iet-cds/Karmakar20aURL#1573613Arijit KarmakarDevarshi Mrinal DasMaryam Shojaei BaghiniAdaptive analogue calibration technique to compensate electrode motion artefacts in biopotential recording.IET Circuits Devices Syst.143327-3322020Journal Articlesclosedjournals/iet-cds/KarmakarDB2010.1049/IET-CDS.2019.0409https://doi.org/10.1049/iet-cds.2019.0409https://dblp.org/rec/journals/iet-cds/KarmakarDB20URL#1573614Jasleen KaurHarminder SinghAnupinder SinghFabrication and investigation of zinc oxide nanoflowers-based piezoelectric nanogenerator.IET Circuits Devices Syst.144477-4832020Journal Articlesclosedjournals/iet-cds/KaurSS2010.1049/IET-CDS.2019.0138https://doi.org/10.1049/iet-cds.2019.0138https://dblp.org/rec/journals/iet-cds/KaurSS20URL#1573615Hadhiq KhanMohammad Abid BazazShahkar Ahmad NahviAdaptive multi-resolution framework for fast simulation of power electronic circuits.IET Circuits Devices Syst.144537-5462020Journal Articlesclosedjournals/iet-cds/KhanBN2010.1049/IET-CDS.2019.0320https://doi.org/10.1049/iet-cds.2019.0320https://dblp.org/rec/journals/iet-cds/KhanBN20URL#1573616Awais Khan 0003Wei XieLangwen ZhangLong-Wen LiuDesign and applications of interval observers for uncertain dynamical systems.IET Circuits Devices Syst.146721-7402020Journal Articlesclosedjournals/iet-cds/KhanXZL2010.1049/IET-CDS.2020.0004https://doi.org/10.1049/iet-cds.2020.0004https://dblp.org/rec/journals/iet-cds/KhanXZL20URL#1573617Sunder S. KidambiImproved design of noise transfer functions with monotonic passband response for Delta-Sigma modulators.IET Circuits Devices Syst.142216-2212020Journal Articlesclosedjournals/iet-cds/Kidambi2010.1049/IET-CDS.2019.0347https://doi.org/10.1049/iet-cds.2019.0347https://dblp.org/rec/journals/iet-cds/Kidambi20URL#1573618Aravinda KoithyarTelugu Kuppushetty RameshInteger-N charge pump phase locked loop for 2.4 GHz application with a novel design of phase frequency detector.IET Circuits Devices Syst.14160-652020Journal Articlesclosedjournals/iet-cds/KoithyarR2010.1049/IET-CDS.2019.0189https://doi.org/10.1049/iet-cds.2019.0189https://dblp.org/rec/journals/iet-cds/KoithyarR20URL#1573619Siva KotamrajuPavan VudumulaImproved reverse recovery characteristics obtained in 4H-SiC double-trench superjunction MOSFET with an integrated p-type Schottky diode.IET Circuits Devices Syst.1481283-12882020Journal Articlesclosedjournals/iet-cds/KotamrajuV2010.1049/IET-CDS.2020.0315https://doi.org/10.1049/iet-cds.2020.0315https://dblp.org/rec/journals/iet-cds/KotamrajuV20URL#1573620Dhirendra KumarRahul AnandSajai Vir SinghPrasanna Kumar MisraAshok SrivastavaManish Goswami0.4 mW, 0.27 pJ/bit true random number generator using jitter, metastability and current starved topology.IET Circuits Devices Syst.1471001-10112020Journal Articlesclosedjournals/iet-cds/KumarASMSG2010.1049/IET-CDS.2019.0318https://doi.org/10.1049/iet-cds.2019.0318https://dblp.org/rec/journals/iet-cds/KumarASMSG20URL#1573621Amresh KumarAmit Krishna DwivediAminul Islam 0002Variation resilient reliable design of trigger pulse generator.IET Circuits Devices Syst.146860-8682020Journal Articlesclosedjournals/iet-cds/KumarDI2010.1049/IET-CDS.2019.0362https://doi.org/10.1049/iet-cds.2019.0362https://dblp.org/rec/journals/iet-cds/KumarDI20URL#1573622Rajesh KumarSantanu DwariBinod Kumar KanaujiaSandeep Kumar 0003Hanjung SongPerformance of cascode Class-EF-1 PA with built-in techniques for UWB radar toward monitoring of patient actions.IET Circuits Devices Syst.142235-2422020Journal Articlesclosedjournals/iet-cds/KumarDKKS2010.1049/IET-CDS.2019.0241https://doi.org/10.1049/iet-cds.2019.0241https://dblp.org/rec/journals/iet-cds/KumarDKKS20URL#1573623Amit KumarManisha PattanaikPankaj SrivastavaKamal Kishor JhaReduction of Drain Induced Barrier Lowering in DM-HD-NA GAAFET for RF Applications.IET Circuits Devices Syst.143270-2752020Journal Articlesclosedjournals/iet-cds/KumarPSJ2010.1049/IET-CDS.2019.0306https://doi.org/10.1049/iet-cds.2019.0306https://dblp.org/rec/journals/iet-cds/KumarPSJ20URL#1573624Bhawana KumariManodipan SahooPerformance and signal integrity analysis of intercalation-doped MLVGNR interconnects.IET Circuits Devices Syst.142192-1992020Journal Articlesclosedjournals/iet-cds/KumariS2010.1049/IET-CDS.2019.0072https://doi.org/10.1049/iet-cds.2019.0072https://dblp.org/rec/journals/iet-cds/KumariS20URL#1573625Vijay Rao KumbharePunya Prasanna PaltaniManoj Kumar MajumderPerformance analysis of mixed CNT bundle interconnects at 10 nm technology.IET Circuits Devices Syst.1471049-10572020Journal Articlesclosedjournals/iet-cds/KumbharePM2010.1049/IET-CDS.2019.0516https://doi.org/10.1049/iet-cds.2019.0516https://dblp.org/rec/journals/iet-cds/KumbharePM20URL#1573626Jooseok LeeMaengkyu KimJaehong ParkJongwon Lee225 GHz triple-push RTD oscillator with 0.5 mW dc-power consumption.IET Circuits Devices Syst.142209-2152020Journal Articlesclosedjournals/iet-cds/LeeKPL2010.1049/IET-CDS.2019.0228https://doi.org/10.1049/iet-cds.2019.0228https://dblp.org/rec/journals/iet-cds/LeeKPL20URL#1573627Jongwon LeeJooseok LeeNoise analysis of reflection-type microwave RTD amplifier.IET Circuits Devices Syst.147966-9712020Journal Articlesclosedjournals/iet-cds/LeeL2010.1049/IET-CDS.2020.0078https://doi.org/10.1049/iet-cds.2020.0078https://dblp.org/rec/journals/iet-cds/LeeL20URL#1573628Antoine LemaireArnaud PeronaMatthieu CaussanelHerve DuvalAlain DolletOpen-circuit voltage decay: moving to a flexible method of characterisation.IET Circuits Devices Syst.147947-9552020Journal Articlesclosedjournals/iet-cds/LemairePCDD2010.1049/IET-CDS.2020.0123https://doi.org/10.1049/iet-cds.2020.0123https://dblp.org/rec/journals/iet-cds/LemairePCDD20URL#1573629Ao LiQingshan JiangKai XieMenglei WangLong LiWei LuoLow latency LDPC hard-decision algorithm for 5G NR.IET Circuits Devices Syst.142229-2342020Journal Articlesclosedjournals/iet-cds/LiJXWLL2010.1049/IET-CDS.2019.0160https://doi.org/10.1049/iet-cds.2019.0160https://dblp.org/rec/journals/iet-cds/LiJXWLL20URL#1573630Yue LiFei Yuan 0005All-digital power-efficient integrating frequency difference-to-digital converter for GHz frequency-locking.IET Circuits Devices Syst.1481153-11592020Journal Articlesclosedjournals/iet-cds/LiY2010.1049/IET-CDS.2020.0039https://doi.org/10.1049/iet-cds.2020.0039https://dblp.org/rec/journals/iet-cds/LiY20URL#1573631Ahmed LiachaAbdelkrim Kamel OudjidaMohammed BakiriJosé Monteiro 0001Paulo F. FloresRadix-2 r recoding with common subexpression elimination for multiple constant multiplication.IET Circuits Devices Syst.147990-9942020Journal Articlesclosedjournals/iet-cds/LiachaOBMF2010.1049/IET-CDS.2020.0213https://doi.org/10.1049/iet-cds.2020.0213https://dblp.org/rec/journals/iet-cds/LiachaOBMF20URL#1573632Hsiung-Cheng LinBo-Ren YuJen-Yu WangJun-Ze LaiJia-yang WuCheng-Yu PengChi-Chun ChenRealisation of three-dimensional geometric model in case of bike frame measurement.IET Circuits Devices Syst.145713-7192020Journal Articlesclosedjournals/iet-cds/LinYWLWPC2010.1049/IET-CDS.2019.0274https://doi.org/10.1049/iet-cds.2019.0274https://dblp.org/rec/journals/iet-cds/LinYWLWPC20URL#1573633Li LuoZhekang DongShukai DuanChun Sing LaiMemristor-based stateful logic gates for multi-functional logic circuit.IET Circuits Devices Syst.146811-8182020Journal Articlesclosedjournals/iet-cds/LuoDDL2010.1049/IET-CDS.2019.0422https://doi.org/10.1049/iet-cds.2019.0422https://dblp.org/rec/journals/iet-cds/LuoDDL20URL#1573634Xiaoyu MaYan HanLeixiao HanZhi Ye19.5 μW ultra-low-power 13.56 MHz RFID tag based on transparent zinc-oxide thin-film transistors.IET Circuits Devices Syst.145674-6792020Journal Articlesclosedjournals/iet-cds/MaHHY2010.1049/IET-CDS.2019.0406https://doi.org/10.1049/iet-cds.2019.0406https://dblp.org/rec/journals/iet-cds/MaHHY20URL#1573635Badugu Divya MadhuriSubramani SunithamaniDesign of ternary logic gates and circuits using GNRFETs.IET Circuits Devices Syst.147972-9792020Journal Articlesclosedjournals/iet-cds/MadhuriS2010.1049/IET-CDS.2019.0427https://doi.org/10.1049/iet-cds.2019.0427https://dblp.org/rec/journals/iet-cds/MadhuriS20URL#1573636Sudhanshu MaheshwariGeneralised approach for active-RC quadrature oscillator circuit with grounded capacitors.IET Circuits Devices Syst.146758-7612020Journal Articlesclosedjournals/iet-cds/Maheshwari2010.1049/IET-CDS.2019.0176https://doi.org/10.1049/iet-cds.2019.0176https://dblp.org/rec/journals/iet-cds/Maheshwari20URL#1573637Andrzej MalcherAdam KristofAndrzej PulkaDigitally programmable modified current differencing transconductance amplifier in 40-nm technology: design flow, parameter analyses and applications.IET Circuits Devices Syst.1481272-12822020Journal Articlesclosedjournals/iet-cds/MalcherKP2010.1049/IET-CDS.2019.0494https://doi.org/10.1049/iet-cds.2019.0494https://dblp.org/rec/journals/iet-cds/MalcherKP20URL#1573638Gul Faroz Ahmad MalikMubashir Ahmad KharadiNusrat ParveenFarooq Ahmad KhandayModelling for triple gate spin-FET and design of triple gate spin-FET-based binary adder.IET Circuits Devices Syst.144464-4702020Journal Articlesclosedjournals/iet-cds/MalikKPK2010.1049/IET-CDS.2019.0329https://doi.org/10.1049/iet-cds.2019.0329https://dblp.org/rec/journals/iet-cds/MalikKPK20URL#1573639Kamakshi ManjariSomanath MajhiKasi V. RamanaPre-computed GPC of a DC-DC buck converter.IET Circuits Devices Syst.14141-472020Journal Articlesclosedjournals/iet-cds/ManjariMR2010.1049/IET-CDS.2019.0253https://doi.org/10.1049/iet-cds.2019.0253https://dblp.org/rec/journals/iet-cds/ManjariMR20URL#1573640Shirvani MehdiMehdi AmoonNew hardware redundancy approach for making modules tolerate faults using a new fault detecting voter unit structure.IET Circuits Devices Syst.147980-9892020Journal Articlesclosedjournals/iet-cds/MehdiM2010.1049/IET-CDS.2019.0563https://doi.org/10.1049/iet-cds.2019.0563https://dblp.org/rec/journals/iet-cds/MehdiM20URL#1573641Trusna MeherSomanath MajhiRelay-based identification of Wiener model.IET Circuits Devices Syst.143398-4062020Journal Articlesclosedjournals/iet-cds/MeherM2010.1049/IET-CDS.2019.0436https://doi.org/10.1049/iet-cds.2019.0436https://dblp.org/rec/journals/iet-cds/MeherM20URL#1573642Naorem Yaipharenba MeiteiKrishna Lal BaishnabGaurav Trivedi3D-IC partitioning method based on genetic algorithm.IET Circuits Devices Syst.1471104-11092020Journal Articlesclosedjournals/iet-cds/MeiteiBT2010.1049/IET-CDS.2020.0128https://doi.org/10.1049/iet-cds.2020.0128https://dblp.org/rec/journals/iet-cds/MeiteiBT20URL#1573643Saba Feroz MemonMohsin MemonSania BhattiWearable technology for infant health monitoring: a survey.IET Circuits Devices Syst.142115-1292020Journal Articlesclosedjournals/iet-cds/MemonMB2010.1049/IET-CDS.2018.5447https://doi.org/10.1049/iet-cds.2018.5447https://dblp.org/rec/journals/iet-cds/MemonMB20URL#1573644Juan Sebastian MoyaLuisa Fernanda DovaleHéctor GómezElkim RoaCompact on-the-fly-enabled termination with high-current density and ESD compliance.IET Circuits Devices Syst.146788-7952020Journal Articlesclosedjournals/iet-cds/MoyaDGR2010.1049/IET-CDS.2020.0017https://doi.org/10.1049/iet-cds.2020.0017https://dblp.org/rec/journals/iet-cds/MoyaDGR20URL#1573645Abhilash Karnatakam NagabhushanaHaibo Wang 0005Accelerating low-voltage SAR ADC operation via comparator timing assisted and circuit adaptive tuning techniques.IET Circuits Devices Syst.143294-3022020Journal Articlesclosedjournals/iet-cds/NagabhushanaW2010.1049/IET-CDS.2019.0374https://doi.org/10.1049/iet-cds.2019.0374https://dblp.org/rec/journals/iet-cds/NagabhushanaW20URL#1573646Shaheryar NajamJameel AhmedRun-time neuro-fuzzy type-2 controller for power optimisation of GP-GPU architecture.IET Circuits Devices Syst.1481253-12572020Journal Articlesclosedjournals/iet-cds/NajamA2010.1049/IET-CDS.2020.0233https://doi.org/10.1049/iet-cds.2020.0233https://dblp.org/rec/journals/iet-cds/NajamA20URL#1573647Vijeyakumar Krishnasamy NatarajanPeter Thiagarajan Nelson Kingsley JoelShree Harpreet Singh JatanaNatarajan SaravanakumarKalaiselvi SundaramArea Efficient Parallel Median Filter Using Approximate Comparator and Faithful Adder.IET Circuits Devices Syst.1481318-13312020Journal Articlesclosedjournals/iet-cds/NatarajanJJSS2010.1049/IET-CDS.2020.0059https://doi.org/10.1049/iet-cds.2020.0059https://dblp.org/rec/journals/iet-cds/NatarajanJJSS20URL#1573648Tingyuan NieJiuxu GaoLijian ZhouConstraint propagation in physical design of circuits.IET Circuits Devices Syst.14166-712020Journal Articlesclosedjournals/iet-cds/NieGZ2010.1049/IET-CDS.2019.0342https://doi.org/10.1049/iet-cds.2019.0342https://dblp.org/rec/journals/iet-cds/NieGZ20URL#1573649Kaushal NigamSatyendra KumarKm Sucheta SinghEshaan BhardwajShubham ChoubeySavitesh ChaturvediTemperature sensitivity analysis of SGO metal strip JL TFET.IET Circuits Devices Syst.144444-4492020Journal Articlesclosedjournals/iet-cds/NigamKSBCC2010.1049/IET-CDS.2019.0412https://doi.org/10.1049/iet-cds.2019.0412https://dblp.org/rec/journals/iet-cds/NigamKSBCC20URL#1573650Huansheng NingFadi FarhaAta UllahLingfeng Mao 0001Physical unclonable function: architectures, applications and challenges for dependable security.IET Circuits Devices Syst.144407-4242020Journal Articlesclosedjournals/iet-cds/NingFUM2010.1049/IET-CDS.2019.0175https://doi.org/10.1049/iet-cds.2019.0175https://dblp.org/rec/journals/iet-cds/NingFUM20URL#1573651Ahmad Obeid 0001Usman TariqShayok MukhopadhyaySupervised learning for early and accurate battery terminal voltage collapse detection.IET Circuits Devices Syst.143347-3562020Journal Articlesclosedjournals/iet-cds/ObeidTM2010.1049/IET-CDS.2019.0092https://doi.org/10.1049/iet-cds.2019.0092https://dblp.org/rec/journals/iet-cds/ObeidTM20URL#1573652Taeho OhDilruba ParvinOmiya HassanSamira ShamsirSyed Kamrul IslamMPPT integrated DC-DC boost converter for RF energy harvester.IET Circuits Devices Syst.1471086-10912020Journal Articlesclosedjournals/iet-cds/OhPHSI2010.1049/IET-CDS.2019.0509https://doi.org/10.1049/iet-cds.2019.0509https://dblp.org/rec/journals/iet-cds/OhPHSI20URL#1573653Soumitra Pal 0002Subhankar BoseWing-Hung KiAminul Islam 0002Reliable write assist low power SRAM cell for wireless sensor network applications.IET Circuits Devices Syst.142137-1472020Journal Articlesclosedjournals/iet-cds/PalBKI2010.1049/IET-CDS.2019.0050https://doi.org/10.1049/iet-cds.2019.0050https://dblp.org/rec/journals/iet-cds/PalBKI20URL#1573654Deepak Kumar PandaRajan SinghTrupti Ranjan LenkaThi Tan PhamRavi Teja VelpulaBarsha JainHa Quoc Thang BuiHieu Pham Trung NguyenSingle and double-gate based AlGaN/GaN MOS-HEMTs for the design of low-noise amplifiers: a comparative study.IET Circuits Devices Syst.1471018-10252020Journal Articlesclosedjournals/iet-cds/PandaSLPVJBN2010.1049/IET-CDS.2020.0015https://doi.org/10.1049/iet-cds.2020.0015https://dblp.org/rec/journals/iet-cds/PandaSLPVJBN20URL#1573655Manikandan PappiahBindu BobyCapacitor-less FVF low drop-out regulator with active feed-forward compensation and efficient slew-rate enhancer circuit.IET Circuits Devices Syst.146853-8592020Journal Articlesclosedjournals/iet-cds/PappiahB2010.1049/IET-CDS.2019.0495https://doi.org/10.1049/iet-cds.2019.0495https://dblp.org/rec/journals/iet-cds/PappiahB20URL#1573656Rashmit PatelYash AgrawalRutu ParekhDesign of prominent SET-based high performance computing system.IET Circuits Devices Syst.142159-1672020Journal Articlesclosedjournals/iet-cds/PatelAP2010.1049/IET-CDS.2019.0166https://doi.org/10.1049/iet-cds.2019.0166https://dblp.org/rec/journals/iet-cds/PatelAP20URL#1573657Sujit Kumar PatelSubodh Kumar SinghalArea-delay and energy efficient multi-operand binary tree adder.IET Circuits Devices Syst.145586-5932020Journal Articlesclosedjournals/iet-cds/PatelS2010.1049/IET-CDS.2019.0443https://doi.org/10.1049/iet-cds.2019.0443https://dblp.org/rec/journals/iet-cds/PatelS20URL#1573658Stefania PerriFanny SpagnoloFabio FrustaciPasquale CorsonelloParallel architecture of power-of-two multipliers for FPGAs.IET Circuits Devices Syst.143381-3892020Journal Articlesclosedjournals/iet-cds/PerriSFC2010.1049/IET-CDS.2019.0246https://doi.org/10.1049/iet-cds.2019.0246https://dblp.org/rec/journals/iet-cds/PerriSFC20URL#1573659Predrag Bosko PetrovicNew current-mode RMS-to-DC converters and four-quadrant multiplier/divider based on VDTA.IET Circuits Devices Syst.144490-4972020Journal Articlesclosedjournals/iet-cds/Petrovic2010.1049/IET-CDS.2019.0373https://doi.org/10.1049/iet-cds.2019.0373https://dblp.org/rec/journals/iet-cds/Petrovic20URL#1573660Duc-An PhamBo-Cheng LaiDataflow and microarchitecture co-optimisation for sparse CNN on distributed processing element accelerator.IET Circuits Devices Syst.1481185-11942020Journal Articlesclosedjournals/iet-cds/PhamL2010.1049/IET-CDS.2019.0225https://doi.org/10.1049/iet-cds.2019.0225https://dblp.org/rec/journals/iet-cds/PhamL20URL#1573661Filippos PirpilidisLampros PyrgasParis Kitsos8-bit serialised architecture of SEED block cipher for constrained devices.IET Circuits Devices Syst.143316-3212020Journal Articlesclosedjournals/iet-cds/PirpilidisPK2010.1049/IET-CDS.2018.5354https://doi.org/10.1049/iet-cds.2018.5354https://dblp.org/rec/journals/iet-cds/PirpilidisPK20URL#1573662Reena Monica PonnayanSreedevi Vellithiruthi ThazhathuOne instruction set computer with optimised polarity-tunable model of double gate CNTFETs.IET Circuits Devices Syst.146770-7792020Journal Articlesclosedjournals/iet-cds/PonnayanT2010.1049/IET-CDS.2019.0143https://doi.org/10.1049/iet-cds.2019.0143https://dblp.org/rec/journals/iet-cds/PonnayanT20URL#1573663Jelena B. RadicMiodrag BrkicAlena M. DjugovaMirjana S. Videnovic-MisicBernhard GollHorst ZimmermannUltra-low power low-complexity 3-7.5 GHz IR-UWB transmitter with spectrum tunability.IET Circuits Devices Syst.144521-5272020Journal Articlesclosedjournals/iet-cds/RadicBDVGZ2010.1049/IET-CDS.2019.0392https://doi.org/10.1049/iet-cds.2019.0392https://dblp.org/rec/journals/iet-cds/RadicBDVGZ20URL#1573664P. Michael Preetam RajVictor Jeffry LouisAditya ViswakumarSouvik Kundu 0001Simple design of memristive counters and their applications in automatic irrigation system.IET Circuits Devices Syst.14135-402020Journal Articlesclosedjournals/iet-cds/RajLVK2010.1049/IET-CDS.2019.0218https://doi.org/10.1049/iet-cds.2019.0218https://dblp.org/rec/journals/iet-cds/RajLVK20URL#1573665Bahram RashidiFlexible structures of lightweight block ciphers PRESENT, SIMON and LED.IET Circuits Devices Syst.143369-3802020Journal Articlesclosedjournals/iet-cds/Rashidi2010.1049/IET-CDS.2019.0363https://doi.org/10.1049/iet-cds.2019.0363https://dblp.org/rec/journals/iet-cds/Rashidi20URL#1573666Ramesh RathinamAdhithan PonSanthia CarmelArkaprava BhattacharyyaAnalysis of black phosphorus double gate MOSFET using hybrid method for analogue/RF application.IET Circuits Devices Syst.1481167-11722020Journal Articlesclosedjournals/iet-cds/RathinamPCB2010.1049/IET-CDS.2020.0092https://doi.org/10.1049/iet-cds.2020.0092https://dblp.org/rec/journals/iet-cds/RathinamPCB20URL#1573667Mohd Adil RaushanNaushad AlamMohd Jawaid SiddiquiDesign approach to improve the performance of JAMFETs.IET Circuits Devices Syst.143333-3392020Journal Articlesclosedjournals/iet-cds/RaushanAS2010.1049/IET-CDS.2019.0208https://doi.org/10.1049/iet-cds.2019.0208https://dblp.org/rec/journals/iet-cds/RaushanAS20URL#1573668Bagher RazaviMohammad Bagher TavakoliFarbod SetoudehApproach for low power high speed 4-bit flash analogue to digital converter.IET Circuits Devices Syst.144425-4312020Journal Articlesclosedjournals/iet-cds/RazaviTS2010.1049/IET-CDS.2018.5504https://doi.org/10.1049/iet-cds.2018.5504https://dblp.org/rec/journals/iet-cds/RazaviTS20URL#1573669Koduru RevanthJanakiraman ViraraghavanStatistical compact model extraction for skew-normal distributions.IET Circuits Devices Syst.145576-5852020Journal Articlesclosedjournals/iet-cds/RevanthV2010.1049/IET-CDS.2019.0366https://doi.org/10.1049/iet-cds.2019.0366https://dblp.org/rec/journals/iet-cds/RevanthV20URL#1573670Sakib RezaApratim Roy3-5 GHz multifinger CMOS LNA using a simultaneous noise and impedance matching technique by a significant reduction of broadband impedance variation of metal-oxide-semiconductor field effect transistor.IET Circuits Devices Syst.147956-9652020Journal Articlesclosedjournals/iet-cds/RezaR2010.1049/IET-CDS.2019.0519https://doi.org/10.1049/iet-cds.2019.0519https://dblp.org/rec/journals/iet-cds/RezaR20URL#1573671Subhabrata RoyAbhijit ChandraDesign of narrow transition band variable bandwidth digital filter.IET Circuits Devices Syst.146750-7572020Journal Articlesclosedjournals/iet-cds/RoyC2010.1049/IET-CDS.2019.0483https://doi.org/10.1049/iet-cds.2019.0483https://dblp.org/rec/journals/iet-cds/RoyC20URL#1573672Gunjan Mittal RoyBinod Kumar KanaujiaSantanu DwariSandeep Kumar 0003Hanjung SongPerformance of ultra-wide band DCBLNA with suspended strip line radiator for human breast cancer diagnosis medical imaging application.IET Circuits Devices Syst.1481228-12342020Journal Articlesclosedjournals/iet-cds/RoyKDKS2010.1049/IET-CDS.2019.0207https://doi.org/10.1049/iet-cds.2019.0207https://dblp.org/rec/journals/iet-cds/RoyKDKS20URL#1573673Seyyedeh Shirin SaberhosseiniBahram Azizollah-GanjiJavad KoohsorkhiAyaz GhorbaniDesign and simulation of a variable MEMS capacitor for tunable HMSIW resonator.IET Circuits Devices Syst.145707-7122020Journal Articlesclosedjournals/iet-cds/SaberhosseiniAK2010.1049/IET-CDS.2019.0511https://doi.org/10.1049/iet-cds.2019.0511https://dblp.org/rec/journals/iet-cds/SaberhosseiniAK20URL#1573674Ibrahim Ethem SaçuMustafa AlçiDesign and realisation of a fractional-order sinusoidal oscillator.IET Circuits Devices Syst.1481173-11842020Journal Articlesclosedjournals/iet-cds/SacuA2010.1049/IET-CDS.2019.0534https://doi.org/10.1049/iet-cds.2019.0534https://dblp.org/rec/journals/iet-cds/SacuA20URL#1573675Girija Shankar SahooGuru Prasad MishraDesign and modelling of InGaP/GaSb tandem cell with embedded 1D GaAs quantum superlattice.IET Circuits Devices Syst.144471-4762020Journal Articlesclosedjournals/iet-cds/SahooM2010.1049/IET-CDS.2019.0299https://doi.org/10.1049/iet-cds.2019.0299https://dblp.org/rec/journals/iet-cds/SahooM20URL#1573676Satya Ranjan SahuBandan Kumar BhoiManoranjan PradhanFast signed multiplier using Vedic Nikhilam algorithm.IET Circuits Devices Syst.1481160-11662020Journal Articlesclosedjournals/iet-cds/SahuBP2010.1049/IET-CDS.2019.0537https://doi.org/10.1049/iet-cds.2019.0537https://dblp.org/rec/journals/iet-cds/SahuBP20URL#1573677Anil Kumar SahuVivek Kumar ChandraGanesh Ram SinhaNeeraj Kumar MisraEfficient CTDSM based on GM-C quantiser and improved dynamic element matching.IET Circuits Devices Syst.145680-6852020Journal Articlesclosedjournals/iet-cds/SahuCSM2010.1049/IET-CDS.2019.0404https://doi.org/10.1049/iet-cds.2019.0404https://dblp.org/rec/journals/iet-cds/SahuCSM20URL#1573678Samira SayedsalehiZeinab Azadi MotlaghCharacterisation of a perpendicular nanomagnetic cell and design of reversible XOR gates based on perpendicular nanomagnetic cells.IET Circuits Devices Syst.14117-242020Journal Articlesclosedjournals/iet-cds/SayedsalehiM2010.1049/IET-CDS.2019.0085https://doi.org/10.1049/iet-cds.2019.0085https://dblp.org/rec/journals/iet-cds/SayedsalehiM20URL#1573679Ashima SharmaPydi Ganga BahubalindruniManisha BhartiPedro BarquinhaHigh gain operational amplifier and a comparator with a-IGZO TFTs.IET Circuits Devices Syst.1481214-12192020Journal Articlesclosedjournals/iet-cds/SharmaBBB2010.1049/IET-CDS.2020.0286https://doi.org/10.1049/iet-cds.2020.0286https://dblp.org/rec/journals/iet-cds/SharmaBBB20URL#1573680Vivek Sharma 0002Nithin Kumar Y. B.Vasantha M. H.IET Circuits, Devices & Systems.IET Circuits Devices Syst.146881-8912020Journal Articlesclosedjournals/iet-cds/SharmaBH2010.1049/IET-CDS.2019.0414https://doi.org/10.1049/iet-cds.2019.0414https://dblp.org/rec/journals/iet-cds/SharmaBH20URL#1573681Suruchi SharmaBaljit KaurPerformance investigation of asymmetric double-gate doping less tunnel FET with Si/Ge heterojunction.IET Circuits Devices Syst.145695-7012020Journal Articlesclosedjournals/iet-cds/SharmaK2010.1049/IET-CDS.2019.0290https://doi.org/10.1049/iet-cds.2019.0290https://dblp.org/rec/journals/iet-cds/SharmaK20URL#1573682Trapti SharmaLaxmi KumreDesign of energy-efficient ternary circuits using differential cascode voltage switch strategies in carbon nanotube field effect transistor technology.IET Circuits Devices Syst.1471077-10852020Journal Articlesclosedjournals/iet-cds/SharmaK20a10.1049/IET-CDS.2019.0375https://doi.org/10.1049/iet-cds.2019.0375https://dblp.org/rec/journals/iet-cds/SharmaK20aURL#1573683Waleed Hussain SiddiquiGoang Seong Choi12 bit 3.072 GS/s 32-way time-interleaved pipelined ADC with digital background calibration for wideband fully digital receiver application in 65 nm complementary metal-oxide-semiconductor.IET Circuits Devices Syst.142182-1912020Journal Articlesclosedjournals/iet-cds/SiddiquiC2010.1049/IET-CDS.2019.0069https://doi.org/10.1049/iet-cds.2019.0069https://dblp.org/rec/journals/iet-cds/SiddiquiC20URL#1573684Avtar SinghSaurabh ChaudhuryManash ChandaChandan Kumar SarkarSplit gated silicon nanotube FET for bio-sensing applications.IET Circuits Devices Syst.1481289-12942020Journal Articlesclosedjournals/iet-cds/SinghCCS2010.1049/IET-CDS.2020.0208https://doi.org/10.1049/iet-cds.2020.0208https://dblp.org/rec/journals/iet-cds/SinghCCS20URL#1573685Aryamick SinghManish GoswamiKavindra KandpalDesign of a voltage-programmed V TH compensating pixel circuit for AMOLED displays using diode-connected a-IGZO TFT.IET Circuits Devices Syst.146876-8802020Journal Articlesclosedjournals/iet-cds/SinghGK2010.1049/IET-CDS.2020.0070https://doi.org/10.1049/iet-cds.2020.0070https://dblp.org/rec/journals/iet-cds/SinghGK20URL#1573686K. Girija SravaniKoushik GuhaAmeen ElsinawiDesign and optimisation of a novel structure capacitive RF MEMS switch to integrate with an antenna to improve its performance parameters.IET Circuits Devices Syst.143276-2872020Journal Articlesclosedjournals/iet-cds/SravaniGE2010.1049/IET-CDS.2019.0425https://doi.org/10.1049/iet-cds.2019.0425https://dblp.org/rec/journals/iet-cds/SravaniGE20URL#1573687Lakshmi Nediyara SureshBhaskar ManickamMultiple cascode flipped active inductor-based tunable bandpass filter for fully integrated RF front-end.IET Circuits Devices Syst.14193-992020Journal Articlesclosedjournals/iet-cds/SureshM2010.1049/IET-CDS.2019.0330https://doi.org/10.1049/iet-cds.2019.0330https://dblp.org/rec/journals/iet-cds/SureshM20URL#1573688Michal TadeusiewiczStanislaw HalgasSoft fault diagnosis of non-linear circuits having multiple DC solutions.IET Circuits Devices Syst.1481220-12272020Journal Articlesclosedjournals/iet-cds/TadeusiewiczH2010.1049/IET-CDS.2020.0197https://doi.org/10.1049/iet-cds.2020.0197https://dblp.org/rec/journals/iet-cds/TadeusiewiczH20URL#1573689Ashish TiwariR. H. TalwekarAnalysis and mathematical modelling of charge injection effect for efficient performance of CMOS imagers and CDS circuit.IET Circuits Devices Syst.1471038-10482020Journal Articlesclosedjournals/iet-cds/TiwariT2010.1049/IET-CDS.2020.0096https://doi.org/10.1049/iet-cds.2020.0096https://dblp.org/rec/journals/iet-cds/TiwariT20URL#1573690Viktor TomovIvo IlievVessela Tz. KrastevaHigh resolution FPGA pulse width modulation control of full-bridge DC-DC converters.IET Circuits Devices Syst.1471110-11162020Journal Articlesclosedjournals/iet-cds/TomovIK2010.1049/IET-CDS.2020.0068https://doi.org/10.1049/iet-cds.2020.0068https://dblp.org/rec/journals/iet-cds/TomovIK20URL#1573691Linh Duc TranThanh Chi PhamOmid KaveheiPeter C. M. BurtonGlenn Ian MatthewsExtended Boolean algebra for asynchronous quasi-delay-insensitive logic.IET Circuits Devices Syst.1481201-12132020Journal Articlesclosedjournals/iet-cds/TranPKBM2010.1049/IET-CDS.2020.0062https://doi.org/10.1049/iet-cds.2020.0062https://dblp.org/rec/journals/iet-cds/TranPKBM20URL#1573692Pranav M. TripathiHarshit SoniRishu ChaujarAjay Kumar 0004Numerical simulation and parametric assessment of GaN buffered trench gate MOSFET for low power applications.IET Circuits Devices Syst.146915-9222020Journal Articlesclosedjournals/iet-cds/TripathiSCK2010.1049/IET-CDS.2020.0041https://doi.org/10.1049/iet-cds.2020.0041https://dblp.org/rec/journals/iet-cds/TripathiSCK20URL#1573693Chien-Ming TsaoYi-Fan TsaoTzu-Shuen LinTing-Jui HuangHeng-Tung HsuCompact low-noise power amplifier design and implementation for millimetre wave frequencies.IET Circuits Devices Syst.1471026-10312020Journal Articlesclosedjournals/iet-cds/TsaoTLHH2010.1049/IET-CDS.2020.0274https://doi.org/10.1049/iet-cds.2020.0274https://dblp.org/rec/journals/iet-cds/TsaoTLHH20URL#1573694Piyush TyagiRishikesh PandeyN-bit digital comparator High-speed and area-efficient scalable N-bit digital comparator.IET Circuits Devices Syst.144450-4582020Journal Articlesclosedjournals/iet-cds/TyagiP2010.1049/IET-CDS.2018.5562https://doi.org/10.1049/iet-cds.2018.5562https://dblp.org/rec/journals/iet-cds/TyagiP20URL#1573695Ridvan UmazSelf-startup soil energy harvesting system with a quick startup circuit.IET Circuits Devices Syst.1471099-11032020Journal Articlesclosedjournals/iet-cds/Umaz2010.1049/IET-CDS.2020.0188https://doi.org/10.1049/iet-cds.2020.0188https://dblp.org/rec/journals/iet-cds/Umaz20URL#1573696Luiz G. L. VieiraLuiz Filipe M. VieiraMarcos Augusto M. VieiraOmar P. Vilela NetoGray-code adder with parity generator - a novel quantum-dot cellular automata implementation.IET Circuits Devices Syst.142243-2502020Journal Articlesclosedjournals/iet-cds/VieiraVVN2010.1049/IET-CDS.2019.0003https://doi.org/10.1049/iet-cds.2019.0003https://dblp.org/rec/journals/iet-cds/VieiraVVN20URL#1573697Yang WangLiqiang DingZhanying BaoHongjiao YangXiangliang JinHigh current operational amplifier with current limiting protection circuit.IET Circuits Devices Syst.142251-2592020Journal Articlesclosedjournals/iet-cds/WangDBYJ2010.1049/IET-CDS.2019.0289https://doi.org/10.1049/iet-cds.2019.0289https://dblp.org/rec/journals/iet-cds/WangDBYJ20URL#1573698Haibo Wang 0005Abhilash Karnatakam NagabhushanaStefan LeitnerExploiting uncertain timing information in time-based SAR ADCs.IET Circuits Devices Syst.143390-3972020Journal Articlesclosedjournals/iet-cds/WangNL2010.1049/IET-CDS.2019.0165https://doi.org/10.1049/iet-cds.2019.0165https://dblp.org/rec/journals/iet-cds/WangNL20URL#1573699Lei WangQian WuYang GuChangyuan ChangChang ChenDesign of a high-precision constant voltage flyback converter.IET Circuits Devices Syst.1481145-11522020Journal Articlesclosedjournals/iet-cds/WangWGCC2010.1049/IET-CDS.2020.0011https://doi.org/10.1049/iet-cds.2020.0011https://dblp.org/rec/journals/iet-cds/WangWGCC20URL#1573700Sen WangYongfeng ZhangXiaoyuan WangGuotao CongXiaoxu ZhangProposal for an input interface and multi-output structures of all-spin logic circuits based on magnetic tunnel junction.IET Circuits Devices Syst.146838-8452020Journal Articlesclosedjournals/iet-cds/WangZWCZ2010.1049/IET-CDS.2020.0112https://doi.org/10.1049/iet-cds.2020.0112https://dblp.org/rec/journals/iet-cds/WangZWCZ20URL#1573701Nijwm WaryAntroy Roy ChowdhuryPradip MandalHybrid bidirectional transceiver for multipoint-to-multipoint signalling across on-chip global interconnects.IET Circuits Devices Syst.146780-7872020Journal Articlesclosedjournals/iet-cds/WaryCM2010.1049/IET-CDS.2019.0465https://doi.org/10.1049/iet-cds.2019.0465https://dblp.org/rec/journals/iet-cds/WaryCM20URL#1573702Stephen P. WebsterApproach to modelling uniform transmission lines for broadband high-frequency applications.IET Circuits Devices Syst.144510-5202020Journal Articlesclosedjournals/iet-cds/Webster2010.1049/IET-CDS.2019.0311https://doi.org/10.1049/iet-cds.2019.0311https://dblp.org/rec/journals/iet-cds/Webster20URL#1573703Liang WenLongmei NanJing ZhangChunning MengYan LuShiqian QiJianping LvYuejun Zhang65 nm sub-threshold logic standard cell library using quasi-Schmitt-trigger design scheme and inverse narrow width effect aware sizing.IET Circuits Devices Syst.143303-3102020Journal Articlesclosedjournals/iet-cds/WenNZMLQLZ2010.1049/IET-CDS.2019.0028https://doi.org/10.1049/iet-cds.2019.0028https://dblp.org/rec/journals/iet-cds/WenNZMLQLZ20URL#1573704Xiangwei GuoJiahao GengLiu ZhenLongyun KangXiaozhuo XuActive balancing method for series battery pack based on flyback converter.IET Circuits Devices Syst.1481129-11342020Journal Articlesclosedjournals/iet-cds/XiangweiJZKX2010.1049/IET-CDS.2020.0008https://doi.org/10.1049/iet-cds.2020.0008https://dblp.org/rec/journals/iet-cds/XiangweiJZKX20URL#1573705Zhong XuWenxiong MoLiangyu GuiZhiyuan MaXianyong XiaoPractical test method for the sensitivity of programmable logic controller to voltage sags and short interruptions.IET Circuits Devices Syst.146830-8372020Journal Articlesclosedjournals/iet-cds/XuMGMX2010.1049/IET-CDS.2019.0490https://doi.org/10.1049/iet-cds.2019.0490https://dblp.org/rec/journals/iet-cds/XuMGMX20URL#1573706Salah I. YahyaAbbas RezaeiLeila NouriCompact wide stopband microstrip diplexer with flat channels for WiMAX and wireless applications.IET Circuits Devices Syst.146846-8522020Journal Articlesclosedjournals/iet-cds/YahyaRN2010.1049/IET-CDS.2020.0010https://doi.org/10.1049/iet-cds.2020.0010https://dblp.org/rec/journals/iet-cds/YahyaRN20URL#1573707Daisuke YamazakiYoshitaka OtsukiTakafumi HaraNguyen Ngoc Mai KhanhTetsuya Iizuka11 Gb/s 140 GHz OOK modulator with 24.6 dB isolation utilising cascaded switch and amplifier-based stages in 65 nm bulk CMOS.IET Circuits Devices Syst.143322-3262020Journal Articlesclosedjournals/iet-cds/YamazakiOHKI2010.1049/IET-CDS.2019.0377https://doi.org/10.1049/iet-cds.2019.0377https://dblp.org/rec/journals/iet-cds/YamazakiOHKI20URL#1573708Serkan YildizAhmet AksenSedat KilincBekir Siddik Binboga YarmanDual band stop filter design via frequency transformation and synthesis with lumped resonators.IET Circuits Devices Syst.143311-3152020Journal Articlesclosedjournals/iet-cds/YildizAKY2010.1049/IET-CDS.2019.0411https://doi.org/10.1049/iet-cds.2019.0411https://dblp.org/rec/journals/iet-cds/YildizAKY20URL#1573709Chen YuLei Wang 0141Guangjun XieImplementation of the new SCV method in quantum-dot cellular automata.IET Circuits Devices Syst.145594-5992020Journal Articlesclosedjournals/iet-cds/YuWX2010.1049/IET-CDS.2019.0025https://doi.org/10.1049/iet-cds.2019.0025https://dblp.org/rec/journals/iet-cds/YuWX20URL#1573710Fei Yuan 0005Parth ParekhTime-based all-digital Δ Σ time-to-digital converter with pre-skewed bi-directional gated delay line time integrator.IET Circuits Devices Syst.14125-342020Journal Articlesclosedjournals/iet-cds/YuanP2010.1049/IET-CDS.2019.0108https://doi.org/10.1049/iet-cds.2019.0108https://dblp.org/rec/journals/iet-cds/YuanP20URL#1573711Erkan YüceLeila SafariShahram MinaeiGiuseppe FerriVincenzo StornelliNew mixed-mode second-generation voltage conveyor based first-order all-pass filter.IET Circuits Devices Syst.146901-9072020Journal Articlesclosedjournals/iet-cds/YuceSMFS2010.1049/IET-CDS.2019.0469https://doi.org/10.1049/iet-cds.2019.0469https://dblp.org/rec/journals/iet-cds/YuceSMFS20URL#1573712Elnaz ZafarkhahMohammad Maymandi-NejadMaryam ZareSingle-ended ring oscillators: analysis and design.IET Circuits Devices Syst.146869-8752020Journal Articlesclosedjournals/iet-cds/ZafarkhahMZ2010.1049/IET-CDS.2019.0190https://doi.org/10.1049/iet-cds.2019.0190https://dblp.org/rec/journals/iet-cds/ZafarkhahMZ20URL#1573713Yefei ZhangZunchao LiIET Circuits, Devices & Systems.IET Circuits Devices Syst.1481195-12002020Journal Articlesclosedjournals/iet-cds/ZhangL2010.1049/IET-CDS.2019.0515https://doi.org/10.1049/iet-cds.2019.0515https://dblp.org/rec/journals/iet-cds/ZhangL20URL#1573714Kai ZhangWeifeng LüPeng SiZhifeng ZhaoTianyu YuPerformance improvement of timing and power variations due to random dopant fluctuation in negative-capacitance CMOS inverters.IET Circuits Devices Syst.146908-9142020Journal Articlesclosedjournals/iet-cds/ZhangLSZY2010.1049/IET-CDS.2020.0101https://doi.org/10.1049/iet-cds.2020.0101https://dblp.org/rec/journals/iet-cds/ZhangLSZY20URL#1573715Jing ZhaoYichuang SunGuigen NieOluyomi SimpsonWeilin XuLow-power, high-linearity transconductor with a high tolerance for process and temperature variations.IET Circuits Devices Syst.1481295-13042020Journal Articlesclosedjournals/iet-cds/ZhaoSNSX2010.1049/IET-CDS.2019.0565https://doi.org/10.1049/iet-cds.2019.0565https://dblp.org/rec/journals/iet-cds/ZhaoSNSX20URL#1573716Xi ZhuZhiwei Li 0008Haijun Liu 0003Qingjiang LiSen Liu 0006Nan Li 0020Hui Xu 0010Solution to alleviate the impact of line resistance on the crossbar array.IET Circuits Devices Syst.144498-5042020Journal Articlesclosedjournals/iet-cds/ZhuLLLLLX2010.1049/IET-CDS.2019.0313https://doi.org/10.1049/iet-cds.2019.0313https://dblp.org/rec/journals/iet-cds/ZhuLLLLLX20URL#1573717Yuying Zhu 0003Weiqiang Liu 0001Peipei YinTian Cao 0005Jie Han 0001Fabrizio LombardiDesign, evaluation and application of approximate-truncated Booth multipliers.IET Circuits Devices Syst.1481305-13172020Journal Articlesclosedjournals/iet-cds/ZhuLYCHL2010.1049/IET-CDS.2019.0398https://doi.org/10.1049/iet-cds.2019.0398https://dblp.org/rec/journals/iet-cds/ZhuLYCHL20URL#1573718Muhammad Bilal 0001Resource-efficient FPGA implementation of perspective transformation for bird's eye view generation using high-level synthesis framework.IET Circuits Devices Syst.136756-7622019Journal Articlesclosedjournals/iet-cds/00011910.1049/IET-CDS.2018.5263https://doi.org/10.1049/iet-cds.2018.5263https://dblp.org/rec/journals/iet-cds/000119URL#2004588Sa'ed AbedBassam Jamil MohdMohammad H. Al ShayejiImplementation of speech feature extraction for low-resource devices.IET Circuits Devices Syst.136863-8722019Journal Articlesclosedjournals/iet-cds/AbedMS1910.1049/IET-CDS.2018.5225https://doi.org/10.1049/iet-cds.2018.5225https://dblp.org/rec/journals/iet-cds/AbedMS19URL#2004589Kalpana AgrawalRitu SrivastavaS. S. RajputAnalysing the TIPSP-based VOFET through transistor efficiency (gm/I D).IET Circuits Devices Syst.132139-1442019Journal Articlesclosedjournals/iet-cds/AgrawalSR1910.1049/IET-CDS.2018.5173https://doi.org/10.1049/iet-cds.2018.5173https://dblp.org/rec/journals/iet-cds/AgrawalSR19URL#2004590Arash AhmadiHigh-power multi-octave laterally diffused metal-oxide-semiconductor power amplifier with resistive harmonic termination.IET Circuits Devices Syst.1381125-11332019Journal Articlesclosedjournals/iet-cds/Ahmadi1910.1049/IET-CDS.2018.5284https://doi.org/10.1049/iet-cds.2018.5284https://dblp.org/rec/journals/iet-cds/Ahmadi19URL#2004591Umer F. AhmedMuhammad Mansoor AhmedQamar D. MemonNon-linear compact model for FinFETs output characteristics.IET Circuits Devices Syst.1381249-12542019Journal Articlesclosedjournals/iet-cds/AhmedAM1910.1049/IET-CDS.2019.0216https://doi.org/10.1049/iet-cds.2019.0216https://dblp.org/rec/journals/iet-cds/AhmedAM19URL#2004592Basim Ahmad AlabsiMohammed AnbarSelvakumar ManickamOmar E. ElejlaDDoS attack aware environment with secure clustering and routing based on RPL protocol operation.IET Circuits Devices Syst.136748-7552019Journal Articlesclosedjournals/iet-cds/AlabsiAME1910.1049/IET-CDS.2018.5079https://doi.org/10.1049/iet-cds.2018.5079https://dblp.org/rec/journals/iet-cds/AlabsiAME19URL#2004593Ersin AlaybeyogluImplementation of capacitor multiplier with cell-based variable transconductance amplifier.IET Circuits Devices Syst.133267-2722019Journal Articlesclosedjournals/iet-cds/Alaybeyoglu1910.1049/IET-CDS.2018.5217https://doi.org/10.1049/iet-cds.2018.5217https://dblp.org/rec/journals/iet-cds/Alaybeyoglu19URL#2004594A. Anita AngelineV. S. Kanchana BhaaskaranDesign impacts of delay invariant high-speed clock delayed dual keeper domino circuit.IET Circuits Devices Syst.1381134-11412019Journal Articlesclosedjournals/iet-cds/AngelineB1910.1049/IET-CDS.2018.5410https://doi.org/10.1049/iet-cds.2018.5410https://dblp.org/rec/journals/iet-cds/AngelineB19URL#2004595Seyed Mahmoud AnishehHamed AbbasizadehHossein ShamsiChitra DadkhahKang-Yoon Lee84 dB DC-gain two-stage class-AB OTA.IET Circuits Devices Syst.135614-6212019Journal Articlesclosedjournals/iet-cds/AnishehASDL1910.1049/IET-CDS.2018.5038https://doi.org/10.1049/iet-cds.2018.5038https://dblp.org/rec/journals/iet-cds/AnishehASDL19URL#2004596Mohan AppikondaDhanalakshmi KaliaperumalModelling and control of dual input boost converter with voltage multiplier cell.IET Circuits Devices Syst.1381267-12762019Journal Articlesclosedjournals/iet-cds/AppikondaK1910.1049/IET-CDS.2019.0123https://doi.org/10.1049/iet-cds.2019.0123https://dblp.org/rec/journals/iet-cds/AppikondaK19URL#2004597Muhammad Awais 0004Anas RazzaqAshfaq AhmedGuido MaseraLDPC check node implementation using reversible logic.IET Circuits Devices Syst.134443-4552019Journal Articlesclosedjournals/iet-cds/AwaisRAM1910.1049/IET-CDS.2018.5222https://doi.org/10.1049/iet-cds.2018.5222https://dblp.org/rec/journals/iet-cds/AwaisRAM19URL#2004598Sudipta BardhanManodipan SahooHafizur Rahaman 0001Boltzmann transport equation-based semi-classical drain current model for bilayer GFET including scattering effects.IET Circuits Devices Syst.134456-4642019Journal Articlesclosedjournals/iet-cds/BardhanSR1910.1049/IET-CDS.2018.5104https://doi.org/10.1049/iet-cds.2018.5104https://dblp.org/rec/journals/iet-cds/BardhanSR19URL#2004599Akram BatiPatrick C. K. LukSamer AldhaherChan H. SeeRaed A. Abd-AlhameedPeter S. ExcellDynamic analysis model of a class E2 converter for low power wireless charging links.IET Circuits Devices Syst.133399-4052019Journal Articlesclosedjournals/iet-cds/BatiLASAE1910.1049/IET-CDS.2018.5091https://doi.org/10.1049/iet-cds.2018.5091https://dblp.org/rec/journals/iet-cds/BatiLASAE19URL#2004600Okan Zafer BaturNaci PekcokgulerGünhan DündarMutlu KocaSynchronisation free non-coherent on-off keying demodulation techniques.IET Circuits Devices Syst.136843-8472019Journal Articlesclosedjournals/iet-cds/BaturPDK1910.1049/IET-CDS.2018.5458https://doi.org/10.1049/iet-cds.2018.5458https://dblp.org/rec/journals/iet-cds/BaturPDK19URL#2004601Disha BhattacharjeeBijoy GoswamiDinesh Kumar DashAyan BhattacharyaSubir Kumar SarkarAnalytical modelling and simulation of drain doping engineered splitted drain structured TFET and its improved performance in subduing ambipolar effect.IET Circuits Devices Syst.136888-8952019Journal Articlesclosedjournals/iet-cds/BhattacharjeeGD1910.1049/IET-CDS.2018.5261https://doi.org/10.1049/iet-cds.2018.5261https://dblp.org/rec/journals/iet-cds/BhattacharjeeGD19URL#2004602Debdut BiswasTarun Kanti BhattacharyyaSpur reduction architecture for multiphase fractional PLLs.IET Circuits Devices Syst.1381169-11802019Journal Articlesclosedjournals/iet-cds/BiswasB1910.1049/IET-CDS.2019.0041https://doi.org/10.1049/iet-cds.2019.0041https://dblp.org/rec/journals/iet-cds/BiswasB19URL#2004603Ria BoseJitendra Nath Roy2D Surface potential and mobility modelling of doped/undoped symmetric double gate MOSFET.IET Circuits Devices Syst.135571-5752019Journal Articlesclosedjournals/iet-cds/BoseR1910.1049/IET-CDS.2018.5100https://doi.org/10.1049/iet-cds.2018.5100https://dblp.org/rec/journals/iet-cds/BoseR19URL#2004604Nevena R. BrnovicIgor DjurovicVeselin N. IvanovicMarko SimeunovicHardware implementation of the quasi-maximum likelihood estimator core for polynomial phase signals.IET Circuits Devices Syst.132131-1382019Journal Articlesclosedjournals/iet-cds/BrnovicDIS1910.1049/IET-CDS.2018.5112https://doi.org/10.1049/iet-cds.2018.5112https://dblp.org/rec/journals/iet-cds/BrnovicDIS19URL#2004605Bandi Venkata ChandanKaushal NigamDheeraj SharmaApproach on electrically doped TFET for suppression of ambipolar and improving RF performance.IET Circuits Devices Syst.136787-7922019Journal Articlesclosedjournals/iet-cds/ChandanNS1910.1049/IET-CDS.2018.5394https://doi.org/10.1049/iet-cds.2018.5394https://dblp.org/rec/journals/iet-cds/ChandanNS19URL#2004606Yen-Jen ChangYu-Cheng ChengYi-Fong LinShao-Chi LiaoChun-Hsiang LaiTung-Chi WuImprecise 4-2 compressor design used in image processing applications.IET Circuits Devices Syst.136848-8562019Journal Articlesclosedjournals/iet-cds/ChangCLLLW1910.1049/IET-CDS.2018.5403https://doi.org/10.1049/iet-cds.2018.5403https://dblp.org/rec/journals/iet-cds/ChangCLLLW19URL#2004607Chun-Ming ChangShu-Hui TuM. N. S. SwamyAhmed M. SolimanDesign of odd nth-order elliptic high-pass filters employing OTRAs.IET Circuits Devices Syst.132174-1842019Journal Articlesclosedjournals/iet-cds/ChangTSS1910.1049/IET-CDS.2018.5070https://doi.org/10.1049/iet-cds.2018.5070https://dblp.org/rec/journals/iet-cds/ChangTSS19URL#2004608Chun-Ming ChangShu-Hui TuM. N. Srikanta SwamyAhmed M. SolimanAnalytical synthesis of elliptic voltage-mode even/odd-nth-order filter structures using DDCCs, FDCCIIs, and grounded capacitors and resistors.IET Circuits Devices Syst.133279-2912019Journal Articlesclosedjournals/iet-cds/ChangTSS19a10.1049/IET-CDS.2018.5172https://doi.org/10.1049/iet-cds.2018.5172https://dblp.org/rec/journals/iet-cds/ChangTSS19aURL#2004609Joy Iong-Zong ChenIntegrated routing scheme and inverter switch to develop a mobile controlled energy saving system.IET Circuits Devices Syst.1311-62019Journal Articlesclosedjournals/iet-cds/Chen1910.1049/IET-CDS.2018.0086https://doi.org/10.1049/iet-cds.2018.0086https://dblp.org/rec/journals/iet-cds/Chen19URL#2004610Hao ChenXuefeng HuYuanyuan HuangMeng ZhangBenbao GaoImproved DC-DC converter topology for high step-up applications.IET Circuits Devices Syst.13151-602019Journal Articlesclosedjournals/iet-cds/ChenHHZG1910.1049/IET-CDS.2018.5131https://doi.org/10.1049/iet-cds.2018.5131https://dblp.org/rec/journals/iet-cds/ChenHHZG19URL#2004611Ravichandran ChinnappanPremalatha LogamaniRengaraj RamasubbuFixed frequency integral sliding-mode current-controlled MPPT boost converter for two-stage PV generation system.IET Circuits Devices Syst.136793-8052019Journal Articlesclosedjournals/iet-cds/ChinnappanLR1910.1049/IET-CDS.2018.5221https://doi.org/10.1049/iet-cds.2018.5221https://dblp.org/rec/journals/iet-cds/ChinnappanLR19URL#2004612Devarshi Mrinal DasAmogh VidwansAbhishek Srivastava 0002Meraj AhmadSaujal VaishnavSourya DewanMaryam Shojaei BaghiniDesign and development of an Internet-of-Things enabled wearable ExG measuring system with a novel signal processing algorithm for electrocardiogram.IET Circuits Devices Syst.136903-9072019Journal Articlesclosedjournals/iet-cds/DasVSAVDB1910.1049/IET-CDS.2018.5498https://doi.org/10.1049/iet-cds.2018.5498https://dblp.org/rec/journals/iet-cds/DasVSAVDB19URL#2004613Sumedha DasguptaChandrima MondalAbhijit BiswasRole of grooving angle of 14-nm-InAs channel quantum well MOSFETs in improving analogue/RF and linearity performance.IET Circuits Devices Syst.1381292-12982019Journal Articlesclosedjournals/iet-cds/DasguptaMB1910.1049/IET-CDS.2019.0064https://doi.org/10.1049/iet-cds.2019.0064https://dblp.org/rec/journals/iet-cds/DasguptaMB19URL#2004614Dinesh Kumar DashPriyanka SahaSubir Kumar SarkarAnalytical modelling of dielectric engineered strained dual-material double-gate-tunnelling field effect transistor.IET Circuits Devices Syst.1371039-10482019Journal Articlesclosedjournals/iet-cds/DashSS1910.1049/IET-CDS.2018.5293https://doi.org/10.1049/iet-cds.2018.5293https://dblp.org/rec/journals/iet-cds/DashSS19URL#2004615Prasun DattaShyamapada MukherjeeArchitecture-aware routability-driven placer for large-scale mixed-size designs.IET Circuits Devices Syst.1381209-12202019Journal Articlesclosedjournals/iet-cds/DattaM1910.1049/IET-CDS.2018.5518https://doi.org/10.1049/iet-cds.2018.5518https://dblp.org/rec/journals/iet-cds/DattaM19URL#2004616Debarati DeyPradipta RoyDebashis DeElectronic enhancement effect of doped ferromagnetic material in biomolecular heterojunction switch.IET Circuits Devices Syst.13179-902019Journal Articlesclosedjournals/iet-cds/DeyRD1910.1049/IET-CDS.2018.5244https://doi.org/10.1049/iet-cds.2018.5244https://dblp.org/rec/journals/iet-cds/DeyRD19URL#2004617Zhekang DongChun Sing LaiYufei HeDonglian QiShukai DuanHybrid dual-complementary metal-oxide-semiconductor/memristor synapse-based neural network with its applications in image super-resolution.IET Circuits Devices Syst.1381241-12482019Journal Articlesclosedjournals/iet-cds/DongLHQD1910.1049/IET-CDS.2018.5062https://doi.org/10.1049/iet-cds.2018.5062https://dblp.org/rec/journals/iet-cds/DongLHQD19URL#2004618Prabhat Kumar DubeyBrajesh Kumar KaushikEddy SimoenAnalytical modelling and device design optimisation of epitaxial layer-based III-V tunnel FET.IET Circuits Devices Syst.136763-7702019Journal Articlesclosedjournals/iet-cds/DubeyKS1910.1049/IET-CDS.2018.5169https://doi.org/10.1049/iet-cds.2018.5169https://dblp.org/rec/journals/iet-cds/DubeyKS19URL#2004619Aleksey DyskinIngmar KallfassFeasibility study of the feed-forward carrier recovery technique for E-band integrated receivers.IET Circuits Devices Syst.1381160-11682019Journal Articlesclosedjournals/iet-cds/DyskinK1910.1049/IET-CDS.2019.0063https://doi.org/10.1049/iet-cds.2019.0063https://dblp.org/rec/journals/iet-cds/DyskinK19URL#2004620Seyed Milad EbrahimipourBehnam GhavamiMohsen RajiAdjacency criticality: a simple yet effective metric for statistical timing yield optimisation of digital integrated circuits.IET Circuits Devices Syst.137979-9872019Journal Articlesclosedjournals/iet-cds/EbrahimipourGR1910.1049/IET-CDS.2018.5616https://doi.org/10.1049/iet-cds.2018.5616https://dblp.org/rec/journals/iet-cds/EbrahimipourGR19URL#2004621Sahar FatemiMaryam ZareAmir Farzad KhavariMohammad Maymandi-NejadEfficient implementation of digit-serial Montgomery modular multiplier architecture.IET Circuits Devices Syst.137942-9492019Journal Articlesclosedjournals/iet-cds/FatemiZKM1910.1049/IET-CDS.2018.5182https://doi.org/10.1049/iet-cds.2018.5182https://dblp.org/rec/journals/iet-cds/FatemiZKM19URL#2004622Amir FathiMorteza MousazadehAbdollah KhoeiHigh-speed, low power, and dead zone improved phase frequency detector.IET Circuits Devices Syst.1371056-10622019Journal Articlesclosedjournals/iet-cds/FathiMK1910.1049/IET-CDS.2019.0135https://doi.org/10.1049/iet-cds.2019.0135https://dblp.org/rec/journals/iet-cds/FathiMK19URL#2004623Bahram Azizollah-GanjiSanaz KheirySamaneh SoleimaniDesign of small size and high sensitive less-invasive wireless blood pressure sensor using MEMS technology.IET Circuits Devices Syst.13139-442019Journal Articlesclosedjournals/iet-cds/GanjiKS1910.1049/IET-CDS.2018.0013https://doi.org/10.1049/iet-cds.2018.0013https://dblp.org/rec/journals/iet-cds/GanjiKS19URL#2004624Sandeep GargTarun Kumar GuptaLow leakage domino logic circuit for wide fan-in gates using CNTFET.IET Circuits Devices Syst.132163-1732019Journal Articlesclosedjournals/iet-cds/GargG1910.1049/IET-CDS.2018.5135https://doi.org/10.1049/iet-cds.2018.5135https://dblp.org/rec/journals/iet-cds/GargG19URL#2004625Ramarao GarikeGanesh C. PatilSi3N4: HfO2 dual-k spacer bulk planar junctionless transistor for mixed signal integrated circuits.IET Circuits Devices Syst.13145-502019Journal Articlesclosedjournals/iet-cds/GarikeP1910.1049/IET-CDS.2018.5168https://doi.org/10.1049/iet-cds.2018.5168https://dblp.org/rec/journals/iet-cds/GarikeP19URL#2004626Ismail GassoumiLamjed TouilBouraoui OuniDesign of efficient quantum Dot cellular automata (QCA) multiply accumulate (MAC) unit with power dissipation analysis.IET Circuits Devices Syst.134534-5432019Journal Articlesclosedjournals/iet-cds/GassoumiTO1910.1049/IET-CDS.2018.5196https://doi.org/10.1049/iet-cds.2018.5196https://dblp.org/rec/journals/iet-cds/GassoumiTO19URL#2004627Sumalya GhoshBishnu Prasad DeRajib KarAshis Kumar MalSymbiotic organisms search algorithm for optimal design of CMOS two-stage op-amp with nulling resistor and robust bias circuit.IET Circuits Devices Syst.135679-6882019Journal Articlesclosedjournals/iet-cds/GhoshDKM1910.1049/IET-CDS.2018.5259https://doi.org/10.1049/iet-cds.2018.5259https://dblp.org/rec/journals/iet-cds/GhoshDKM19URL#2004628Leenendra Chowdary GunnamGuo-Ming SungLei-Wen WengTe-Chia Fan2-1 Switched-current multi-stage noise-shaping delta-sigma modulator with a digital noise-cancellation circuit.IET Circuits Devices Syst.133327-3362019Journal Articlesopenjournals/iet-cds/GunnamSWF1910.1049/IET-CDS.2018.5025https://doi.org/10.1049/iet-cds.2018.5025https://dblp.org/rec/journals/iet-cds/GunnamSWF19URL#2004629Chenguang GuoJiancheng XuWenyao XuHighly efficient design of SDRAM-based CTM for real-time SAR imaging system.IET Circuits Devices Syst.135656-6602019Journal Articlesclosedjournals/iet-cds/GuoXX1910.1049/IET-CDS.2018.5037https://doi.org/10.1049/iet-cds.2018.5037https://dblp.org/rec/journals/iet-cds/GuoXX19URL#2004630Chenguang GuoJiancheng XuHui ZhangDesign of Doppler parameters estimation circuit.IET Circuits Devices Syst.134565-5702019Journal Articlesclosedjournals/iet-cds/GuoXZ1910.1049/IET-CDS.2018.5478https://doi.org/10.1049/iet-cds.2018.5478https://dblp.org/rec/journals/iet-cds/GuoXZ19URL#2004631Shikhar GuptaAshutosh NandiEffect of air spacer in underlap GAA nanowire: an analogue/RF perspective.IET Circuits Devices Syst.1381196-12022019Journal Articlesclosedjournals/iet-cds/GuptaN1910.1049/IET-CDS.2018.5528https://doi.org/10.1049/iet-cds.2018.5528https://dblp.org/rec/journals/iet-cds/GuptaN19URL#2004632Ashima GuptaAnil SinghAlpana AgarwalHighly-digital voltage scalable 4-bit flash ADC.IET Circuits Devices Syst.13191-972019Journal Articlesclosedjournals/iet-cds/GuptaSA1910.1049/IET-CDS.2018.5148https://doi.org/10.1049/iet-cds.2018.5148https://dblp.org/rec/journals/iet-cds/GuptaSA19URL#2004633Muhammad Fahim Ul HaqueMuhammad Touqir PashaTed JohanssonPower-efficient aliasing-free PWM transmitter.IET Circuits Devices Syst.133273-2782019Journal Articlesclosedjournals/iet-cds/HaquePJ1910.1049/IET-CDS.2018.5011https://doi.org/10.1049/iet-cds.2018.5011https://dblp.org/rec/journals/iet-cds/HaquePJ19URL#2004634Md Sakib HasanSyed K. IslamDC modelling of SOI four-gate transistor (G4FET) for implementation in circuit simulator using multivariate regression polynomial.IET Circuits Devices Syst.13112-202019Journal Articlesclosedjournals/iet-cds/HasanI1910.1049/IET-CDS.2018.0059https://doi.org/10.1049/iet-cds.2018.0059https://dblp.org/rec/journals/iet-cds/HasanI19URL#2004635Mohsen HayatiAbbas RezaeiLeila NooriDesign of a high-performance lowpass-bandpass diplexer using a novel microstrip structure for GSM and WiMAX applications.IET Circuits Devices Syst.133361-3672019Journal Articlesclosedjournals/iet-cds/HayatiRN1910.1049/IET-CDS.2018.5395https://doi.org/10.1049/iet-cds.2018.5395https://dblp.org/rec/journals/iet-cds/HayatiRN19URL#2004636Anping HeGuangbo FengJilin ZhangJinzhao WuAn asynchronous mesh NoC based booth multiplication.IET Circuits Devices Syst.13173-782019Journal Articlesclosedjournals/iet-cds/HeFZW1910.1049/IET-CDS.2018.0058https://doi.org/10.1049/iet-cds.2018.0058https://dblp.org/rec/journals/iet-cds/HeFZW19URL#2004637Ching-Ying HuangRobert HuDow-Chi NiuChi-Yang ChangAnalysis and design of wideband active power splitter with interleaf transmission line topology.IET Circuits Devices Syst.1381262-12662019Journal Articlesclosedjournals/iet-cds/HuangHNC1910.1049/IET-CDS.2018.5579https://doi.org/10.1049/iet-cds.2018.5579https://dblp.org/rec/journals/iet-cds/HuangHNC19URL#2004638Junqi HuangT. Nandha KumarHaider AbbasFabrizio LombardiApproximate computing using frequency upscaling.IET Circuits Devices Syst.1371018-10262019Journal Articlesclosedjournals/iet-cds/HuangKAL1910.1049/IET-CDS.2018.5422https://doi.org/10.1049/iet-cds.2018.5422https://dblp.org/rec/journals/iet-cds/HuangKAL19URL#2004639Ching-Ying HuangKun-Long WuRobert HuChi-Yang ChangAnalysis of wide-IF-band 65 nm-CMOS mixer for 77-110 GHz radio-astronomical receiver design.IET Circuits Devices Syst.133406-4132019Journal Articlesclosedjournals/iet-cds/HuangWHC1910.1049/IET-CDS.2018.5269https://doi.org/10.1049/iet-cds.2018.5269https://dblp.org/rec/journals/iet-cds/HuangWHC19URL#2004640Ching-Ying HuangPin-Hsuan WuKun-Long WuRobert HuChi-Yang ChangIL analysis for 8-way power combining network in 77-110 GHz 40 nm-complementary metal-oxide-semiconductor PA design.IET Circuits Devices Syst.1381181-11862019Journal Articlesclosedjournals/iet-cds/HuangWWHC1910.1049/IET-CDS.2019.0074https://doi.org/10.1049/iet-cds.2019.0074https://dblp.org/rec/journals/iet-cds/HuangWWHC19URL#2004641Arshad HussainGoang Seong ChoiSingle low-gain amplifier compensated hybrid delta-sigma modulator.IET Circuits Devices Syst.137934-9412019Journal Articlesclosedjournals/iet-cds/HussainC1910.1049/IET-CDS.2018.5480https://doi.org/10.1049/iet-cds.2018.5480https://dblp.org/rec/journals/iet-cds/HussainC19URL#2004642Fereshteh JafarzadehpourAmir Sabbagh MolahosseiniAzadeh Alsadat Emrani ZarandiLeonel SousaNew energy-efficient hybrid wide-operand adder architecture.IET Circuits Devices Syst.1381221-12312019Journal Articlesclosedjournals/iet-cds/JafarzadehpourM1910.1049/IET-CDS.2019.0084https://doi.org/10.1049/iet-cds.2019.0084https://dblp.org/rec/journals/iet-cds/JafarzadehpourM19URL#2004643Paria JamshidiMohammad Maymandi-NejadDesign challenges for a new mostly digital VCO-based delta-sigma modulator.IET Circuits Devices Syst.132259-2652019Journal Articlesclosedjournals/iet-cds/JamshidiM1910.1049/IET-CDS.2018.5312https://doi.org/10.1049/iet-cds.2018.5312https://dblp.org/rec/journals/iet-cds/JamshidiM19URL#2004644Aditya JapaManoj Kumar MajumderSubhendu Kumar SahooRamesh VaddiTunnel FET ambipolarity-based energy efficient and robust true random number generator against reverse engineering attacks.IET Circuits Devices Syst.135689-6952019Journal Articlesclosedjournals/iet-cds/JapaMSV1910.1049/IET-CDS.2018.5297https://doi.org/10.1049/iet-cds.2018.5297https://dblp.org/rec/journals/iet-cds/JapaMSV19URL#2004645Anwar JarndalAmer M. BassalCompact GaN class-AB Armstrong oscillator for resonant wireless power transfer.IET Circuits Devices Syst.132233-2382019Journal Articlesclosedjournals/iet-cds/JarndalB1910.1049/IET-CDS.2018.5054https://doi.org/10.1049/iet-cds.2018.5054https://dblp.org/rec/journals/iet-cds/JarndalB19URL#2004646Bassem JmaiHugo DinisPedro AnacletoAdnen RajhiPaulo M. MendesAli GharsallahModelling, design and fabrication of a novel reconfigurable ultra-wide-band impedance matching based on RF MEMS technology.IET Circuits Devices Syst.1381299-13042019Journal Articlesclosedjournals/iet-cds/JmaiDARMG1910.1049/IET-CDS.2019.0116https://doi.org/10.1049/iet-cds.2019.0116https://dblp.org/rec/journals/iet-cds/JmaiDARMG19URL#2004647Ramaian Subramanian KamalakannanKuppusamy VenkatachalamLow power radiation aware transistor level design using tri-state inverter embedded non-clock gating technique.IET Circuits Devices Syst.1371063-10702019Journal Articlesclosedjournals/iet-cds/KamalakannanV1910.1049/IET-CDS.2018.5232https://doi.org/10.1049/iet-cds.2018.5232https://dblp.org/rec/journals/iet-cds/KamalakannanV19URL#2004648Mohammad Azim KaramiMisagh AnsarianOptically triggered global shutter image sensor using single-photon avalanche diodes.IET Circuits Devices Syst.1317-112019Journal Articlesclosedjournals/iet-cds/KaramiA1910.1049/IET-CDS.2018.0017https://doi.org/10.1049/iet-cds.2018.0017https://dblp.org/rec/journals/iet-cds/KaramiA19URL#2004649Ahmad KarimiAbdalhossein RezaiNovel design for Memristor-based n to 1 multiplexer using new IMPLY logic approach.IET Circuits Devices Syst.135647-6552019Journal Articlesclosedjournals/iet-cds/KarimiR1910.1049/IET-CDS.2018.5090https://doi.org/10.1049/iet-cds.2018.5090https://dblp.org/rec/journals/iet-cds/KarimiR19URL#2004650Supriya KarmakarGeneration of four states in MOSFET for future multivalued logic circuit design.IET Circuits Devices Syst.134504-5092019Journal Articlesclosedjournals/iet-cds/Karmakar1910.1049/IET-CDS.2018.5027https://doi.org/10.1049/iet-cds.2018.5027https://dblp.org/rec/journals/iet-cds/Karmakar19URL#2004651Supriya KarmakarJohn A. ChandyFaquir C. JainEight-bit ADC using non-volatile flash memory.IET Circuits Devices Syst.13198-1022019Journal Articlesclosedjournals/iet-cds/KarmakarCJ1910.1049/IET-CDS.2018.5198https://doi.org/10.1049/iet-cds.2018.5198https://dblp.org/rec/journals/iet-cds/KarmakarCJ19URL#2004652Jupinder KaurPrince PrabhakarAnil SinghAlpana AgarwalFast digital foreground gain error calibration for pipelined ADC.IET Circuits Devices Syst.132219-2252019Journal Articlesclosedjournals/iet-cds/KaurPSA1910.1049/IET-CDS.2018.5230https://doi.org/10.1049/iet-cds.2018.5230https://dblp.org/rec/journals/iet-cds/KaurPSA19URL#2004653Hadhiq KhanMohammad Abid BazazShahkar Ahmad NahviSingular perturbation-based model reduction of power electronic circuits.IET Circuits Devices Syst.134471-4782019Journal Articlesclosedjournals/iet-cds/KhanBN1910.1049/IET-CDS.2018.5234https://doi.org/10.1049/iet-cds.2018.5234https://dblp.org/rec/journals/iet-cds/KhanBN19URL#2004654Seok-Kyoon KimPerformance-recovery proportional-type output-voltage tracking algorithm of three-phase inverter for uninterruptible power supply applications.IET Circuits Devices Syst.132185-1922019Journal Articlesclosedjournals/iet-cds/Kim1910.1049/IET-CDS.2018.5377https://doi.org/10.1049/iet-cds.2018.5377https://dblp.org/rec/journals/iet-cds/Kim19URL#2004655Sang-Won KimMin-Joon KimJaeseok KimHigh-performance DSP platform for digital hearing aid SoC with flexible noise estimation.IET Circuits Devices Syst.135717-7222019Journal Articlesclosedjournals/iet-cds/KimKK1910.1049/IET-CDS.2018.5374https://doi.org/10.1049/iet-cds.2018.5374https://dblp.org/rec/journals/iet-cds/KimKK19URL#2004656Girija Sravani KondaveetiKoushik GuhaSrinivasa Rao KarumuriAmeen ElsinawiDesign of a novel structure capacitive RF MEMS switch to improve performance parameters.IET Circuits Devices Syst.1371093-11012019Journal Articlesclosedjournals/iet-cds/KondaveetiGKE1910.1049/IET-CDS.2019.0206https://doi.org/10.1049/iet-cds.2019.0206https://dblp.org/rec/journals/iet-cds/KondaveetiGKE19URL#2004657Tanmai KulshreshthaAnindya Sundar DharImproved VLSI architecture for triangular windowed sliding DFT based on CORDIC algorithm.IET Circuits Devices Syst.132251-2582019Journal Articlesclosedjournals/iet-cds/KulshreshthaD1910.1049/IET-CDS.2018.5110https://doi.org/10.1049/iet-cds.2018.5110https://dblp.org/rec/journals/iet-cds/KulshreshthaD19URL#2004658Arun KumarShiv BhushanPramod Kumar TiwariDrain current modelling of double gate-all-around (DGAA) MOSFETs.IET Circuits Devices Syst.134519-5252019Journal Articlesclosedjournals/iet-cds/KumarBT1910.1049/IET-CDS.2018.5201https://doi.org/10.1049/iet-cds.2018.5201https://dblp.org/rec/journals/iet-cds/KumarBT19URL#2004659Montree KumngernFabian KhatebTomasz Kulej0.5 V bulk-driven CMOS fully differential current feedback operational amplifier.IET Circuits Devices Syst.133314-3202019Journal Articlesclosedjournals/iet-cds/KumngernKK1910.1049/IET-CDS.2018.5301https://doi.org/10.1049/iet-cds.2018.5301https://dblp.org/rec/journals/iet-cds/KumngernKK19URL#2004660Brijesh Kumar KushwahaGautam RiturajPraveen Kumar 0001Pavol BauerMathematical model for the analysis of series-parallel compensated wireless power transfer system for different misalignments.IET Circuits Devices Syst.137970-9782019Journal Articlesclosedjournals/iet-cds/KushwahaRKB1910.1049/IET-CDS.2018.5044https://doi.org/10.1049/iet-cds.2018.5044https://dblp.org/rec/journals/iet-cds/KushwahaRKB19URL#2004661Minoo LabibiMahdiyeh MehranNovel liquid-based linear capacitive inclination micro-sensor with totally 360° dynamic range.IET Circuits Devices Syst.135630-6362019Journal Articlesclosedjournals/iet-cds/LabibiM1910.1049/IET-CDS.2018.5007https://doi.org/10.1049/iet-cds.2018.5007https://dblp.org/rec/journals/iet-cds/LabibiM19URL#2004662Sung-Hwan LeeIckjin KwonFast transient low-dropout regulator with undershoot and settling time reduction technique.IET Circuits Devices Syst.136783-7862019Journal Articlesclosedjournals/iet-cds/LeeK1910.1049/IET-CDS.2019.0013https://doi.org/10.1049/iet-cds.2019.0013https://dblp.org/rec/journals/iet-cds/LeeK19URL#2004663Chang-Kyo LeeSeung-Tak RyuNoise analysis of replica driving technique and its verification to 12-bit 200 MS/s pipelined ADC.IET Circuits Devices Syst.1381277-12832019Journal Articlesclosedjournals/iet-cds/LeeR1910.1049/IET-CDS.2018.5308https://doi.org/10.1049/iet-cds.2018.5308https://dblp.org/rec/journals/iet-cds/LeeR19URL#2004664Vasileios LeonSotirios XydisDimitrios SoudrisKiamal Z. PekmestziEnergy-efficient VLSI implementation of multipliers with double LSB operands.IET Circuits Devices Syst.136816-8212019Journal Articlesclosedjournals/iet-cds/LeonXSP1910.1049/IET-CDS.2018.5039https://doi.org/10.1049/iet-cds.2018.5039https://dblp.org/rec/journals/iet-cds/LeonXSP19URL#2004665Ao LiVahid MeghdadiJean-Pierre CancesChristelle Aupetit-BerthelemotHigh-throughput 2 bit low-density parity-check forward error correction for C-RAN optical fronthaul based on a hard-decision algorithm.IET Circuits Devices Syst.132111-1162019Journal Articlesclosedjournals/iet-cds/LiMCA1910.1049/IET-CDS.2018.5102https://doi.org/10.1049/iet-cds.2018.5102https://dblp.org/rec/journals/iet-cds/LiMCA19URL#2004666Yan-Ming LiJian SunXiao-Li XiZhong-Hui ChenXiao-Xiao WangXin-An DengLi QinZan ZhangChang-Bao WenNovel broken line detection circuit for multi-cells Li-ion battery protection ICs.IET Circuits Devices Syst.132226-2322019Journal Articlesclosedjournals/iet-cds/LiSXCWDQZW1910.1049/IET-CDS.2018.5228https://doi.org/10.1049/iet-cds.2018.5228https://dblp.org/rec/journals/iet-cds/LiSXCWDQZW19URL#2004667Yue LiFei Yuan 0005Sign3-LMS data-transition decision feedback equaliser.IET Circuits Devices Syst.137998-10062019Journal Articlesclosedjournals/iet-cds/LiY1910.1049/IET-CDS.2018.5279https://doi.org/10.1049/iet-cds.2018.5279https://dblp.org/rec/journals/iet-cds/LiY19URL#2004668Guishu LiangZheng QiSynthesis of passive fractional-order LC n-port with three element orders.IET Circuits Devices Syst.13161-722019Journal Articlesclosedjournals/iet-cds/LiangQ1910.1049/IET-CDS.2018.5166https://doi.org/10.1049/iet-cds.2018.5166https://dblp.org/rec/journals/iet-cds/LiangQ19URL#2004669Yang Wei LimNoor Ain KamsaniRoslina Mohd SidekShaiful Jahari HashimFakhrul Zaman RokhaniSix-track multi-finger standard cell library design for near-threshold voltage operation in 130 nm complementary metal oxide semiconductor technology.IET Circuits Devices Syst.135710-7162019Journal Articlesclosedjournals/iet-cds/LimKSHR1910.1049/IET-CDS.2018.5542https://doi.org/10.1049/iet-cds.2018.5542https://dblp.org/rec/journals/iet-cds/LimKSHR19URL#2004670Hsiung-Cheng LinKai-Chun HsiaoIntegration of DC circuit breaker and fault current limiter based on zero-voltage resonant switching approach.IET Circuits Devices Syst.133344-3512019Journal Articlesclosedjournals/iet-cds/LinH1910.1049/IET-CDS.2018.5167https://doi.org/10.1049/iet-cds.2018.5167https://dblp.org/rec/journals/iet-cds/LinH19URL#2004671Lu LiuDaiguo XuShiliu XuRapid calibration of bits weights error for high-resolution successive approximation register ADC.IET Circuits Devices Syst.133368-3732019Journal Articlesclosedjournals/iet-cds/LiuXX1910.1049/IET-CDS.2018.5220https://doi.org/10.1049/iet-cds.2018.5220https://dblp.org/rec/journals/iet-cds/LiuXX19URL#2004672Chi-Chang LuDing-Ke Huang1.2 V 10-bits 40 MS/s CMOS SAR ADC for low-power applications.IET Circuits Devices Syst.136857-8622019Journal Articlesclosedjournals/iet-cds/LuH1910.1049/IET-CDS.2018.5512https://doi.org/10.1049/iet-cds.2018.5512https://dblp.org/rec/journals/iet-cds/LuH19URL#2004673Zhonghua MaYanfeng JiangCarrier extraction cancellation circuit in RFID reader for improving the Tx-to-Rx isolation.IET Circuits Devices Syst.135622-6292019Journal Articlesclosedjournals/iet-cds/MaJ1910.1049/IET-CDS.2018.5317https://doi.org/10.1049/iet-cds.2018.5317https://dblp.org/rec/journals/iet-cds/MaJ19URL#2004674Ifana MahbubSamira ShamsirSalvatore A. PullanoAntonino S. FiorilloSyed K. IslamDesign of a charge amplifier for a low-power respiration-monitoring system.IET Circuits Devices Syst.134499-5032019Journal Articlesclosedjournals/iet-cds/MahbubSPFI1910.1049/IET-CDS.2018.5369https://doi.org/10.1049/iet-cds.2018.5369https://dblp.org/rec/journals/iet-cds/MahbubSPFI19URL#2004675Ifana MahbubSamira ShamsirSalvatore A. PullanoSyed K. IslamLow-power low-data-rate IR-UWB transmitter for paediatric apnoea monitoring system.IET Circuits Devices Syst.134494-4982019Journal Articlesclosedjournals/iet-cds/MahbubSPI1910.1049/IET-CDS.2018.5334https://doi.org/10.1049/iet-cds.2018.5334https://dblp.org/rec/journals/iet-cds/MahbubSPI19URL#2004676Kanchan Baran MajRajib KarDurbadal MandalSakti Prasad GhoshalOptimal designs of nulling resistor compensation and a robust bias-based op-amp circuit using an evolutionary approach.IET Circuits Devices Syst.135667-6782019Journal Articlesclosedjournals/iet-cds/MajKMG1910.1049/IET-CDS.2018.5514https://doi.org/10.1049/iet-cds.2018.5514https://dblp.org/rec/journals/iet-cds/MajKMG19URL#2004677Brent MaundyAhmed S. ElwakilThird-order tunable-phase asymmetric cross-coupled oscillator.IET Circuits Devices Syst.137929-9332019Journal Articlesclosedjournals/iet-cds/MaundyE1910.1049/IET-CDS.2018.5494https://doi.org/10.1049/iet-cds.2018.5494https://dblp.org/rec/journals/iet-cds/MaundyE19URL#2004678Karim MeddahMalika Kedir-TalhaMohammed BahouraHadjer ZairiFPGA-based system for heart rate monitoring.IET Circuits Devices Syst.136771-7822019Journal Articlesclosedjournals/iet-cds/MeddahKBZ1910.1049/IET-CDS.2018.5204https://doi.org/10.1049/iet-cds.2018.5204https://dblp.org/rec/journals/iet-cds/MeddahKBZ19URL#2004679Alejandro Medina-SantiagoMario Alfredo Reyes-BarrancaIgnacio Algredo-BadilloAlfonso Martinez CruzKelsey A. Ramírez-GutiérrezEleazar Adrián Cortés-BarrónReconfigurable arithmetic logic unit designed with threshold logic gates.IET Circuits Devices Syst.13121-302019Journal Articlesclosedjournals/iet-cds/Medina-Santiago1910.1049/IET-CDS.2018.0046https://doi.org/10.1049/iet-cds.2018.0046https://dblp.org/rec/journals/iet-cds/Medina-Santiago19URL#2004680Intissar MoussaAdel BouallegueAdel KhedherNew wind turbine emulator based on DC machine: hardware implementation using FPGA board for an open-loop operation.IET Circuits Devices Syst.136896-9022019Journal Articlesclosedjournals/iet-cds/MoussaBK1910.1049/IET-CDS.2018.5530https://doi.org/10.1049/iet-cds.2018.5530https://dblp.org/rec/journals/iet-cds/MoussaBK19URL#2004681Seema NarwalSudakar Singh ChauhanPerformance investigation of electrode work-function engineered hetero-dielectric buried oxide vertical TFET.IET Circuits Devices Syst.1371027-10312019Journal Articlesclosedjournals/iet-cds/NarwalC1910.1049/IET-CDS.2018.5111https://doi.org/10.1049/iet-cds.2018.5111https://dblp.org/rec/journals/iet-cds/NarwalC19URL#2004682Shubham NegiPoornima MittalBrijesh KumarAnalytical modelling and parameters extraction of multilayered OLED.IET Circuits Devices Syst.1381255-12612019Journal Articlesclosedjournals/iet-cds/NegiMK1910.1049/IET-CDS.2019.0164https://doi.org/10.1049/iet-cds.2019.0164https://dblp.org/rec/journals/iet-cds/NegiMK19URL#2004683Maryam NobakhtRahebeh Niaraki AsliA new 7T SRAM cell in sub-threshold region with a high performance and small area with bit interleaving capability.IET Circuits Devices Syst.136873-8782019Journal Articlesclosedjournals/iet-cds/NobakhtA1910.1049/IET-CDS.2018.5193https://doi.org/10.1049/iet-cds.2018.5193https://dblp.org/rec/journals/iet-cds/NobakhtA19URL#2004684Mojtaba NoorallahzadehMohammad MoslehEfficient designs of reversible latches with low quantum cost.IET Circuits Devices Syst.136806-8152019Journal Articlesclosedjournals/iet-cds/NoorallahzadehM1910.1049/IET-CDS.2018.5240https://doi.org/10.1049/iet-cds.2018.5240https://dblp.org/rec/journals/iet-cds/NoorallahzadehM19URL#2004685Bulent Nafi ÖrnekTimur DuzenliOn boundary analysis for derivative of driving point impedance functions and its circuit applications.IET Circuits Devices Syst.132145-1522019Journal Articlesclosedjournals/iet-cds/OrnekD1910.1049/IET-CDS.2018.5123https://doi.org/10.1049/iet-cds.2018.5123https://dblp.org/rec/journals/iet-cds/OrnekD19URL#2004686Dmitry OshmarinFarshad YazdiMohamed A. K. OthmanJeff T. SloanMohammad RadfarMichael M. GreenFilippo CapolinoNew oscillator concept based on band edge degeneracy in lumped double-ladder circuits.IET Circuits Devices Syst.137950-9572019Journal Articlesclosedjournals/iet-cds/OshmarinYOSRGC1910.1049/IET-CDS.2018.5048https://doi.org/10.1049/iet-cds.2018.5048https://dblp.org/rec/journals/iet-cds/OshmarinYOSRGC19URL#2004687Piotr J. OsuchTinus StanderHigh-Q second-order all-pass delay network in CMOS.IET Circuits Devices Syst.132153-1622019Journal Articlesclosedjournals/iet-cds/OsuchS1910.1049/IET-CDS.2018.5252https://doi.org/10.1049/iet-cds.2018.5252https://dblp.org/rec/journals/iet-cds/OsuchS19URL#2004688Soumitra Pal 0002Vivek Gupta 0006Wing-Hung KiAminul Islam 0002Design and development of memristor-based RRAM.IET Circuits Devices Syst.134548-5572019Journal Articlesclosedjournals/iet-cds/PalGKI1910.1049/IET-CDS.2018.5388https://doi.org/10.1049/iet-cds.2018.5388https://dblp.org/rec/journals/iet-cds/PalGKI19URL#2004689Soumitra Pal 0002Vivek Gupta 0006Wing-Hung KiAminul Islam 0002Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications.IET Circuits Devices Syst.135584-5952019Journal Articlesclosedjournals/iet-cds/PalGKI19a10.1049/IET-CDS.2018.5283https://doi.org/10.1049/iet-cds.2018.5283https://dblp.org/rec/journals/iet-cds/PalGKI19aURL#2004690Dongfang PanZongming DaunLiguo SunPing GuiCompact and high-linearity 77 GHz CMOS receiver front-end for automotive radar.IET Circuits Devices Syst.1381203-12082019Journal Articlesclosedjournals/iet-cds/PanDSG1910.1049/IET-CDS.2018.5601https://doi.org/10.1049/iet-cds.2018.5601https://dblp.org/rec/journals/iet-cds/PanDSG19URL#2004691Madhusmita PandaSantosh Kumar PatnaikAshis Kumar MalSumalya GhoshFast and optimised design of a differential VCO using symbolic technique and multi objective algorithms.IET Circuits Devices Syst.1381187-11952019Journal Articlesclosedjournals/iet-cds/PandaPMG1910.1049/IET-CDS.2018.5617https://doi.org/10.1049/iet-cds.2018.5617https://dblp.org/rec/journals/iet-cds/PandaPMG19URL#2004692Jai Gopal PandeyTarun GoelAbhijit KarmakarHardware architectures for PRESENT block cipher and their FPGA implementations.IET Circuits Devices Syst.137958-9692019Journal Articlesclosedjournals/iet-cds/PandeyGK1910.1049/IET-CDS.2018.5273https://doi.org/10.1049/iet-cds.2018.5273https://dblp.org/rec/journals/iet-cds/PandeyGK19URL#2004693Ghasem PasandiKolsoom MehrabiBehzad EbrahimiSied Mehdi FakhraeiAli Afzali-KushaMassoud PedramLow-power data encoding/decoding for energy-efficient static random access memory design.IET Circuits Devices Syst.1381152-11592019Journal Articlesclosedjournals/iet-cds/PasandiMEFAP1910.1049/IET-CDS.2018.5564https://doi.org/10.1049/iet-cds.2018.5564https://dblp.org/rec/journals/iet-cds/PasandiMEFAP19URL#2004694Pramod PataliShahana Thottathikkulam KassimHigh throughput FIR filter architectures using retiming and modified CSLA based adders.IET Circuits Devices Syst.1371007-10172019Journal Articlesclosedjournals/iet-cds/PataliK1910.1049/IET-CDS.2019.0130https://doi.org/10.1049/iet-cds.2019.0130https://dblp.org/rec/journals/iet-cds/PataliK19URL#2004695Jay PathakAnand D. DarjiAssessment of interface traps in In0.53Ga0.47As FinFET with gate-to-source/drain underlap for sub-14 nm technology node to impede short channel effect.IET Circuits Devices Syst.134428-4342019Journal Articlesclosedjournals/iet-cds/PathakD1910.1049/IET-CDS.2018.5319https://doi.org/10.1049/iet-cds.2018.5319https://dblp.org/rec/journals/iet-cds/PathakD19URL#2004696Predrag Bosko PetrovicTunable flux-controlled floating memristor emulator circuits.IET Circuits Devices Syst.134479-4862019Journal Articlesclosedjournals/iet-cds/Petrovic1910.1049/IET-CDS.2018.5475https://doi.org/10.1049/iet-cds.2018.5475https://dblp.org/rec/journals/iet-cds/Petrovic19URL#2004697Jayesh PopatUsha MehtaStatistical security analysis of AES with X-tolerant response compactor against all types of test infrastructure attacks with/without novel unified countermeasure.IET Circuits Devices Syst.1381117-11242019Journal Articlesclosedjournals/iet-cds/PopatM1910.1049/IET-CDS.2019.0083https://doi.org/10.1049/iet-cds.2019.0083https://dblp.org/rec/journals/iet-cds/PopatM19URL#2004698Aditya Kumar Singh PundirNovel modified memory built in self-repair (MMBISR) for SRAM using hybrid redundancy-analysis technique.IET Circuits Devices Syst.136836-8422019Journal Articlesclosedjournals/iet-cds/Pundir1910.1049/IET-CDS.2018.5218https://doi.org/10.1049/iet-cds.2018.5218https://dblp.org/rec/journals/iet-cds/Pundir19URL#2004699Zhen-Zhong QiYao-Lin JiangZhi-Hua XiaoStructure-preserved MOR method for coupled systems via orthogonal polynomials and Arnoldi algorithm.IET Circuits Devices Syst.136879-8872019Journal Articlesclosedjournals/iet-cds/QiJX1910.1049/IET-CDS.2018.5076https://doi.org/10.1049/iet-cds.2018.5076https://dblp.org/rec/journals/iet-cds/QiJX19URL#2004700Yongtao QiuJie ZhouYoujiang LiuGuifu ZhangYinong LiuNovel adaptive blind calibration technique of time-skew mismatches for any channel time-interleaved analogue-to-digital converters.IET Circuits Devices Syst.136830-8352019Journal Articlesclosedjournals/iet-cds/QiuZLZL1910.1049/IET-CDS.2018.5560https://doi.org/10.1049/iet-cds.2018.5560https://dblp.org/rec/journals/iet-cds/QiuZLZL19URL#2004701Srinivasan RaghavendranMangalanathan UmapathyUma GandhiLakshmi Ravikularaman KarlmarxBridgeless active rectifier for piezoelectric energy harvesting.IET Circuits Devices Syst.1371078-10852019Journal Articlesclosedjournals/iet-cds/RaghavendranUGK1910.1049/IET-CDS.2018.5576https://doi.org/10.1049/iet-cds.2018.5576https://dblp.org/rec/journals/iet-cds/RaghavendranUGK19URL#2004702Giri Prasad RamanVenkatesan PerumalNeuro-fuzzy based two-stage spectrum allocation scheme to ensure spectrum efficiency in CRN-CSS assisted by spectrum agent.IET Circuits Devices Syst.135637-6462019Journal Articlesclosedjournals/iet-cds/RamanP1910.1049/IET-CDS.2018.5128https://doi.org/10.1049/iet-cds.2018.5128https://dblp.org/rec/journals/iet-cds/RamanP19URL#2004703Rajeev Kumar Ranjan 0002Surendra SagarSubrato RoushanBharti KumariNishtha RaniFabian KhatebHigh-frequency floating memristor emulator and its experimental results.IET Circuits Devices Syst.133292-3022019Journal Articlesclosedjournals/iet-cds/RanjanSRKRK1910.1049/IET-CDS.2018.5191https://doi.org/10.1049/iet-cds.2018.5191https://dblp.org/rec/journals/iet-cds/RanjanSRKRK19URL#2004704Bahram RashidiEfficient and high-throughput application-specific integrated circuit implementations of HIGHT and PRESENT block ciphers.IET Circuits Devices Syst.136731-7402019Journal Articlesclosedjournals/iet-cds/Rashidi1910.1049/IET-CDS.2018.5457https://doi.org/10.1049/iet-cds.2018.5457https://dblp.org/rec/journals/iet-cds/Rashidi19URL#2004705Ramin RazmdidehMohsen SaneeiAll-digital delay line-based time difference amplifier in 65 nm CMOS technology.IET Circuits Devices Syst.134421-4272019Journal Articlesclosedjournals/iet-cds/RazmdidehS1910.1049/IET-CDS.2018.5304https://doi.org/10.1049/iet-cds.2018.5304https://dblp.org/rec/journals/iet-cds/RazmdidehS19URL#2004706M. K. Jayaram ReddyTonse LaxminidhiWidely tunable low-pass gm - C filter for biomedical applications.IET Circuits Devices Syst.132239-2442019Journal Articlesclosedjournals/iet-cds/ReddyL1910.1049/IET-CDS.2018.5002https://doi.org/10.1049/iet-cds.2018.5002https://dblp.org/rec/journals/iet-cds/ReddyL19URL#2004707Jayaram Reddy Machha Krishna ReddyTonse Laxminidhi1.8 V, 25.9 nW, 91.86 dB dynamic range second-order lowpass filter tunable in the range 4-100 Hz.IET Circuits Devices Syst.1371086-10922019Journal Articlesclosedjournals/iet-cds/ReddyL19a10.1049/IET-CDS.2019.0031https://doi.org/10.1049/iet-cds.2019.0031https://dblp.org/rec/journals/iet-cds/ReddyL19aURL#2004708Arash RezaeiBahram Azizollah-GanjiMorteza GholipourNanoscale field effect diode (FED) with improved speed and I ON/I OFF ratio.IET Circuits Devices Syst.133309-3132019Journal Articlesclosedjournals/iet-cds/RezaeiAG1910.1049/IET-CDS.2018.5210https://doi.org/10.1049/iet-cds.2018.5210https://dblp.org/rec/journals/iet-cds/RezaeiAG19URL#2004709A. Andrew RoobertD. Gracia Nirmala RaniS. Rajaram 0001Design and optimisation of feedforward noise cancelling complementary metal oxide semiconductor LNA for 2.4 GHz WLAN applications.IET Circuits Devices Syst.136908-9192019Journal Articlesclosedjournals/iet-cds/RoobertRR1910.1049/IET-CDS.2018.5291https://doi.org/10.1049/iet-cds.2018.5291https://dblp.org/rec/journals/iet-cds/RoobertRR19URL#2004710Debapriya RoyAbhijit BiswasEffects of asymmetric underlap spacers on nanoscale junctionless transistors and design of optimised CMOS amplifiers.IET Circuits Devices Syst.134510-5182019Journal Articlesclosedjournals/iet-cds/RoyB1910.1049/IET-CDS.2018.5557https://doi.org/10.1049/iet-cds.2018.5557https://dblp.org/rec/journals/iet-cds/RoyB19URL#2004711Swarnil RoySagar MukherjeeArka DuttaChandan Kumar SarkarChayanika BoseCircuit performance analysis of graded doping of channel of DGMOS with high-k gate stack for analogue and digital application.IET Circuits Devices Syst.133337-3432019Journal Articlesclosedjournals/iet-cds/RoyMDSB1910.1049/IET-CDS.2018.5199https://doi.org/10.1049/iet-cds.2018.5199https://dblp.org/rec/journals/iet-cds/RoyMDSB19URL#2004712S. RekhaVasantha Moodabettu HarishchandraTonse LaxminidhiUltra-low voltage, power efficient continuous-time filters in 180 nm CMOS technology.IET Circuits Devices Syst.137988-9972019Journal Articlesclosedjournals/iet-cds/SHL1910.1049/IET-CDS.2018.5485https://doi.org/10.1049/iet-cds.2018.5485https://dblp.org/rec/journals/iet-cds/SHL19URL#2004713Malek SafiehJürgen FreudenbergerPipelined decoder for the limited context order Burrows-Wheeler transformation.IET Circuits Devices Syst.13131-382019Journal Articlesclosedjournals/iet-cds/SafiehF1910.1049/IET-CDS.2017.0496https://doi.org/10.1049/iet-cds.2017.0496https://dblp.org/rec/journals/iet-cds/SafiehF19URL#2004714Malek SafiehJürgen FreudenbergerEfficient VLSI architecture for the parallel dictionary LZW data compression algorithm.IET Circuits Devices Syst.135576-5832019Journal Articlesclosedjournals/iet-cds/SafiehF19a10.1049/IET-CDS.2018.5017https://doi.org/10.1049/iet-cds.2018.5017https://dblp.org/rec/journals/iet-cds/SafiehF19aURL#2004715Dalvir K. SainiAgasthya AyachitMarian K. KazimierczukDesign and characterisation of single-layer solenoid air-core inductors.IET Circuits Devices Syst.132211-2182019Journal Articlesclosedjournals/iet-cds/SainiAK1910.1049/IET-CDS.2018.5082https://doi.org/10.1049/iet-cds.2018.5082https://dblp.org/rec/journals/iet-cds/SainiAK19URL#2004716Harjap SainiAnu GuptaConstant power consumption design of novel differential logic gate for immunity against differential power analysis.IET Circuits Devices Syst.131103-1092019Journal Articlesclosedjournals/iet-cds/SainiG1910.1049/IET-CDS.2018.5093https://doi.org/10.1049/iet-cds.2018.5093https://dblp.org/rec/journals/iet-cds/SainiG19URL#2004717Aouissi SamihaMohamed BenouaretHarize SalihaDFT processor implementation scheme based on Rader algorithm.IET Circuits Devices Syst.133385-3902019Journal Articlesclosedjournals/iet-cds/SamihaMS1910.1049/IET-CDS.2018.5200https://doi.org/10.1049/iet-cds.2018.5200https://dblp.org/rec/journals/iet-cds/SamihaMS19URL#2004718Kishore SanapalaRamachandran SakthivelUltra-low-voltage GDI-based hybrid full adder design for area and energy-efficient computing systems.IET Circuits Devices Syst.134465-4702019Journal Articlesclosedjournals/iet-cds/SanapalaS1910.1049/IET-CDS.2018.5559https://doi.org/10.1049/iet-cds.2018.5559https://dblp.org/rec/journals/iet-cds/SanapalaS19URL#2004719Arnaldo del Risco SánchezRobson L. MorenoLuis Henrique de Carvalho FerreiraPaulo César CrepaldiBiasing technique to improve total harmonic distortion in an ultra-low-power operational transconductance amplifier.IET Circuits Devices Syst.136920-9272019Journal Articlesclosedjournals/iet-cds/SanchezMFC1910.1049/IET-CDS.2019.0104https://doi.org/10.1049/iet-cds.2019.0104https://dblp.org/rec/journals/iet-cds/SanchezMFC19URL#2004720Piratla Uma SathyakamPartha Sharathi MallickAnmol Ajay SaxenaHigh-speed sub-threshold operation of carbon nanotube interconnects.IET Circuits Devices Syst.134526-5332019Journal Articlesclosedjournals/iet-cds/SathyakamMS1910.1049/IET-CDS.2018.5118https://doi.org/10.1049/iet-cds.2018.5118https://dblp.org/rec/journals/iet-cds/SathyakamMS19URL#2004721Soumyajit SethObservation of robust chaos in 3D electronic system.IET Circuits Devices Syst.134558-5642019Journal Articlesclosedjournals/iet-cds/Seth1910.1049/IET-CDS.2018.5544https://doi.org/10.1049/iet-cds.2018.5544https://dblp.org/rec/journals/iet-cds/Seth19URL#2004722Priti ShahaneNarayan PisharotyModified X-Y routing for mesh topology based NoC router on field programmable gate array.IET Circuits Devices Syst.133391-3982019Journal Articlesclosedjournals/iet-cds/ShahaneP1910.1049/IET-CDS.2018.5356https://doi.org/10.1049/iet-cds.2018.5356https://dblp.org/rec/journals/iet-cds/ShahaneP19URL#2004723Iraj SheikhianFoad SharafiImproved nanoscale field effect diode.IET Circuits Devices Syst.134544-5472019Journal Articlesclosedjournals/iet-cds/SheikhianS1910.1049/IET-CDS.2018.5138https://doi.org/10.1049/iet-cds.2018.5138https://dblp.org/rec/journals/iet-cds/SheikhianS19URL#2004724Saeide SheikhpourAli Mahani 0001Nasour BagheriPractical fault resilient hardware implementations of AES.IET Circuits Devices Syst.135596-6062019Journal Articlesclosedjournals/iet-cds/SheikhpourMB1910.1049/IET-CDS.2018.5235https://doi.org/10.1049/iet-cds.2018.5235https://dblp.org/rec/journals/iet-cds/SheikhpourMB19URL#2004725Aadil Tahir ShoraFarooq Ahmad KhandayAnalytical modelling and performance analysis of gate- and channel-engineered trapezoidal trigate MOSFET.IET Circuits Devices Syst.1381107-11162019Journal Articlesclosedjournals/iet-cds/ShoraK1910.1049/IET-CDS.2018.5302https://doi.org/10.1049/iet-cds.2018.5302https://dblp.org/rec/journals/iet-cds/ShoraK19URL#2004726Ali Kazemi Nasaban ShotorbanKian JafariKambiz AbediOptical MEMS accelerometer sensor relying on a micro-ring resonator and an elliptical disk.IET Circuits Devices Syst.1371102-11062019Journal Articlesclosedjournals/iet-cds/ShotorbanJA1910.1049/IET-CDS.2019.0029https://doi.org/10.1049/iet-cds.2019.0029https://dblp.org/rec/journals/iet-cds/ShotorbanJA19URL#2004727Mohammed ShoukryFayez GebaliPanajotis AgathoklisSystolic array design space exploration of interpolators for multi-rate systems.IET Circuits Devices Syst.1371032-10382019Journal Articlesclosedjournals/iet-cds/ShoukryGA1910.1049/IET-CDS.2018.5491https://doi.org/10.1049/iet-cds.2018.5491https://dblp.org/rec/journals/iet-cds/ShoukryGA19URL#2004728Mohammed ShoukryFayez GebaliPanajotis AgathoklisDecimator systolic arrays design space exploration for multirate signal processing applications.IET Circuits Devices Syst.1381232-12402019Journal Articlesclosedjournals/iet-cds/ShoukryGA19a10.1049/IET-CDS.2019.0077https://doi.org/10.1049/iet-cds.2019.0077https://dblp.org/rec/journals/iet-cds/ShoukryGA19aURL#2004729Avtar SinghSaurabh ChaudhuryChandan Kumar PandeySavitesh Madhulika SharmaChandan Kumar SarkarDesign and analysis of high k silicon nanotube tunnel FET device.IET Circuits Devices Syst.1381305-13102019Journal Articlesclosedjournals/iet-cds/SinghCPSS1910.1049/IET-CDS.2019.0230https://doi.org/10.1049/iet-cds.2019.0230https://dblp.org/rec/journals/iet-cds/SinghCPSS19URL#2004730Ashutosh Kumar Singh 0001Hari Mohan GaurUmesh GhanekarFault detection in multiple controlled Fredkin circuits.IET Circuits Devices Syst.135723-7292019Journal Articlesclosedjournals/iet-cds/SinghGG1910.1049/IET-CDS.2018.5245https://doi.org/10.1049/iet-cds.2018.5245https://dblp.org/rec/journals/iet-cds/SinghGG19URL#2004731Sangeeta SinghRuchir SinhaPravin Neminath KondekarImpact of PZT gate-stack induced negative capacitance on analogue/RF figures-of-merits of electrostatically-doped ferroelectric Schottky-barrier tunnel FET.IET Circuits Devices Syst.134435-4412019Journal Articlesclosedjournals/iet-cds/SinghSK1910.1049/IET-CDS.2018.5276https://doi.org/10.1049/iet-cds.2018.5276https://dblp.org/rec/journals/iet-cds/SinghSK19URL#2004732Vivekanandan SubburajAinur ZhaikhanDebashisha JenaParthiban PerumalYerzhan MustafaAlex RudermanInvestigation of a family of dual-output coupled/decoupled switched capacitor converter for low-power applications.IET Circuits Devices Syst.133352-3602019Journal Articlesclosedjournals/iet-cds/SubburajZJPMR1910.1049/IET-CDS.2018.5419https://doi.org/10.1049/iet-cds.2018.5419https://dblp.org/rec/journals/iet-cds/SubburajZJPMR19URL#2004733Sepehr TabrizchiMohammadReza TaheriKeivan NaviNader BagherzadehNovel CNFET ternary circuit techniques for high-performance and energy-efficient design.IET Circuits Devices Syst.132193-2022019Journal Articlesclosedjournals/iet-cds/TabrizchiTNB1910.1049/IET-CDS.2018.5036https://doi.org/10.1049/iet-cds.2018.5036https://dblp.org/rec/journals/iet-cds/TabrizchiTNB19URL#2004734Mariammal ThirumeniDeepa ThangavelusamyDesign and analysis of hybrid PSO-GSA tuned PI and SMC controller for DC-DC Cuk converter.IET Circuits Devices Syst.133374-3842019Journal Articlesclosedjournals/iet-cds/ThirumeniT1910.1049/IET-CDS.2018.5164https://doi.org/10.1049/iet-cds.2018.5164https://dblp.org/rec/journals/iet-cds/ThirumeniT19URL#2004735Manoj Kumar TiwariNeeta PandeySajal K. PaulSaiyid Mohammad Irshad RizviProgrammable CCCII: reliability analysis and design methodology.IET Circuits Devices Syst.134487-4932019Journal Articlesclosedjournals/iet-cds/TiwariPPR1910.1049/IET-CDS.2018.5165https://doi.org/10.1049/iet-cds.2018.5165https://dblp.org/rec/journals/iet-cds/TiwariPPR19URL#2004736Ridvan UmazLei Wang 0003Integrated power converter design for bioturbation resilience in multi-anode microbial fuel cells.IET Circuits Devices Syst.1381142-11512019Journal Articlesclosedjournals/iet-cds/UmazW1910.1049/IET-CDS.2018.5566https://doi.org/10.1049/iet-cds.2018.5566https://dblp.org/rec/journals/iet-cds/UmazW19URL#2004737Daniel ValenciaAmirhossein AlimohammadCompact and high-throughput parameterisable architectures for memory-based FFT algorithms.IET Circuits Devices Syst.135696-7032019Journal Articlesclosedjournals/iet-cds/ValenciaA1910.1049/IET-CDS.2018.5556https://doi.org/10.1049/iet-cds.2018.5556https://dblp.org/rec/journals/iet-cds/ValenciaA19URL#2004738Fayu WanLei WangQizheng JiBlaise RaveloCanonical transfer function of band-pass NGD circuit.IET Circuits Devices Syst.132125-1302019Journal Articlesclosedjournals/iet-cds/WanWJR1910.1049/IET-CDS.2018.5214https://doi.org/10.1049/iet-cds.2018.5214https://dblp.org/rec/journals/iet-cds/WanWJR19URL#2004739Hongyi Wang 0010Youyou FanChen ChenTao TaoZeyu QiaoNovel estimation solution on lithium-ion battery state of charge with current-free detection algorithm.IET Circuits Devices Syst.132245-2492019Journal Articlesclosedjournals/iet-cds/WangFCTQ1910.1049/IET-CDS.2018.5406https://doi.org/10.1049/iet-cds.2018.5406https://dblp.org/rec/journals/iet-cds/WangFCTQ19URL#2004740Ziren WangJinchun GaoGeorge T. FlowersLingyu BiGang Xie 0002Yilin ZhouInvestigation of the improvement of signal integrity in electrical circuits with degraded contacts using differential transmission.IET Circuits Devices Syst.133303-3082019Journal Articlesclosedjournals/iet-cds/WangGFBXZ1910.1049/IET-CDS.2018.5178https://doi.org/10.1049/iet-cds.2018.5178https://dblp.org/rec/journals/iet-cds/WangGFBXZ19URL#2004741Xiaoyan WangXiaobo XuHuifeng WangAnalytical model for uniaxial strained Si inversion layer electron effective mobility.IET Circuits Devices Syst.133414-4192019Journal Articlesclosedjournals/iet-cds/WangXW1910.1049/IET-CDS.2018.5170https://doi.org/10.1049/iet-cds.2018.5170https://dblp.org/rec/journals/iet-cds/WangXW19URL#2004742Yu Wang 0136Donghoon YeoHyunchul ShinEffective datapath logic extraction techniques using connection vectors.IET Circuits Devices Syst.136741-7472019Journal Articlesclosedjournals/iet-cds/WangYS1910.1049/IET-CDS.2018.5083https://doi.org/10.1049/iet-cds.2018.5083https://dblp.org/rec/journals/iet-cds/WangYS19URL#2004743Sen WangYing YangWenbin SongHuanqing CuiCheng LiLi CaiAll-spin logic XOR gate implementation based on input interface.IET Circuits Devices Syst.135607-6132019Journal Articlesclosedjournals/iet-cds/WangYSCLC1910.1049/IET-CDS.2018.5187https://doi.org/10.1049/iet-cds.2018.5187https://dblp.org/rec/journals/iet-cds/WangYSCLC19URL#2004744Chuang Wang 0004Lvchen ZhouZunchao LiSurvey of switch fault diagnosis for modular multilevel converter.IET Circuits Devices Syst.132117-1242019Journal Articlesclosedjournals/iet-cds/WangZL1910.1049/IET-CDS.2018.5136https://doi.org/10.1049/iet-cds.2018.5136https://dblp.org/rec/journals/iet-cds/WangZL19URL#2004745Kechuan WuXiaoping Wang 0001Enhanced memristor-based MNNs performance on noisy dataset resulting from memristive stochasticity.IET Circuits Devices Syst.135704-7092019Journal Articlesclosedjournals/iet-cds/WuW1910.1049/IET-CDS.2018.5532https://doi.org/10.1049/iet-cds.2018.5532https://dblp.org/rec/journals/iet-cds/WuW19URL#2004746Xianlei XuTian Xia 0005Zheng MaDryver HustonIntegrated synchronous data acquisition subsystem for high-speed GPR system.IET Circuits Devices Syst.1371049-10552019Journal Articlesclosedjournals/iet-cds/XuXMH1910.1049/IET-CDS.2018.5113https://doi.org/10.1049/iet-cds.2018.5113https://dblp.org/rec/journals/iet-cds/XuXMH19URL#2004747Hüseyin YesilyurtHaci BodurNew active snubber cell for high power isolated PWM DC-DC converters.IET Circuits Devices Syst.136822-8292019Journal Articlesclosedjournals/iet-cds/YesilyurtB1910.1049/IET-CDS.2018.5531https://doi.org/10.1049/iet-cds.2018.5531https://dblp.org/rec/journals/iet-cds/YesilyurtB19URL#2004748Weize YuHardware Trojan attacks on voltage scaling-based side-channel attack countermeasure.IET Circuits Devices Syst.133321-3262019Journal Articlesclosedjournals/iet-cds/Yu1910.1049/IET-CDS.2018.5087https://doi.org/10.1049/iet-cds.2018.5087https://dblp.org/rec/journals/iet-cds/Yu19URL#2004749Jinbao Zhang 0002Ning WuJianhua LiFang Zhou 0001A novel differential fault analysis using two-byte fault model on AES Key schedule.IET Circuits Devices Syst.135661-6662019Journal Articlesclosedjournals/iet-cds/ZhangWLZ1910.1049/IET-CDS.2018.5428https://doi.org/10.1049/iet-cds.2018.5428https://dblp.org/rec/journals/iet-cds/ZhangWLZ19URL#2004750Zhao Zhang 0004Jincheng YangLiyuan LiuNan QiPeng Feng 0001Jian Liu 0021Nanjian Wu0.1-5 GHz wideband ΔΣ fractional-N frequency synthesiser for software-defined radio application.IET Circuits Devices Syst.1371071-10772019Journal Articlesclosedjournals/iet-cds/ZhangYLQFLW1910.1049/IET-CDS.2018.5271https://doi.org/10.1049/iet-cds.2018.5271https://dblp.org/rec/journals/iet-cds/ZhangYLQFLW19URL#2004751Jie Zhang 0039Hong Zhang 0009Bo YangRuizhi Zhang 0002Joint background calibration of gain and timing mismatch errors with low hardware cost for time-interleaved ADCs.IET Circuits Devices Syst.132203-2102019Journal Articlesclosedjournals/iet-cds/ZhangZYZ1910.1049/IET-CDS.2018.5194https://doi.org/10.1049/iet-cds.2018.5194https://dblp.org/rec/journals/iet-cds/ZhangZYZ19URL#2004752Weibin ZhuShengjin YeYao HuangZi XueDesign of a precise subdivision system for gratings using a modified CORDIC algorithm.IET Circuits Devices Syst.1381284-12912019Journal Articlesclosedjournals/iet-cds/ZhuYHX1910.1049/IET-CDS.2019.0150https://doi.org/10.1049/iet-cds.2019.0150https://dblp.org/rec/journals/iet-cds/ZhuYHX19URL#2004753Subhankar AddyaSabitabrata DeySanjoy MandalPerformance study of optical resonator-based filter architectures.IET Circuits Devices Syst.126802-8092018Journal Articlesclosedjournals/iet-cds/AddyaDM1810.1049/IET-CDS.2018.0087https://doi.org/10.1049/iet-cds.2018.0087https://dblp.org/rec/journals/iet-cds/AddyaDM18URL#2412469Seyed Mohamad Taghi AdlMohammad MirzaeiSiamak MohammadiElastic buffer evaluation for link pipelining under process variation.IET Circuits Devices Syst.125645-6542018Journal Articlesclosedjournals/iet-cds/AdlMM1810.1049/IET-CDS.2017.0394https://doi.org/10.1049/iet-cds.2017.0394https://dblp.org/rec/journals/iet-cds/AdlMM18URL#2412470Seyed Mahmoud AnishehHossein ShamsiPlacement and routing method for analogue layout generation using modified cuckoo optimisation algorithm.IET Circuits Devices Syst.125532-5412018Journal Articlesclosedjournals/iet-cds/AnishehS1810.1049/IET-CDS.2017.0111https://doi.org/10.1049/iet-cds.2017.0111https://dblp.org/rec/journals/iet-cds/AnishehS18URL#2412471Pritha Banerjee 0002Priyanka SahaSubir Kumar SarkarAnalytical modelling and performance analysis of gate engineered TG silicon-on-nothing metal-oxide-semiconductor field-effect transistor.IET Circuits Devices Syst.125557-5622018Journal Articlesclosedjournals/iet-cds/BanerjeeSS1810.1049/IET-CDS.2017.0473https://doi.org/10.1049/iet-cds.2017.0473https://dblp.org/rec/journals/iet-cds/BanerjeeSS18URL#2412472Anush BekalBharathi MathyarasaManish GoswamiZhou ZhaoAshok SrivatsavaSix-bit, reusable comparator stage-based asynchronous binary-search SAR ADC using smart switching network.IET Circuits Devices Syst.121124-1312018Journal Articlesclosedjournals/iet-cds/BekalMGZS1810.1049/IET-CDS.2016.0499https://doi.org/10.1049/iet-cds.2016.0499https://dblp.org/rec/journals/iet-cds/BekalMGZS18URL#2412473Mohamed Ali BelaïdSymptom reliability: S-parameters evaluation of power laterally diffused-metal-oxide-semiconductor field-effect transistor after pulsed-RF life tests for a radar application.IET Circuits Devices Syst.125571-5782018Journal Articlesclosedjournals/iet-cds/Belaid1810.1049/IET-CDS.2018.0005https://doi.org/10.1049/iet-cds.2018.0005https://dblp.org/rec/journals/iet-cds/Belaid18URL#2412474Md. Aref BillahaMukul K. DasSubindu KumarEffect of doping on the performance of multiple quantum well infrared photodetector.IET Circuits Devices Syst.125551-5562018Journal Articlesclosedjournals/iet-cds/BillahaDK1810.1049/IET-CDS.2017.0011https://doi.org/10.1049/iet-cds.2017.0011https://dblp.org/rec/journals/iet-cds/BillahaDK18URL#2412475Alina CaddemiEmanuele CardilloGiovanni CrupiLight activation of noise at microwave frequencies: a study on scaled gallium arsenide HEMT's.IET Circuits Devices Syst.123242-2482018Journal Articlesclosedjournals/iet-cds/CaddemiCC1810.1049/IET-CDS.2017.0290https://doi.org/10.1049/iet-cds.2017.0290https://dblp.org/rec/journals/iet-cds/CaddemiCC18URL#2412476Manash ChandaSandipta MalAkash MondalChandan Kumar SarkarDesign and analysis of a logic model for ultra-low power near threshold adiabatic computing.IET Circuits Devices Syst.124439-4462018Journal Articlesclosedjournals/iet-cds/ChandaMMS1810.1049/IET-CDS.2017.0386https://doi.org/10.1049/iet-cds.2017.0386https://dblp.org/rec/journals/iet-cds/ChandaMMS18URL#2412477Chia-Ling Lynn ChangCharles H.-P. WenAccurate performance evaluation of VLSI designs with selected CMOS process parameters.IET Circuits Devices Syst.121116-1232018Journal Articlesclosedjournals/iet-cds/ChangW1810.1049/IET-CDS.2017.0097https://doi.org/10.1049/iet-cds.2017.0097https://dblp.org/rec/journals/iet-cds/ChangW18URL#2412478Bhartendu ChaturvediAtul KumarNovel CMOS MO-CFDITA based fully electronically controlled square/triangular wave generator with adjustable duty cycle.IET Circuits Devices Syst.126817-8262018Journal Articlesclosedjournals/iet-cds/ChaturvediK1810.1049/IET-CDS.2017.0553https://doi.org/10.1049/iet-cds.2017.0553https://dblp.org/rec/journals/iet-cds/ChaturvediK18URL#2412479Jing ChenShukai DuanZhekang DongLidan Wang 0001Spintronic memristor synapse and its RWC learning algorithm.IET Circuits Devices Syst.125579-5882018Journal Articlesclosedjournals/iet-cds/ChenDDW1810.1049/IET-CDS.2017.0427https://doi.org/10.1049/iet-cds.2017.0427https://dblp.org/rec/journals/iet-cds/ChenDDW18URL#2412480Yen-Sheng ChenPo-An LiuBroadband reconfigurable matching network using a non-uniform transmission line.IET Circuits Devices Syst.125615-6192018Journal Articlesclosedjournals/iet-cds/ChenL1810.1049/IET-CDS.2017.0512https://doi.org/10.1049/iet-cds.2017.0512https://dblp.org/rec/journals/iet-cds/ChenL18URL#2412481Hyun-Sik ChoiDownscaling AsTeGeSiN threshold switching devices for high-density 3D memories.IET Circuits Devices Syst.125620-6232018Journal Articlesclosedjournals/iet-cds/Choi1810.1049/IET-CDS.2017.0459https://doi.org/10.1049/iet-cds.2017.0459https://dblp.org/rec/journals/iet-cds/Choi18URL#2412482Swapan DasChandan Kumar SarkarSunipa RoyDevelopment of integrated microsystem for hydrogen gas detection.IET Circuits Devices Syst.124453-4592018Journal Articlesclosedjournals/iet-cds/DasSR1810.1049/IET-CDS.2017.0243https://doi.org/10.1049/iet-cds.2017.0243https://dblp.org/rec/journals/iet-cds/DasSR18URL#2412483Subbiah DurgadeviMallapu Gopinath UmamaheswariAnalysis and design of single phase power factor correction with DC-DC SEPIC Converter for fast dynamic response using genetic algorithm optimised PI controller.IET Circuits Devices Syst.122164-1742018Journal Articlesclosedjournals/iet-cds/DurgadeviU1810.1049/IET-CDS.2017.0229https://doi.org/10.1049/iet-cds.2017.0229https://dblp.org/rec/journals/iet-cds/DurgadeviU18URL#2412484Lachit DuttaAnil HazarikaManabendra BhuyanDirect interfacing circuit-based e-nose for gas classification and its uncertainty estimation.IET Circuits Devices Syst.12163-722018Journal Articlesclosedjournals/iet-cds/DuttaHB1810.1049/IET-CDS.2017.0106https://doi.org/10.1049/iet-cds.2017.0106https://dblp.org/rec/journals/iet-cds/DuttaHB18URL#2412485Mohamed B. ElamienSoliman A. MahmoudAn 114 Hz-12 MHz digitally controlled low-pass filter for biomedical and wireless applications.IET Circuits Devices Syst.125606-6142018Journal Articlesclosedjournals/iet-cds/ElamienM1810.1049/IET-CDS.2017.0410https://doi.org/10.1049/iet-cds.2017.0410https://dblp.org/rec/journals/iet-cds/ElamienM18URL#2412486Ankit GargGarima JoshiGate diffusion input based 4-bit Vedic multiplier design.IET Circuits Devices Syst.126764-7702018Journal Articlesclosedjournals/iet-cds/GargJ1810.1049/IET-CDS.2017.0454https://doi.org/10.1049/iet-cds.2017.0454https://dblp.org/rec/journals/iet-cds/GargJ18URL#2412487François GaugazFrançois KrummenacherMaher KayalHigh-speed analogue sampled-data signal processing for real-time fault location in electrical power networks.IET Circuits Devices Syst.125624-6292018Journal Articlesclosedjournals/iet-cds/GaugazKK1810.1049/IET-CDS.2017.0212https://doi.org/10.1049/iet-cds.2017.0212https://dblp.org/rec/journals/iet-cds/GaugazKK18URL#2412488Rui GuanJianfeng XueChao YangJing Jin 0005Jianjun Zhou16-bit 1-MS/s SAR ADC with foreground digital-domain calibration.IET Circuits Devices Syst.124505-5132018Journal Articlesclosedjournals/iet-cds/GuanXYJZ1810.1049/IET-CDS.2017.0412https://doi.org/10.1049/iet-cds.2017.0412https://dblp.org/rec/journals/iet-cds/GuanXYJZ18URL#2412489Abdelaziz HamaniBruno AllardTan-Phu VuongMustapha C. E. YagoubRachida TouhamiDesign of rectenna series-association circuits for radio frequency energy harvesting in CMOS FD-SOI 28 nm.IET Circuits Devices Syst.12140-492018Journal Articlesclosedjournals/iet-cds/HamaniAVYT1810.1049/IET-CDS.2017.0119https://doi.org/10.1049/iet-cds.2017.0119https://dblp.org/rec/journals/iet-cds/HamaniAVYT18URL#2412490Ahmad A. HiasatGeneral modular adder designs for residue number system applications.IET Circuits Devices Syst.124424-4312018Journal Articlesclosedjournals/iet-cds/Hiasat1810.1049/IET-CDS.2017.0470https://doi.org/10.1049/iet-cds.2017.0470https://dblp.org/rec/journals/iet-cds/Hiasat18URL#2412491Chi-Ray HuangLih-Yih ChiouSingle bit-line 8T SRAM cell with asynchronous dual word-line control for bit-interleaved ultra-low voltage operation.IET Circuits Devices Syst.126713-7192018Journal Articlesclosedjournals/iet-cds/HuangC1810.1049/IET-CDS.2018.5150https://doi.org/10.1049/iet-cds.2018.5150https://dblp.org/rec/journals/iet-cds/HuangC18URL#2412492Shahzad HussainHaris MehmoodMuhammad KhizarRasit TuranDesign and analysis of an ultra-thin crystalline silicon heterostructure solar cell featuring SiGe absorber layer.IET Circuits Devices Syst.124309-3142018Journal Articlesclosedjournals/iet-cds/HussainMKT1810.1049/IET-CDS.2017.0132https://doi.org/10.1049/iet-cds.2017.0132https://dblp.org/rec/journals/iet-cds/HussainMKT18URL#2412493Babita JajodiaAnil MahantaShaik Rafi AhamedMixed-signal demodulator for IEEE 802.15.6 IR-UWB WBAN energy detection-based receiver.IET Circuits Devices Syst.125523-5312018Journal Articlesclosedjournals/iet-cds/JajodiaMA1810.1049/IET-CDS.2017.0350https://doi.org/10.1049/iet-cds.2017.0350https://dblp.org/rec/journals/iet-cds/JajodiaMA18URL#2412494Yao-Lin JiangChun-Yue ChenPing YangBalanced truncation with ε-embedding for coupled dynamical systems.IET Circuits Devices Syst.123271-2792018Journal Articlesclosedjournals/iet-cds/JiangCY1810.1049/IET-CDS.2017.0349https://doi.org/10.1049/iet-cds.2017.0349https://dblp.org/rec/journals/iet-cds/JiangCY18URL#2412495Rencheng JinJipeng ZhaoYuan MaFeng ZhouScheme for variable-frequency digital circuit with data compression based on block-match process.IET Circuits Devices Syst.123295-3002018Journal Articlesclosedjournals/iet-cds/JinZMZ1810.1049/IET-CDS.2017.0468https://doi.org/10.1049/iet-cds.2017.0468https://dblp.org/rec/journals/iet-cds/JinZMZ18URL#2412496Caffey JindalRishikesh PandeyClass-AB level shifted flipped voltage follower cell using bulk-driven technique.IET Circuits Devices Syst.123286-2942018Journal Articlesclosedjournals/iet-cds/JindalP1810.1049/IET-CDS.2017.0305https://doi.org/10.1049/iet-cds.2017.0305https://dblp.org/rec/journals/iet-cds/JindalP18URL#2412497Pankaj U. JoshiRaghavendra B. DeshmukhVenkateshwarlu GudurSelf-compensation scheme for truncation error in fixed width multipliers.IET Circuits Devices Syst.12155-622018Journal Articlesclosedjournals/iet-cds/JoshiDG1810.1049/IET-CDS.2017.0136https://doi.org/10.1049/iet-cds.2017.0136https://dblp.org/rec/journals/iet-cds/JoshiDG18URL#2412498Rana Y. El KashlanHamdy Abd ElhamidYehea I. IsmailTwo-dimensional models for quantum effects on short channel electrostatics of lightly doped symmetric double-gate MOSFETs.IET Circuits Devices Syst.124341-3462018Journal Articlesclosedjournals/iet-cds/KashlanEI1810.1049/IET-CDS.2017.0046https://doi.org/10.1049/iet-cds.2017.0046https://dblp.org/rec/journals/iet-cds/KashlanEI18URL#2412499Mohd. Tasleem KhanRafi Ahamed ShaikMatcha Surya PrakashImproved convergent distributed arithmetic based low complexity pipelined least-mean-square filter.IET Circuits Devices Syst.126792-8012018Journal Articlesclosedjournals/iet-cds/KhanSP1810.1049/IET-CDS.2018.0041https://doi.org/10.1049/iet-cds.2018.0041https://dblp.org/rec/journals/iet-cds/KhanSP18URL#2412500Farooq Ahmad KhandayMohammad Rafiq DarNasir Ali KantJosep L. RossellóCostas Psychalinos0.65 V integrable electronic realisation of integer- and fractional-order Hindmarsh-Rose neuron model using companding technique.IET Circuits Devices Syst.126696-7062018Journal Articlesclosedjournals/iet-cds/KhandayDKRP1810.1049/IET-CDS.2018.5033https://doi.org/10.1049/iet-cds.2018.5033https://dblp.org/rec/journals/iet-cds/KhandayDKRP18URL#2412501Leïla KhanfirJaouhar MouineDesign optimisation procedure for digital mismatch compensation in latch comparators.IET Circuits Devices Syst.126726-7342018Journal Articlesclosedjournals/iet-cds/KhanfirM1810.1049/IET-CDS.2018.5153https://doi.org/10.1049/iet-cds.2018.5153https://dblp.org/rec/journals/iet-cds/KhanfirM18URL#2412502Fabian KhatebMontree KumngernTomasz KulejVilem KledrowetzLow-voltage fully differential difference transconductance amplifier.IET Circuits Devices Syst.12173-812018Journal Articlesclosedjournals/iet-cds/KhatebKKK1810.1049/IET-CDS.2017.0057https://doi.org/10.1049/iet-cds.2017.0057https://dblp.org/rec/journals/iet-cds/KhatebKKK18URL#2412503Phanumas KhumsatLinearisation technique for low-voltage tuneable Nauta's transconductor in Gm - C filter design.IET Circuits Devices Syst.124347-3612018Journal Articlesclosedjournals/iet-cds/Khumsat1810.1049/IET-CDS.2017.0177https://doi.org/10.1049/iet-cds.2017.0177https://dblp.org/rec/journals/iet-cds/Khumsat18URL#2412504Kibeom KimJedok KimHongkyun KimSeungyoung AhnRigorous mathematical model of through-silicon via capacitance.IET Circuits Devices Syst.125589-5932018Journal Articlesclosedjournals/iet-cds/KimKKA1810.1049/IET-CDS.2017.0157https://doi.org/10.1049/iet-cds.2017.0157https://dblp.org/rec/journals/iet-cds/KimKKA18URL#2412505Kavitha Muppala KumarKavitha AnbukumarPulse train controlled quadratic buck converter operating in discontinuous conduction mode.IET Circuits Devices Syst.124486-4962018Journal Articlesclosedjournals/iet-cds/KumarA1810.1049/IET-CDS.2017.0194https://doi.org/10.1049/iet-cds.2017.0194https://dblp.org/rec/journals/iet-cds/KumarA18URL#2412506Montree KumngernThanat NonthaputhaFabian KhatebLow-power sample and hold circuits using current conveyor analogue switches.IET Circuits Devices Syst.124397-4022018Journal Articlesclosedjournals/iet-cds/KumngernNK1810.1049/IET-CDS.2017.0411https://doi.org/10.1049/iet-cds.2017.0411https://dblp.org/rec/journals/iet-cds/KumngernNK18URL#2412507Abdul Majeed Kottampara KuppalathBinsu J. KailathPLL architecture with a composite PFD and variable loop filter.IET Circuits Devices Syst.123256-2622018Journal Articlesclosedjournals/iet-cds/KuppalathK1810.1049/IET-CDS.2017.0336https://doi.org/10.1049/iet-cds.2017.0336https://dblp.org/rec/journals/iet-cds/KuppalathK18URL#2412508Elseddik LaminiSamir TagzoutHacène BelbachirAdel BelouchraniPrecision analysis with analytical bit-width optimisation process for linear circuits with feedbacks.IET Circuits Devices Syst.125563-5702018Journal Articlesclosedjournals/iet-cds/LaminiTBB1810.1049/IET-CDS.2017.0514https://doi.org/10.1049/iet-cds.2017.0514https://dblp.org/rec/journals/iet-cds/LaminiTBB18URL#2412509Muyeon LeeIckjin Kwon3-10 GHz noise-cancelling CMOS LNA using g m -boosting technique.IET Circuits Devices Syst.12112-162018Journal Articlesclosedjournals/iet-cds/LeeK1810.1049/IET-CDS.2017.0094https://doi.org/10.1049/iet-cds.2017.0094https://dblp.org/rec/journals/iet-cds/LeeK18URL#2412510Stefan LeitnerHaibo Wang 0005Digital LDO modelling techniques for performance estimation at early design stage.IET Circuits Devices Syst.125655-6612018Journal Articlesclosedjournals/iet-cds/LeitnerW1810.1049/IET-CDS.2017.0429https://doi.org/10.1049/iet-cds.2017.0429https://dblp.org/rec/journals/iet-cds/LeitnerW18URL#2412511Yong An LiRC oscillators based on high-Q frequency-selecting network.IET Circuits Devices Syst.12182-872018Journal Articlesclosedjournals/iet-cds/Li1810.1049/IET-CDS.2017.0232https://doi.org/10.1049/iet-cds.2017.0232https://dblp.org/rec/journals/iet-cds/Li18URL#2412512Ahmed LiachaAbdelkrim Kamel OudjidaFarid FergueneMohammed BakiriMohamed Lamine BerrandjiaDesign of high-speed, low-power, and area-efficient FIR filters.IET Circuits Devices Syst.1211-112018Journal Articlesclosedjournals/iet-cds/LiachaOFBB1810.1049/IET-CDS.2017.0058https://doi.org/10.1049/iet-cds.2017.0058https://dblp.org/rec/journals/iet-cds/LiachaOFBB18URL#2412513Shibendu MahataSuman Kumar SahaRajib KarDurbadal MandalOptimal design of wideband fractional order digital integrator using symbiotic organisms search algorithm.IET Circuits Devices Syst.124362-3732018Journal Articlesclosedjournals/iet-cds/MahataSKM1810.1049/IET-CDS.2017.0162https://doi.org/10.1049/iet-cds.2017.0162https://dblp.org/rec/journals/iet-cds/MahataSKM18URL#2412514Sudhanshu MaheshwariTuning approach for first-order filters and new current-mode circuit example.IET Circuits Devices Syst.124478-4852018Journal Articlesclosedjournals/iet-cds/Maheshwari1810.1049/IET-CDS.2017.0431https://doi.org/10.1049/iet-cds.2017.0431https://dblp.org/rec/journals/iet-cds/Maheshwari18URL#2412515Naeem MaroofBai-Sun KongCharge sharing write driver and half- V DD pre-charge 8T SRAM with virtual ground for low-power write and read operation.IET Circuits Devices Syst.12194-982018Journal Articlesclosedjournals/iet-cds/MaroofK1810.1049/IET-CDS.2017.0146https://doi.org/10.1049/iet-cds.2017.0146https://dblp.org/rec/journals/iet-cds/MaroofK18URL#2412516Sudha Ellison MatheLakshmi BoppanaBit-parallel systolic multiplier over GF ( 2 m ) for irreducible trinomials with ASIC and FPGA implementations.IET Circuits Devices Syst.124315-3252018Journal Articlesclosedjournals/iet-cds/MatheB1810.1049/IET-CDS.2017.0426https://doi.org/10.1049/iet-cds.2017.0426https://dblp.org/rec/journals/iet-cds/MatheB18URL#2412517Marcello De MatteisLuca MangiagalliAndrea BaschirottoFully-differential flipped-source-follower low-pass analogue filter in CMOS 28 nm bulk.IET Circuits Devices Syst.126689-6952018Journal Articlesclosedjournals/iet-cds/MatteisMB1810.1049/IET-CDS.2018.5151https://doi.org/10.1049/iet-cds.2018.5151https://dblp.org/rec/journals/iet-cds/MatteisMB18URL#2412518Saman Mohammadi MohagheghReza Sabbaghi-NadooshanMajid MohammadiInnovative model for ternary QCA gates.IET Circuits Devices Syst.122189-1952018Journal Articlesclosedjournals/iet-cds/MohagheghSM1810.1049/IET-CDS.2017.0276https://doi.org/10.1049/iet-cds.2017.0276https://dblp.org/rec/journals/iet-cds/MohagheghSM18URL#2412519Amol MorankarRajendra M. PatrikarDual frequency MEMS resonator through mixed electrical and mechanical coupling scheme.IET Circuits Devices Syst.12188-932018Journal Articlesclosedjournals/iet-cds/MorankarP1810.1049/IET-CDS.2017.0250https://doi.org/10.1049/iet-cds.2017.0250https://dblp.org/rec/journals/iet-cds/MorankarP18URL#2412520Mahesh S. MurtyRahul ShresthaHardware implementation and VLSI design of spectrum sensor for next-generation LTE-A cognitive-radio wireless network.IET Circuits Devices Syst.125542-5502018Journal Articlesclosedjournals/iet-cds/MurtyS1810.1049/IET-CDS.2017.0292https://doi.org/10.1049/iet-cds.2017.0292https://dblp.org/rec/journals/iet-cds/MurtyS18URL#2412521Kimihiro NanamoriYusuke SugiharaMasayoshi YamamotoOscillation analysis and current peak reduction in paralleled SiC MOSFETs.IET Circuits Devices Syst.124390-3952018Journal Articlesclosedjournals/iet-cds/NanamoriSY1810.1049/IET-CDS.2017.0255https://doi.org/10.1049/iet-cds.2017.0255https://dblp.org/rec/journals/iet-cds/NanamoriSY18URL#2412522Rabindranath NandiKoushick MathurPalaniandavar VenkateswaranQuadrature voltage control oscillator with a linear tuning law.IET Circuits Devices Syst.122157-1632018Journal Articlesclosedjournals/iet-cds/NandiMV1810.1049/IET-CDS.2017.0251https://doi.org/10.1049/iet-cds.2017.0251https://dblp.org/rec/journals/iet-cds/NandiMV18URL#2412523Jagadish Dasarahalli NarasimaiahMujoor Shankaranarayana Bhat14.5 fJ/conversion-step 9-bit 100-kS/s non-binary weighted dual capacitor array based area and energy efficient SAR ADC in 90 nm CMOS.IET Circuits Devices Syst.126671-6802018Journal Articlesclosedjournals/iet-cds/NarasimaiahB1810.1049/IET-CDS.2018.5067https://doi.org/10.1049/iet-cds.2018.5067https://dblp.org/rec/journals/iet-cds/NarasimaiahB18URL#2412524Jagadish Dasarahalli NarasimaiahLaxminidhi TonseMujoor Sankaranarayana Bhat11.39 fJ/conversion-step 780 kS/s 8 bit switched capacitor-based area and energy-efficient successive approximation register ADC in 90 nm complementary metal-oxide-semiconductor.IET Circuits Devices Syst.123249-2552018Journal Articlesclosedjournals/iet-cds/NarasimaiahTB1810.1049/IET-CDS.2017.0029https://doi.org/10.1049/iet-cds.2017.0029https://dblp.org/rec/journals/iet-cds/NarasimaiahTB18URL#2412525Mohammad Reza NikbakhshEbrahim AbiriHossein GhasemianMohammad Reza SalehiTwo-stage current-reused variable-gain low-noise amplifier for X-band receivers in 65 nm complementary metal oxide semiconductor technology.IET Circuits Devices Syst.125630-6372018Journal Articlesclosedjournals/iet-cds/NikbakhshAGS1810.1049/IET-CDS.2017.0538https://doi.org/10.1049/iet-cds.2017.0538https://dblp.org/rec/journals/iet-cds/NikbakhshAGS18URL#2412526Jeng-Shyang Pan 0001Pengfei SongChun-Sheng YangEfficient digit-serial modular multiplication algorithm on FPGA.IET Circuits Devices Syst.125662-6682018Journal Articlesclosedjournals/iet-cds/PanSY1810.1049/IET-CDS.2017.0300https://doi.org/10.1049/iet-cds.2017.0300https://dblp.org/rec/journals/iet-cds/PanSY18URL#2412527Subhrasmita PandaSidhartha DashGuru Prasad MishraAnalytical modelling of work-function modulated delta-doped TFET to improve analogue performance.IET Circuits Devices Syst.124374-3812018Journal Articlesclosedjournals/iet-cds/PandaDM1810.1049/IET-CDS.2017.0135https://doi.org/10.1049/iet-cds.2017.0135https://dblp.org/rec/journals/iet-cds/PandaDM18URL#2412528Deepak Kumar PandaTrupti Ranjan LenkaCompact thermal noise model for enhancement mode N-polar GaN MOS-HEMT including 2DEG density solution with two sub-bands.IET Circuits Devices Syst.126810-8162018Journal Articlesclosedjournals/iet-cds/PandaL1810.1049/IET-CDS.2017.0226https://doi.org/10.1049/iet-cds.2017.0226https://dblp.org/rec/journals/iet-cds/PandaL18URL#2412529Madhusmita PandaSantosh Kumar PatnaikAshis Kumar MalPerformance enhancement of a VCO using symbolic modelling and optimisation.IET Circuits Devices Syst.122196-2022018Journal Articlesclosedjournals/iet-cds/PandaPM1810.1049/IET-CDS.2017.0271https://doi.org/10.1049/iet-cds.2017.0271https://dblp.org/rec/journals/iet-cds/PandaPM18URL#2412530Antaryami PanigrahiAbhipsa Parhi1.2 V, 12.5 MHz fourth-order low-pass filter with 83 dB stopband attenuation using low output impedance source follower in 45 nm CMOS.IET Circuits Devices Syst.124382-3892018Journal Articlesclosedjournals/iet-cds/PanigrahiP1810.1049/IET-CDS.2017.0424https://doi.org/10.1049/iet-cds.2017.0424https://dblp.org/rec/journals/iet-cds/PanigrahiP18URL#2412531Yashrajsinh ParmarKrishnamurthy SridharanPrecomputation-based radix-4 CORDIC for approximate rotations and Hough transform.IET Circuits Devices Syst.124413-4232018Journal Articlesclosedjournals/iet-cds/ParmarS1810.1049/IET-CDS.2017.0492https://doi.org/10.1049/iet-cds.2017.0492https://dblp.org/rec/journals/iet-cds/ParmarS18URL#2412532Ghasem PasandiMassoud PedramInternal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs.IET Circuits Devices Syst.124460-4662018Journal Articlesclosedjournals/iet-cds/PasandiP1810.1049/IET-CDS.2017.0227https://doi.org/10.1049/iet-cds.2017.0227https://dblp.org/rec/journals/iet-cds/PasandiP18URL#2412533Pipat PrommeeEkkapong SaisingCMOS-based high-order LP and BP filters using biquad functions.IET Circuits Devices Syst.124326-3342018Journal Articlesclosedjournals/iet-cds/PrommeeS1810.1049/IET-CDS.2017.0493https://doi.org/10.1049/iet-cds.2017.0493https://dblp.org/rec/journals/iet-cds/PrommeeS18URL#2412534Srinivasan RaghavendranMangalanathan UmapathyLakshmi Ravikularaman KarlmarxSupercapacitor charging from piezoelectric energy harvesters using multi-input buck-boost converter.IET Circuits Devices Syst.126746-7522018Journal Articlesclosedjournals/iet-cds/RaghavendranUK1810.1049/IET-CDS.2018.5069https://doi.org/10.1049/iet-cds.2018.5069https://dblp.org/rec/journals/iet-cds/RaghavendranUK18URL#2412535Kasi V. RamanaSomanath MajhiAnup Kumar GogoiIdentification of DC-DC buck converter dynamics using relay feedback method with experimental validation.IET Circuits Devices Syst.126777-7842018Journal Articlesclosedjournals/iet-cds/RamanaMG1810.1049/IET-CDS.2017.0542https://doi.org/10.1049/iet-cds.2017.0542https://dblp.org/rec/journals/iet-cds/RamanaMG18URL#2412536Prasanthi RathnalaTim WilmshurstAhmad H. KharazTiming error detection and correction for power efficiency: an aggressive scaling approach.IET Circuits Devices Syst.126707-7122018Journal Articlesclosedjournals/iet-cds/RathnalaWK1810.1049/IET-CDS.2018.5143https://doi.org/10.1049/iet-cds.2018.5143https://dblp.org/rec/journals/iet-cds/RathnalaWK18URL#2412537Blaise RaveloTheory on negative time-delay looped system.IET Circuits Devices Syst.122175-1812018Journal Articlesclosedjournals/iet-cds/Ravelo1810.1049/IET-CDS.2017.0306https://doi.org/10.1049/iet-cds.2017.0306https://dblp.org/rec/journals/iet-cds/Ravelo18URL#2412538Daniel Rönnowpth-order inverse of the Volterra series for multiple-input multiple-output non-linear dynamic systems.IET Circuits Devices Syst.124403-4122018Journal Articlesopenjournals/iet-cds/Ronnow1810.1049/IET-CDS.2017.0447https://doi.org/10.1049/iet-cds.2017.0447https://dblp.org/rec/journals/iet-cds/Ronnow18URL#2412539Shamim SadrafshariRazieh EskandariKhayrollah HadidiAbdollah KhoeiLow-jitter spread spectrum clock generator using charge pump frequency detector in 0.18 μm CMOS for USB3.1 transceivers.IET Circuits Devices Syst.12199-1072018Journal Articlesclosedjournals/iet-cds/SadrafshariEHK1810.1049/IET-CDS.2016.0445https://doi.org/10.1049/iet-cds.2016.0445https://dblp.org/rec/journals/iet-cds/SadrafshariEHK18URL#2412540Ahmed O. Abdul SalamRay E. SheriffSaleh R. Al-ArajiKahtan A. MezherQassim NasirMulti-taper spectrum-based estimator for cognitive radio using multiple antennas and STBC techniques.IET Circuits Devices Syst.122133-1432018Journal Articlesclosedjournals/iet-cds/SalamSAMN1810.1049/IET-CDS.2016.0498https://doi.org/10.1049/iet-cds.2016.0498https://dblp.org/rec/journals/iet-cds/SalamSAMN18URL#2412541Sanaz SalemHamed ZandevakiliAli Mahani 0001Mohsen SaneeiFault-tolerant delay cell for ring oscillator application in 65 nm CMOS technology.IET Circuits Devices Syst.123233-2412018Journal Articlesclosedjournals/iet-cds/SalemZMS1810.1049/IET-CDS.2017.0380https://doi.org/10.1049/iet-cds.2017.0380https://dblp.org/rec/journals/iet-cds/SalemZMS18URL#2412542Sonia SalhiAbdelhalim SlimaneHammoudi EscidSid-Ahmed Tedjini-BailicheDesign and analysis of CMOS RCG transimpedance amplifier based on elliptic filter approach.IET Circuits Devices Syst.124497-5042018Journal Articlesclosedjournals/iet-cds/SalhiSET1810.1049/IET-CDS.2017.0449https://doi.org/10.1049/iet-cds.2017.0449https://dblp.org/rec/journals/iet-cds/SalhiSET18URL#2412543Trailokya Nath SasamalAshutosh Kumar Singh 0001Umesh GhanekarEfficient design of coplanar ripple carry adder in QCA.IET Circuits Devices Syst.125594-6052018Journal Articlesclosedjournals/iet-cds/SasamalSG1810.1049/IET-CDS.2018.0020https://doi.org/10.1049/iet-cds.2018.0020https://dblp.org/rec/journals/iet-cds/SasamalSG18URL#2412544Fatemeh ShakibaeeFereshteh SajediMehdi SaberiLow-power successive approximation ADC using split-monotonic capacitive DAC.IET Circuits Devices Syst.122203-2082018Journal Articlesclosedjournals/iet-cds/ShakibaeeSS1810.1049/IET-CDS.2017.0373https://doi.org/10.1049/iet-cds.2017.0373https://dblp.org/rec/journals/iet-cds/ShakibaeeSS18URL#2412545Min ShiYajuan YuQi XuWindow function for fractional-order HP Ti O 2 non-linear memristor model.IET Circuits Devices Syst.124447-4522018Journal Articlesclosedjournals/iet-cds/ShiYX1810.1049/IET-CDS.2017.0414https://doi.org/10.1049/iet-cds.2017.0414https://dblp.org/rec/journals/iet-cds/ShiYX18URL#2412546Vishwanatha SiddharthaYogesh V. HoteSystematic circuit design and analysis of a non-ideal DC-DC pulse width modulation boost converter.IET Circuits Devices Syst.122144-1562018Journal Articlesclosedjournals/iet-cds/SiddharthaH1810.1049/IET-CDS.2017.0168https://doi.org/10.1049/iet-cds.2017.0168https://dblp.org/rec/journals/iet-cds/SiddharthaH18URL#2412547Vishwanatha SiddharthaYogesh V. HoteLow-power non-ideal pulse-width modulated DC-DC buck-boost converter: design, analysis and experimentation.IET Circuits Devices Syst.126735-7452018Journal Articlesclosedjournals/iet-cds/SiddharthaH18a10.1049/IET-CDS.2018.5053https://doi.org/10.1049/iet-cds.2018.5053https://dblp.org/rec/journals/iet-cds/SiddharthaH18aURL#2412548Gurmohan SinghBalwinder RajRakesh Kumar SarinFault-tolerant design and analysis of QCA-based circuits.IET Circuits Devices Syst.125638-6442018Journal Articlesclosedjournals/iet-cds/SinghRS1810.1049/IET-CDS.2017.0505https://doi.org/10.1049/iet-cds.2017.0505https://dblp.org/rec/journals/iet-cds/SinghRS18URL#2412549Jens SpinnerDaniel RohwederJürgen FreudenbergerSoft input decoder for high-rate generalised concatenated codes.IET Circuits Devices Syst.124432-4382018Journal Articlesclosedjournals/iet-cds/SpinnerRF1810.1049/IET-CDS.2017.0347https://doi.org/10.1049/iet-cds.2017.0347https://dblp.org/rec/journals/iet-cds/SpinnerRF18URL#2412550Kan TakeuchiMasaki ShimadaTakeshi OkagakiKoji ShibutaniKoji NiiFumio TsuchiyaWear-out stress monitor utilising temperature and voltage sensitive ring oscillators.IET Circuits Devices Syst.122182-1882018Journal Articlesclosedjournals/iet-cds/TakeuchiSOSNT1810.1049/IET-CDS.2017.0153https://doi.org/10.1049/iet-cds.2017.0153https://dblp.org/rec/journals/iet-cds/TakeuchiSOSNT18URL#2412551Mohammad Saleh TavazoeiPassively realisable impedance functions by using two fractional elements and some resistors.IET Circuits Devices Syst.123280-2852018Journal Articlesclosedjournals/iet-cds/Tavazoei1810.1049/IET-CDS.2017.0342https://doi.org/10.1049/iet-cds.2017.0342https://dblp.org/rec/journals/iet-cds/Tavazoei18URL#2412552Yo-Hao TuJen-Chieh LiuKuo-Hsing ChengChi-Yang ChangLow supply voltage and multiphase all-digital crystal-less clock generator.IET Circuits Devices Syst.126720-7252018Journal Articlesclosedjournals/iet-cds/TuLCC1810.1049/IET-CDS.2018.5149https://doi.org/10.1049/iet-cds.2018.5149https://dblp.org/rec/journals/iet-cds/TuLCC18URL#2412553Slavko VeinovicMilan PonjavicSasa D. MilicRadivoje DjuricLow-power design for DC current transformer using class-D compensating amplifier.IET Circuits Devices Syst.123215-2202018Journal Articlesclosedjournals/iet-cds/VeinovicPMD1810.1049/IET-CDS.2017.0324https://doi.org/10.1049/iet-cds.2017.0324https://dblp.org/rec/journals/iet-cds/VeinovicPMD18URL#2412554Harikrishna VeldandiShaik Rafi AhamedDesign procedure for multifinger MOSFET two-stage OTA with shallow trench isolation effect.IET Circuits Devices Syst.125513-5222018Journal Articlesclosedjournals/iet-cds/VeldandiA1810.1049/IET-CDS.2017.0419https://doi.org/10.1049/iet-cds.2017.0419https://dblp.org/rec/journals/iet-cds/VeldandiA18URL#2412555Sumit VermaSajad A. LoanAbdul Rahman M. AlamoudAbdullah G. AlharbiHybrid AlGaN/GaN high-electron mobility transistor: design and simulation.IET Circuits Devices Syst.12133-392018Journal Articlesclosedjournals/iet-cds/VermaLAA1810.1049/IET-CDS.2017.0025https://doi.org/10.1049/iet-cds.2017.0025https://dblp.org/rec/journals/iet-cds/VermaLAA18URL#2412556Ramya VijayThipparaju Rama RaoDesign of penta-band antenna with integrated LNA circuit for vehicular communications.IET Circuits Devices Syst.123221-2252018Journal Articlesclosedjournals/iet-cds/VijayR1810.1049/IET-CDS.2017.0190https://doi.org/10.1049/iet-cds.2017.0190https://dblp.org/rec/journals/iet-cds/VijayR18URL#2412557Yuanfa WangZunchao LiLichen FengHailong BaiChuang Wang 0004Hardware design of multiclass SVM classification for epilepsy and epileptic seizure detection.IET Circuits Devices Syst.121108-1152018Journal Articlesclosedjournals/iet-cds/WangLFBW1810.1049/IET-CDS.2017.0216https://doi.org/10.1049/iet-cds.2017.0216https://dblp.org/rec/journals/iet-cds/WangLFBW18URL#2412558Xiaoping Wang 0001Ran YangZhigang ZengQiao ChenShuangyu ZhuImprovement of two-step write scheme in complementary resistive switch array.IET Circuits Devices Syst.12150-542018Journal Articlesclosedjournals/iet-cds/WangYZCZ1810.1049/IET-CDS.2016.0432https://doi.org/10.1049/iet-cds.2016.0432https://dblp.org/rec/journals/iet-cds/WangYZCZ18URL#2412559Sean WhitehallCarlos E. SaavedraCompact 640 μW frequency-modulated ultra-wideband transmitter.IET Circuits Devices Syst.123226-2322018Journal Articlesclosedjournals/iet-cds/WhitehallS1810.1049/IET-CDS.2017.0334https://doi.org/10.1049/iet-cds.2017.0334https://dblp.org/rec/journals/iet-cds/WhitehallS18URL#2412560Sean WhitehallCarlos E. SaavedraLow-power low data rate FM-UWB receiver front end.IET Circuits Devices Syst.124335-3402018Journal Articlesclosedjournals/iet-cds/WhitehallS18a10.1049/IET-CDS.2017.0507https://doi.org/10.1049/iet-cds.2017.0507https://dblp.org/rec/journals/iet-cds/WhitehallS18aURL#2412561Jian-Dong WuZhuo-Jia ChenJun-Sheng WangLei ZhouWei-Jing WuMiao XuLei WangRuo-He YaoJun-Biao PengManchester-encoded data transmission circuit integrated by metal-oxide TFTs suitable for 13.56 MHz radio-frequency identification tag application.IET Circuits Devices Syst.126771-7762018Journal Articlesclosedjournals/iet-cds/WuCWZWXWYP1810.1049/IET-CDS.2017.0499https://doi.org/10.1049/iet-cds.2017.0499https://dblp.org/rec/journals/iet-cds/WuCWZWXWYP18URL#2412562Yu-Chen WuMohammad Abu KhaterDimitrios PeroulisReal-time temperature compensation for tunable cavity-based BPFs and BSFs.IET Circuits Devices Syst.126785-7912018Journal Articlesclosedjournals/iet-cds/WuKP1810.1049/IET-CDS.2018.0019https://doi.org/10.1049/iet-cds.2018.0019https://dblp.org/rec/journals/iet-cds/WuKP18URL#2412563Jin WuYouzhi Zhang 0003Rongqi ZhaoKunpeng ZhangLixia ZhengWeifeng SunLow-jitter DLL applied for two-segment TDC.IET Circuits Devices Syst.12117-242018Journal Articlesclosedjournals/iet-cds/WuZZZZS1810.1049/IET-CDS.2016.0342https://doi.org/10.1049/iet-cds.2016.0342https://dblp.org/rec/journals/iet-cds/WuZZZZS18URL#2412564Guest Editorial: Low Voltage Low Power Integrated Circuits and Systems.IET Circuits Devices Syst.126669-6702018Journal Articlesclosedjournals/iet-cds/X1810.1049/IET-CDS.2018.5519https://doi.org/10.1049/iet-cds.2018.5519https://dblp.org/rec/journals/iet-cds/X18URL#2412565Bing XiaNan QiLow-power 2.4 GHz ZigBee transceiver with inductor-less radio-frequency front-end for Internet of things applications.IET Circuits Devices Syst.122209-2142018Journal Articlesclosedjournals/iet-cds/XiaQ1810.1049/IET-CDS.2017.0165https://doi.org/10.1049/iet-cds.2017.0165https://dblp.org/rec/journals/iet-cds/XiaQ18URL#2412566Kang-Li XuYao-Lin JiangReduced H 2 optimal models via cross Gramian for continuous linear time-invariant systems.IET Circuits Devices Syst.12125-322018Journal Articlesclosedjournals/iet-cds/XuJ1810.1049/IET-CDS.2016.0430https://doi.org/10.1049/iet-cds.2016.0430https://dblp.org/rec/journals/iet-cds/XuJ18URL#2412567Abdullah YesilShahram MinaeiHigh-order realisation of MOSFET-only band-pass filters for RF applications.IET Circuits Devices Syst.124467-4772018Journal Articlesclosedjournals/iet-cds/YesilM1810.1049/IET-CDS.2017.0442https://doi.org/10.1049/iet-cds.2017.0442https://dblp.org/rec/journals/iet-cds/YesilM18URL#2412568Fei Yuan 0005Design techniques of all-digital arithmetic units for time-mode signal processing.IET Circuits Devices Syst.126753-7632018Journal Articlesclosedjournals/iet-cds/Yuan1810.1049/IET-CDS.2017.0327https://doi.org/10.1049/iet-cds.2017.0327https://dblp.org/rec/journals/iet-cds/Yuan18URL#2412569Sayed Mohammad Ali ZanjaniMassoud DoustiMehdi DolatshahiInverter-based, low-power and low-voltage, new mixed-mode Gm-C filter in subthreshold CNTFET technology.IET Circuits Devices Syst.126681-6882018Journal Articlesclosedjournals/iet-cds/ZanjaniDD1810.1049/IET-CDS.2018.5158https://doi.org/10.1049/iet-cds.2018.5158https://dblp.org/rec/journals/iet-cds/ZanjaniDD18URL#2412570Xiaohong ZhangWei JiangConstruction of flux-controlled memristor and circuit simulation based on smooth cellular neural networks module.IET Circuits Devices Syst.123263-2702018Journal Articlesclosedjournals/iet-cds/ZhangJ1810.1049/IET-CDS.2017.0052https://doi.org/10.1049/iet-cds.2017.0052https://dblp.org/rec/journals/iet-cds/ZhangJ18URL#2412571Zhou ZhaoAshok SrivastavaLu Peng 0001Saraju P. MohantyCalibration method to reduce the error in logarithmic conversion with its circuit implementation.IET Circuits Devices Syst.124301-3082018Journal Articlesclosedjournals/iet-cds/ZhaoSPM1810.1049/IET-CDS.2017.0315https://doi.org/10.1049/iet-cds.2017.0315https://dblp.org/rec/journals/iet-cds/ZhaoSPM18URL#2412572Maher AbdelrasoulMohammed Sharaf SayedVictor GoulartReal-time unified architecture for forward/inverse discrete cosine transform in high efficiency video coding.IET Circuits Devices Syst.114381-3872017Journal Articlesclosedjournals/iet-cds/AbdelrasoulSG1710.1049/IET-CDS.2016.0423https://doi.org/10.1049/iet-cds.2016.0423https://dblp.org/rec/journals/iet-cds/AbdelrasoulSG17URL#2781240Sa'ed AbedImtiaz AhmadMohammad H. Al ShayejiSari SultanAutomatic verification of single-electron transistor arrays based on multiway decision graphs.IET Circuits Devices Syst.114395-4032017Journal Articlesclosedjournals/iet-cds/AbedASS1710.1049/IET-CDS.2016.0110https://doi.org/10.1049/iet-cds.2016.0110https://dblp.org/rec/journals/iet-cds/AbedASS17URL#2781241Lutfi AlbashaOualid HammiIntroducing industrial design flow of an RFIC chip to a graduate course: building the ecosystem and bridging the gap between industry and academia.IET Circuits Devices Syst.114299-3032017Journal Articlesclosedjournals/iet-cds/AlbashaH1710.1049/IET-CDS.2016.0434https://doi.org/10.1049/iet-cds.2016.0434https://dblp.org/rec/journals/iet-cds/AlbashaH17URL#2781242Kharudin AliAhmed N. AbdallaDamhuji RifaiMoneer A. FarajReview on system development in eddy current testing and technique for defect classification and characterization.IET Circuits Devices Syst.114330-3432017Journal Articlesclosedjournals/iet-cds/AliARF1710.1049/IET-CDS.2016.0327https://doi.org/10.1049/iet-cds.2016.0327https://dblp.org/rec/journals/iet-cds/AliARF17URL#2781243Abdulkadir Hamidu AlkaliReza SaatchiHeather ElphickDerek BurkeThermal image processing for real-time non-contact respiration rate monitoring.IET Circuits Devices Syst.112142-1482017Journal Articlesclosedjournals/iet-cds/AlkaliSEB1710.1049/IET-CDS.2016.0143https://doi.org/10.1049/iet-cds.2016.0143https://dblp.org/rec/journals/iet-cds/AlkaliSEB17URL#2781244Ihsen AlouaniWael M. ElsharkasyAhmed M. EltawilFadi J. KurdahiSmaïl NiarAS8-static random access memory (SRAM): asymmetric SRAM architecture for soft error hardening enhancement.IET Circuits Devices Syst.11189-942017Journal Articlesclosedjournals/iet-cds/AlouaniEEKN1710.1049/IET-CDS.2015.0318https://doi.org/10.1049/iet-cds.2015.0318https://dblp.org/rec/journals/iet-cds/AlouaniEEKN17URL#2781245Lokesh AnandKumar NarendraJeevan KanesanTechnique to characterise transient behavioural of multistage RF power amplifier for two-way radio applications.IET Circuits Devices Syst.114404-4082017Journal Articlesclosedjournals/iet-cds/AnandNK1710.1049/IET-CDS.2016.0372https://doi.org/10.1049/iet-cds.2016.0372https://dblp.org/rec/journals/iet-cds/AnandNK17URL#2781246Seyed Mahmoud AnishehHossein ShamsiMitra MirhassaniPositive feedback technique and split-length transistors for DC-gain enhancement of two-stage op-amps.IET Circuits Devices Syst.116605-6122017Journal Articlesclosedjournals/iet-cds/AnishehSM1710.1049/IET-CDS.2016.0416https://doi.org/10.1049/iet-cds.2016.0416https://dblp.org/rec/journals/iet-cds/AnishehSM17URL#2781247Alecksey AnuchinYuriy VagapovInstructional laboratory for practical investigation of electric drive control.IET Circuits Devices Syst.114344-3512017Journal Articlesclosedjournals/iet-cds/AnuchinV1710.1049/IET-CDS.2016.0400https://doi.org/10.1049/iet-cds.2016.0400https://dblp.org/rec/journals/iet-cds/AnuchinV17URL#2781248Agasthya AyachitMarian K. KazimierczukSensitivity of effective relative permeability for gapped magnetic cores with fringing effect.IET Circuits Devices Syst.113209-2152017Journal Articlesclosedjournals/iet-cds/AyachitK1710.1049/IET-CDS.2016.0410https://doi.org/10.1049/iet-cds.2016.0410https://dblp.org/rec/journals/iet-cds/AyachitK17URL#2781249Toufik BentrciaFayçal DjeffalElasaad ChebakiApproach for designing and modelling of nanoscale DG MOSFET devices using Kriging metamodelling technique.IET Circuits Devices Syst.116618-6232017Journal Articlesclosedjournals/iet-cds/BentrciaDC1710.1049/IET-CDS.2017.0204https://doi.org/10.1049/iet-cds.2017.0204https://dblp.org/rec/journals/iet-cds/BentrciaDC17URL#2781250Kalyan BiswasAngsuman SarkarChandan Kumar SarkarSpacer engineering for performance enhancement of junctionless accumulation-mode bulk FinFETs.IET Circuits Devices Syst.11180-882017Journal Articlesclosedjournals/iet-cds/BiswasSS1710.1049/IET-CDS.2016.0151https://doi.org/10.1049/iet-cds.2016.0151https://dblp.org/rec/journals/iet-cds/BiswasSS17URL#2781251Milos BjelicMiodrag StanojevicJelena D. CerticMilan MerkleStatistical properties of quantisation noise in analogue-to-digital converter with oversampling and decimation.IET Circuits Devices Syst.115421-4272017Journal Articlesclosedjournals/iet-cds/BjelicSCM1710.1049/IET-CDS.2016.0506https://doi.org/10.1049/iet-cds.2016.0506https://dblp.org/rec/journals/iet-cds/BjelicSCM17URL#2781252Najoua ChalbiMohamed BoubakerMohamed Hedi BedouiImplementation of a low-power LVQ architecture on FPGA.IET Circuits Devices Syst.116597-6042017Journal Articlesclosedjournals/iet-cds/ChalbiBB1710.1049/IET-CDS.2016.0311https://doi.org/10.1049/iet-cds.2016.0311https://dblp.org/rec/journals/iet-cds/ChalbiBB17URL#2781253Changyuan ChangTianlin JiangPenglin YangYang XuChunxue XuYao ChenAdaptive line voltage compensation scheme for a source-driving controlled AC-DC LED driver.IET Circuits Devices Syst.11121-282017Journal Articlesclosedjournals/iet-cds/ChangJYXXC1710.1049/IET-CDS.2016.0171https://doi.org/10.1049/iet-cds.2016.0171https://dblp.org/rec/journals/iet-cds/ChangJYXXC17URL#2781254Che Wun ChiouYuh-Sien SunCheng-Min LeeJim-Min LinTai-Pao ChuangChiou-Yng LeeGaussian normal basis multiplier over GF(2 m ) using hybrid subquadratic-and-quadratic TMVP approach for elliptic curve cryptography.IET Circuits Devices Syst.116579-5882017Journal Articlesclosedjournals/iet-cds/ChiouSLLCL1710.1049/IET-CDS.2017.0015https://doi.org/10.1049/iet-cds.2017.0015https://dblp.org/rec/journals/iet-cds/ChiouSLLCL17URL#2781255Slim ChtourouMohamed KharratNader Ben AmorMohamed JallouliMohamed AbidEasing the development of android apps to create electronic prototypes: IOIO+App Inventor.IET Circuits Devices Syst.114310-3202017Journal Articlesclosedjournals/iet-cds/ChtourouKAJA1710.1049/IET-CDS.2016.0381https://doi.org/10.1049/iet-cds.2016.0381https://dblp.org/rec/journals/iet-cds/ChtourouKAJA17URL#2781256Sergi Consul-PacareuRuhi MahajanMohammad J. Abu-SaudeBashir I. MorshedNeuroMonitor: a low-power, wireless, wearable EEG device with DRL-less AFE.IET Circuits Devices Syst.115471-4772017Journal Articlesclosedjournals/iet-cds/Consul-PacareuM1710.1049/IET-CDS.2016.0256https://doi.org/10.1049/iet-cds.2016.0256https://dblp.org/rec/journals/iet-cds/Consul-PacareuM17URL#2781257Mahya Sam DaliriKeivan NaviReza Faghih MirzaeeNader BagherzadehA new approach for designing compressors with a new hardware-friendly mathematical method for multi-input XOR gates.IET Circuits Devices Syst.11146-572017Journal Articlesclosedjournals/iet-cds/DaliriNMDB1710.1049/IET-CDS.2016.0041https://doi.org/10.1049/iet-cds.2016.0041https://dblp.org/rec/journals/iet-cds/DaliriNMDB17URL#2781258Bikash DebnathJadav Chandra DasDebashis DeReversible logic-based image steganography using quantum dot cellular automata for secure nanocommunication.IET Circuits Devices Syst.11158-672017Journal Articlesclosedjournals/iet-cds/DebnathDD1710.1049/IET-CDS.2015.0245https://doi.org/10.1049/iet-cds.2015.0245https://dblp.org/rec/journals/iet-cds/DebnathDD17URL#2781259Chérif El Valid DioufMihai TelescuIgor S. StievanoNoël TanguyFlavio G. CanaveroSimplified topology for integrated circuit buffer behavioural models.IET Circuits Devices Syst.112183-1872017Journal Articlesclosedjournals/iet-cds/DioufTSTC1710.1049/IET-CDS.2015.0368https://doi.org/10.1049/iet-cds.2015.0368https://dblp.org/rec/journals/iet-cds/DioufTSTC17URL#2781260Grzegorz DudzikUltra-stable, low-noise two-stage current source concept for electronics and laser applications.IET Circuits Devices Syst.116613-6172017Journal Articlesclosedjournals/iet-cds/Dudzik1710.1049/IET-CDS.2016.0489https://doi.org/10.1049/iet-cds.2016.0489https://dblp.org/rec/journals/iet-cds/Dudzik17URL#2781261Mohammed El-ShennawyNiko JoramFrank EllingerDesign of a ±0.15 dB accurate baseband detector for FMCW radars employing inherent PVT cancellation.IET Circuits Devices Syst.112157-1652017Journal Articlesclosedjournals/iet-cds/El-ShennawyJE1710.1049/IET-CDS.2015.0352https://doi.org/10.1049/iet-cds.2015.0352https://dblp.org/rec/journals/iet-cds/El-ShennawyJE17URL#2781262Ali Mohamed EltamalyEfficient current injection device for harmonic reduction of three-phase controlled converters.IET Circuits Devices Syst.116648-6552017Journal Articlesclosedjournals/iet-cds/Eltamaly1710.1049/IET-CDS.2017.0039https://doi.org/10.1049/iet-cds.2017.0039https://dblp.org/rec/journals/iet-cds/Eltamaly17URL#2781263Ahmed S. ElwakilAhmed G. RadwanTodd J. FreebornAnis AllaguiBrent J. MaundyMohamed E. FoudaLow-voltage commercial super-capacitor response to periodic linear-with-time current excitation: a case study.IET Circuits Devices Syst.113189-1952017Journal Articlesclosedjournals/iet-cds/ElwakilRFAMF1710.1049/IET-CDS.2016.0139https://doi.org/10.1049/iet-cds.2016.0139https://dblp.org/rec/journals/iet-cds/ElwakilRFAMF17URL#2781264Mustafa FayezKhaled Mohamed MorsiMohammed Nabil SabryOTFTs compact models: analysis, comparison, and insights.IET Circuits Devices Syst.115409-4202017Journal Articlesclosedjournals/iet-cds/FayezMS1710.1049/IET-CDS.2016.0439https://doi.org/10.1049/iet-cds.2016.0439https://dblp.org/rec/journals/iet-cds/FayezMS17URL#2781265Lili GaoWenke LuSurface acoustic wave type electrode-area-weighted wavelet inverse-transform processors with phase compensation.IET Circuits Devices Syst.116624-6302017Journal Articlesclosedjournals/iet-cds/GaoL1710.1049/IET-CDS.2017.0092https://doi.org/10.1049/iet-cds.2017.0092https://dblp.org/rec/journals/iet-cds/GaoL17URL#2781266Amitava GhoshAnindya Sundar DharAchintya HalderFraction phase based low energy frequency calibration: analysis and design.IET Circuits Devices Syst.113241-2492017Journal Articlesclosedjournals/iet-cds/GhoshDH1710.1049/IET-CDS.2015.0218https://doi.org/10.1049/iet-cds.2015.0218https://dblp.org/rec/journals/iet-cds/GhoshDH17URL#2781267Rohit GuptaBoby GeorgeResistance-to-digital converter designed for high power-line interference rejection capability.IET Circuits Devices Syst.115446-4512017Journal Articlesclosedjournals/iet-cds/GuptaG1710.1049/IET-CDS.2016.0236https://doi.org/10.1049/iet-cds.2016.0236https://dblp.org/rec/journals/iet-cds/GuptaG17URL#2781268Basel HalakCourse on secure hardware design of silicon chips.IET Circuits Devices Syst.114304-3092017Journal Articlesclosedjournals/iet-cds/Halak1710.1049/IET-CDS.2017.0028https://doi.org/10.1049/iet-cds.2017.0028https://dblp.org/rec/journals/iet-cds/Halak17URL#2781269Sarah L. HarrisDavid Money HarrisDaniel ChaverRobert OwenZubair L. KakakhelEnrique SedanoYuri PanchulBruce AbleidingerMIPSfpga: using a commercial MIPS soft-core in computer architecture education.IET Circuits Devices Syst.114283-2912017Journal Articlesclosedjournals/iet-cds/HarrisHCOKSPA1710.1049/IET-CDS.2016.0383https://doi.org/10.1049/iet-cds.2016.0383https://dblp.org/rec/journals/iet-cds/HarrisHCOKSPA17URL#2781270Fumiya HattoriHirokatsu UmegamiMasayoshi YamamotoMulti-resonant gate drive circuit of isolating-gate GaN HEMTs for tens of MHz.IET Circuits Devices Syst.113261-2662017Journal Articlesclosedjournals/iet-cds/HattoriUY1710.1049/IET-CDS.2016.0244https://doi.org/10.1049/iet-cds.2016.0244https://dblp.org/rec/journals/iet-cds/HattoriUY17URL#2781271Ashish JoshiHitesh ShrimaliSatinder K. SharmaSystematic design approach for a gain boosted telescopic OTA with cross-coupled capacitor.IET Circuits Devices Syst.113225-2312017Journal Articlesclosedjournals/iet-cds/JoshiSS1710.1049/IET-CDS.2016.0448https://doi.org/10.1049/iet-cds.2016.0448https://dblp.org/rec/journals/iet-cds/JoshiSS17URL#2781272Sayed Ali Seif KashaniHossein Karimiyan AlidashSandeep MiryalaSchottky-barrier graphene nanoribbon field-effect transistors-based field-programmable gate array's configurable logic block and routing switch.IET Circuits Devices Syst.116549-5582017Journal Articlesclosedjournals/iet-cds/KashaniAM1710.1049/IET-CDS.2016.0349https://doi.org/10.1049/iet-cds.2016.0349https://dblp.org/rec/journals/iet-cds/KashaniAM17URL#2781273Fabian KhatebWinai JaiklaTomasz KulejMontree KumngernDavid KubánekShadow filters based on DDCC.IET Circuits Devices Syst.116631-6372017Journal Articlesclosedjournals/iet-cds/KhatebJKKK1710.1049/IET-CDS.2016.0522https://doi.org/10.1049/iet-cds.2016.0522https://dblp.org/rec/journals/iet-cds/KhatebJKKK17URL#2781274Belkacem KhiterAbdelkrim Kamel OudjidaMahmoud BelhocineH-RADIX a new heuristic for a single constant multiplication.IET Circuits Devices Syst.113256-2602017Journal Articlesclosedjournals/iet-cds/KhiterOB1710.1049/IET-CDS.2016.0238https://doi.org/10.1049/iet-cds.2016.0238https://dblp.org/rec/journals/iet-cds/KhiterOB17URL#2781275Sami KhorbotlyFiras HassanRobert J. VeilletteSynthesis of recursive linear-phase filters for fixed-point hardware platforms.IET Circuits Devices Syst.115457-4642017Journal Articlesclosedjournals/iet-cds/KhorbotlyHV1710.1049/IET-CDS.2016.0123https://doi.org/10.1049/iet-cds.2016.0123https://dblp.org/rec/journals/iet-cds/KhorbotlyHV17URL#2781276Mohan Krishna Gopi KrishnaArman RoohiRamtin ZandRonald F. DeMaraHeterogeneous energy-sparing reconfigurable logic: spin-based storage and CNFET-based multiplexing.IET Circuits Devices Syst.113274-2792017Journal Articlesclosedjournals/iet-cds/KrishnaRZD1710.1049/IET-CDS.2016.0216https://doi.org/10.1049/iet-cds.2016.0216https://dblp.org/rec/journals/iet-cds/KrishnaRZD17URL#2781277Tanmai KulshreshthaAnindya Sundar DharCORDIC-based Hann windowed sliding DFT architecture for real-time spectrum analysis with bounded error-accumulation.IET Circuits Devices Syst.115487-4952017Journal Articlesclosedjournals/iet-cds/KulshreshthaD1710.1049/IET-CDS.2016.0375https://doi.org/10.1049/iet-cds.2016.0375https://dblp.org/rec/journals/iet-cds/KulshreshthaD17URL#2781278Montree KumngernFabian KhatebTomasz KulejFully-balanced four-terminal floating nullor for ultra-low voltage analogue filter design.IET Circuits Devices Syst.112173-1822017Journal Articlesclosedjournals/iet-cds/KumngernKK1710.1049/IET-CDS.2016.0212https://doi.org/10.1049/iet-cds.2016.0212https://dblp.org/rec/journals/iet-cds/KumngernKK17URL#2781279Srinivasan LekhaSuchetha ManikandanMathematical modelling and simulation analysis of a modified Butterworth van Dyke circuit model for non-invasive diabetes detection.IET Circuits Devices Syst.116682-6872017Journal Articlesclosedjournals/iet-cds/LekhaM1710.1049/IET-CDS.2017.0002https://doi.org/10.1049/iet-cds.2017.0002https://dblp.org/rec/journals/iet-cds/LekhaM17URL#2781280Yin LiManjusri MisraStefano GregoriComparison of conventional and regenerative electrostatic energy harvesters.IET Circuits Devices Syst.116638-6472017Journal Articlesclosedjournals/iet-cds/LiMG1710.1049/IET-CDS.2017.0064https://doi.org/10.1049/iet-cds.2017.0064https://dblp.org/rec/journals/iet-cds/LiMG17URL#2781281Xin Li 0042Xueting WeiWei ZhouHeuristic thermal sensor allocation methods for overheating detection of real microprocessors.IET Circuits Devices Syst.116559-5672017Journal Articlesclosedjournals/iet-cds/LiWZ1710.1049/IET-CDS.2016.0529https://doi.org/10.1049/iet-cds.2016.0529https://dblp.org/rec/journals/iet-cds/LiWZ17URL#2781282Yong An LiYan Hua XiZhan Ting FanYu Ye ZhangJi Xia WuSystematic synthesis approach for floating gyrators employing single z-copy CCCCTA.IET Circuits Devices Syst.11141-452017Journal Articlesclosedjournals/iet-cds/LiXFZW1710.1049/IET-CDS.2016.0153https://doi.org/10.1049/iet-cds.2016.0153https://dblp.org/rec/journals/iet-cds/LiXFZW17URL#2781283Hsiung-Cheng LinKai-Chun HsiaoDevelopment of load constant current model using feedback-controlling resonant switching algorithm for overload protection.IET Circuits Devices Syst.116656-6652017Journal Articlesclosedjournals/iet-cds/LinH1710.1049/IET-CDS.2017.0001https://doi.org/10.1049/iet-cds.2017.0001https://dblp.org/rec/journals/iet-cds/LinH17URL#2781284Li LuoXiaofang HuShukai DuanZhekang DongLidan Wang 0001Multiple memristor series-parallel connections with use in synaptic circuit design.IET Circuits Devices Syst.112123-1342017Journal Articlesclosedjournals/iet-cds/LuoHDDW1710.1049/IET-CDS.2015.0357https://doi.org/10.1049/iet-cds.2015.0357https://dblp.org/rec/journals/iet-cds/LuoHDDW17URL#2781285Jie LvWenji SongShili LinZiping FengYulong DingYongliang LiInvestigation on dynamic equalisation performance of lithium battery pack management.IET Circuits Devices Syst.114388-3942017Journal Articlesclosedjournals/iet-cds/LvSLFDL1710.1049/IET-CDS.2016.0213https://doi.org/10.1049/iet-cds.2016.0213https://dblp.org/rec/journals/iet-cds/LvSLFDL17URL#2781286Chandrakanth MamidalaAnindya Sundar DharHigh-performance VLSI architectures for M-PSK modems.IET Circuits Devices Syst.112166-1722017Journal Articlesclosedjournals/iet-cds/MamidalaD1710.1049/IET-CDS.2016.0243https://doi.org/10.1049/iet-cds.2016.0243https://dblp.org/rec/journals/iet-cds/MamidalaD17URL#2781287Haris MehmoodTauseef TauqeerModelling and performance analysis of amorphous silicon solar cell using wide band gap nc-Si: H window layer.IET Circuits Devices Syst.116666-6752017Journal Articlesclosedjournals/iet-cds/MehmoodT1710.1049/IET-CDS.2017.0072https://doi.org/10.1049/iet-cds.2017.0072https://dblp.org/rec/journals/iet-cds/MehmoodT17URL#2781288Girish Kumar MekalaYash AgrawalRajeevan ChandelModelling and performance analysis of dielectric inserted side contact multilayer graphene nanoribbon interconnects.IET Circuits Devices Syst.113232-2402017Journal Articlesclosedjournals/iet-cds/MekalaAC1710.1049/IET-CDS.2016.0376https://doi.org/10.1049/iet-cds.2016.0376https://dblp.org/rec/journals/iet-cds/MekalaAC17URL#2781289Yoshio MitaYoshihiro Kawahara15-year educational experience on autonomous electronic information devices by flipped classroom and try-by-yourself methods.IET Circuits Devices Syst.114321-3292017Journal Articlesclosedjournals/iet-cds/MitaK1710.1049/IET-CDS.2016.0406https://doi.org/10.1049/iet-cds.2016.0406https://dblp.org/rec/journals/iet-cds/MitaK17URL#2781290Anubhuti MittalAshutosh NandiDisha YadavComparative study of 16-order FIR filter design using different multiplication techniques.IET Circuits Devices Syst.113196-2002017Journal Articlesclosedjournals/iet-cds/MittalNY1710.1049/IET-CDS.2016.0146https://doi.org/10.1049/iet-cds.2016.0146https://dblp.org/rec/journals/iet-cds/MittalNY17URL#2781291Mohammad MohammadiSaeid Gorgin 0001Majid MohammadiDesign of non-restoring divider in quantum-dot cellular automata technology.IET Circuits Devices Syst.112135-1412017Journal Articlesclosedjournals/iet-cds/MohammadiGM1710.1049/IET-CDS.2016.0071https://doi.org/10.1049/iet-cds.2016.0071https://dblp.org/rec/journals/iet-cds/MohammadiGM17URL#2781292Saman MokhtabadHojat GhonoodiLocking range enhancement of divide-by-two injection locked frequency divider using phase shift technique.IET Circuits Devices Syst.115452-4562017Journal Articlesclosedjournals/iet-cds/MokhtabadG1710.1049/IET-CDS.2016.0394https://doi.org/10.1049/iet-cds.2016.0394https://dblp.org/rec/journals/iet-cds/MokhtabadG17URL#2781293Rajdeep Kumar NathBibhash SenBiplab K. SikdarOptimal synthesis of QCA logic circuit eliminating wire-crossings.IET Circuits Devices Syst.113201-2082017Journal Articlesclosedjournals/iet-cds/NathSS1710.1049/IET-CDS.2016.0252https://doi.org/10.1049/iet-cds.2016.0252https://dblp.org/rec/journals/iet-cds/NathSS17URL#2781294Cheeckottu Vayalil NirasYinan KongVLSI Architecture of Full-Search Variable-Block-Size Motion Estimation for HEVC Video Encoding.IET Circuits Devices Syst.116543-5482017Journal Articlesclosedjournals/iet-cds/NirasK1710.1049/IET-CDS.2016.0267https://doi.org/10.1049/iet-cds.2016.0267https://dblp.org/rec/journals/iet-cds/NirasK17URL#2781295Sen OuyangLiyuan LiuTest and study on sensitivity of electronic circuit in low-voltage release to voltage sags.IET Circuits Devices Syst.116529-5342017Journal Articlesclosedjournals/iet-cds/OuyangL1710.1049/IET-CDS.2016.0222https://doi.org/10.1049/iet-cds.2016.0222https://dblp.org/rec/journals/iet-cds/OuyangL17URL#2781296Mangaiyarkarasi PalaveashemKavitha AnbukumarDynamics and control of voltage multiplier cells integrated boost converter.IET Circuits Devices Syst.11168-792017Journal Articlesclosedjournals/iet-cds/PalaveashemA1710.1049/IET-CDS.2016.0035https://doi.org/10.1049/iet-cds.2016.0035https://dblp.org/rec/journals/iet-cds/PalaveashemA17URL#2781297Benjamin PfundtMarc ReichenbachDietmar FeyComprehensive curriculum for reconfigurable heterogeneous computer architecture education.IET Circuits Devices Syst.114292-2982017Journal Articlesclosedjournals/iet-cds/PfundtRF1710.1049/IET-CDS.2016.0399https://doi.org/10.1049/iet-cds.2016.0399https://dblp.org/rec/journals/iet-cds/PfundtRF17URL#2781298Munira RajaDavid DonaghyLaura Gonzalez-MaciaAntony J. KillardDesign and simulation of a high-gain organic operational amplifier for use in quantification of cholesterol in low-cost point-of-care devices.IET Circuits Devices Syst.115504-5112017Journal Articlesclosedjournals/iet-cds/RajaDGK1710.1049/IET-CDS.2016.0500https://doi.org/10.1049/iet-cds.2016.0500https://dblp.org/rec/journals/iet-cds/RajaDGK17URL#2781299Arjun RajanHarshal ShahVivek SangwanIshan BhadauriaVikram M. GadreAnalytic cascaded filterbanks for multicarrier modulation.IET Circuits Devices Syst.115428-4362017Journal Articlesclosedjournals/iet-cds/RajanSSBG1710.1049/IET-CDS.2016.0509https://doi.org/10.1049/iet-cds.2016.0509https://dblp.org/rec/journals/iet-cds/RajanSSBG17URL#2781300Pasupathy K. RamaniharanBindu BobyWidening and narrowing of time interval due to single-event transients in 45 nm vernier-type TDC.IET Circuits Devices Syst.116676-6812017Journal Articlesclosedjournals/iet-cds/RamaniharanB1710.1049/IET-CDS.2016.0512https://doi.org/10.1049/iet-cds.2016.0512https://dblp.org/rec/journals/iet-cds/RamaniharanB17URL#2781301Sindhu RamaswamyMamidala Jagadesh KumarDouble gate symmetric tunnel FET: investigation and analysis.IET Circuits Devices Syst.114365-3702017Journal Articlesclosedjournals/iet-cds/RamaswamyK1710.1049/IET-CDS.2016.0324https://doi.org/10.1049/iet-cds.2016.0324https://dblp.org/rec/journals/iet-cds/RamaswamyK17URL#2781302Bahram RashidiSayed Masoud SayediReza Rezaeian FarashahiEfficient and low-complexity hardware architecture of Gaussian normal basis multiplication over GF(2 m ) for elliptic curve cryptosystems.IET Circuits Devices Syst.112103-1122017Journal Articlesclosedjournals/iet-cds/RashidiSF1710.1049/IET-CDS.2015.0337https://doi.org/10.1049/iet-cds.2015.0337https://dblp.org/rec/journals/iet-cds/RashidiSF17URL#2781303Bahram RashidiSayed Masoud SayediReza Rezaeian FarashahiFull-custom hardware implementation of point multiplication on binary Edwards curves for application-specific integrated circuit elliptic curve cryptosystem applications.IET Circuits Devices Syst.116568-5782017Journal Articlesclosedjournals/iet-cds/RashidiSF17a10.1049/IET-CDS.2017.0110https://doi.org/10.1049/iet-cds.2017.0110https://dblp.org/rec/journals/iet-cds/RashidiSF17aURL#2781304Farzan RezaeiAdaptive g m 3 cancellation linearisation and its application to wide-tunable Gm-C filter design.IET Circuits Devices Syst.115478-4862017Journal Articlesclosedjournals/iet-cds/Rezaei1710.1049/IET-CDS.2016.0474https://doi.org/10.1049/iet-cds.2016.0474https://dblp.org/rec/journals/iet-cds/Rezaei17URL#2781305Leandro Santiago 0001Leandro A. J. MarzuloAlexandre da Costa SenaTiago A. O. AlvesFelipe M. G. FrançaOptimising loops in dynamic dataflow.IET Circuits Devices Syst.112113-1222017Journal Articlesclosedjournals/iet-cds/SantiagoMSAF1710.1049/IET-CDS.2015.0148https://doi.org/10.1049/iet-cds.2015.0148https://dblp.org/rec/journals/iet-cds/SantiagoMSAF17URL#2781306Shilpa SaxenaRajesh MehraLow-power and high-speed 13T SRAM cell using FinFETs.IET Circuits Devices Syst.113250-2552017Journal Articlesclosedjournals/iet-cds/SaxenaM1710.1049/IET-CDS.2016.0287https://doi.org/10.1049/iet-cds.2016.0287https://dblp.org/rec/journals/iet-cds/SaxenaM17URL#2781307Tapabrata SenAnoop Chandrika SreekantanSiddhartha Sen 0002Design and performance evaluation of two novel linearisation circuits for giant magneto-resistance based sensors.IET Circuits Devices Syst.115496-5032017Journal Articlesclosedjournals/iet-cds/SenAS1710.1049/IET-CDS.2017.0047https://doi.org/10.1049/iet-cds.2017.0047https://dblp.org/rec/journals/iet-cds/SenAS17URL#2781308Ravindra K. SharmaTajinder Singh AroraRaj SenaniOn the realisation of canonic single-resistance-controlled oscillators using third generation current conveyors.IET Circuits Devices Syst.11110-202017Journal Articlesclosedjournals/iet-cds/SharmaAS1710.1049/IET-CDS.2016.0210https://doi.org/10.1049/iet-cds.2016.0210https://dblp.org/rec/journals/iet-cds/SharmaAS17URL#2781309Ila SharmaAnil Kumar 0001Girish Kumar Singh 0002Heung-No LeeDesign of multiplierless prototype filter for two-channel filter bank using hybrid method in FCSD space.IET Circuits Devices Syst.11129-402017Journal Articlesclosedjournals/iet-cds/SharmaKSL1710.1049/IET-CDS.2016.0124https://doi.org/10.1049/iet-cds.2016.0124https://dblp.org/rec/journals/iet-cds/SharmaKSL17URL#2781310Anil SinghVeena RawatAlpana AgarwalLow-power 10-bit 100 MS/s pipelined ADC in digital CMOS technology.IET Circuits Devices Syst.116589-5962017Journal Articlesclosedjournals/iet-cds/SinghRA1710.1049/IET-CDS.2016.0525https://doi.org/10.1049/iet-cds.2016.0525https://dblp.org/rec/journals/iet-cds/SinghRA17URL#2781311Arun Kumar SinhaMárcio C. SchneiderShort startup, batteryless, self-starting thermal energy harvesting chip working in full clock cycle.IET Circuits Devices Syst.116521-5282017Journal Articlesclosedjournals/iet-cds/SinhaS1710.1049/IET-CDS.2016.0487https://doi.org/10.1049/iet-cds.2016.0487https://dblp.org/rec/journals/iet-cds/SinhaS17URL#2781312Bodapati SrinivasuK. Sridharan 0001Carbon nanotube FET-based low-delay and low-power multi-digit adder designs.IET Circuits Devices Syst.114352-3642017Journal Articlesclosedjournals/iet-cds/SrinivasuS1710.1049/IET-CDS.2016.0013https://doi.org/10.1049/iet-cds.2016.0013https://dblp.org/rec/journals/iet-cds/SrinivasuS17URL#2781313Archana SubramanianUma GovindarajanAnalysis and mitigation of EMI in DC-DC converters using QR interaction.IET Circuits Devices Syst.114371-3802017Journal Articlesclosedjournals/iet-cds/SubramanianG1710.1049/IET-CDS.2016.0288https://doi.org/10.1049/iet-cds.2016.0288https://dblp.org/rec/journals/iet-cds/SubramanianG17URL#2781314Sepehr TabrizchiAtiyeh PanahiFazel SharifiKeivan NaviNader BagherzadehMethod for designing ternary adder cells based on CNFETs.IET Circuits Devices Syst.115465-4702017Journal Articlesclosedjournals/iet-cds/TabrizchiPSNB1710.1049/IET-CDS.2016.0443https://doi.org/10.1049/iet-cds.2016.0443https://dblp.org/rec/journals/iet-cds/TabrizchiPSNB17URL#2781315Mohammad Taherzadeh-SaniMichiel SoerDominic DeslandesFrederic Nabki65 nm CMOS switching discontinuous-conduction mode buck converter with 330 ns start-up time for light-load power-cycled applications.IET Circuits Devices Syst.113267-2732017Journal Articlesclosedjournals/iet-cds/Taherzadeh-Sani1710.1049/IET-CDS.2016.0347https://doi.org/10.1049/iet-cds.2016.0347https://dblp.org/rec/journals/iet-cds/Taherzadeh-Sani17URL#2781316Christopher A. TuckerUlrich MuehlmannMichael GebhartContactless power transmission for NFC antennas in credit-card size format.IET Circuits Devices Syst.11195-1012017Journal Articlesclosedjournals/iet-cds/TuckerMG1710.1049/IET-CDS.2015.0023https://doi.org/10.1049/iet-cds.2015.0023https://dblp.org/rec/journals/iet-cds/TuckerMG17URL#2781317Abhishek VikramVineeta AgarwalAnshul AgarwalLithography technology for advanced devices and introduction to integrated CAD analysis for hotspot detection.IET Circuits Devices Syst.1111-92017Journal Articlesclosedjournals/iet-cds/VikramAA1710.1049/IET-CDS.2015.0325https://doi.org/10.1049/iet-cds.2015.0325https://dblp.org/rec/journals/iet-cds/VikramAA17URL#2781318Yu Wang 0136Hyunchul ShinEffective regularity extraction and placement techniques for datapath-intensive circuits.IET Circuits Devices Syst.115512-5192017Journal Articlesclosedjournals/iet-cds/WangS1710.1049/IET-CDS.2016.0249https://doi.org/10.1049/iet-cds.2016.0249https://dblp.org/rec/journals/iet-cds/WangS17URL#2781319Liang WenHaibo WenXiaoyang ZengSub-threshold level converter with internal supply feedback for multi-voltage applications.IET Circuits Devices Syst.112149-1562017Journal Articlesclosedjournals/iet-cds/WenWZ1710.1049/IET-CDS.2015.0359https://doi.org/10.1049/iet-cds.2015.0359https://dblp.org/rec/journals/iet-cds/WenWZ17URL#2781320Jiangtao XuZhaoyang YinXinji ZengZhiyuan GaoJing Gao 0002Event-driven detection method based on pseudo-differential self-timed inverter-based incremental sigma-delta analogue-to-digital converter.IET Circuits Devices Syst.113216-2242017Journal Articlesclosedjournals/iet-cds/XuYZGG1710.1049/IET-CDS.2016.0270https://doi.org/10.1049/iet-cds.2016.0270https://dblp.org/rec/journals/iet-cds/XuYZGG17URL#2781321Yanhan ZengYu-Ao LiuXin ZhangHong-Zhou TanUltra-low-power, high PSRR CMOS voltage reference with negative feedback.IET Circuits Devices Syst.116535-5422017Journal Articlesclosedjournals/iet-cds/ZengLZT1710.1049/IET-CDS.2016.0452https://doi.org/10.1049/iet-cds.2016.0452https://dblp.org/rec/journals/iet-cds/ZengLZT17URL#2781322Xiaohong ZhangYanli QiDesign of an assemble-type fractional-order unit circuit and its application in Lorenz system.IET Circuits Devices Syst.115437-4452017Journal Articlesclosedjournals/iet-cds/ZhangQ1710.1049/IET-CDS.2016.0145https://doi.org/10.1049/iet-cds.2016.0145https://dblp.org/rec/journals/iet-cds/ZhangQ17URL#2781323Fatemeh AeziniaBehraad BahreyniLow-power, parasitic-insensitive interface circuit for capacitive microsensors.IET Circuits Devices Syst.102104-1102016Journal Articlesclosedjournals/iet-cds/AeziniaB1610.1049/IET-CDS.2015.0077https://doi.org/10.1049/iet-cds.2015.0077https://dblp.org/rec/journals/iet-cds/AeziniaB16URL#3117758Ashok AgarwalLakshmi BopannaSVD based reconfigurable SRC filter for multi-standard radio receivers.IET Circuits Devices Syst.105375-3822016Journal Articlesclosedjournals/iet-cds/AgarwalB1610.1049/IET-CDS.2016.0073https://doi.org/10.1049/iet-cds.2016.0073https://dblp.org/rec/journals/iet-cds/AgarwalB16URL#3117759Mayur AgarwalArijit DeSwapna BanerjeeArchitecture of a real-time delay calculator for digital beamforming in ultrasound system.IET Circuits Devices Syst.104322-3292016Journal Articlesclosedjournals/iet-cds/AgarwalDB1610.1049/IET-CDS.2015.0189https://doi.org/10.1049/iet-cds.2015.0189https://dblp.org/rec/journals/iet-cds/AgarwalDB16URL#3117760Haider Abbas F. AlmuribThulasiraman Nandha KumarFabrizio LombardiDesign and evaluation of a memristor-based look-up table for non-volatile field programmable gate arrays.IET Circuits Devices Syst.104292-3002016Journal Articlesclosedjournals/iet-cds/AlmuribKL1610.1049/IET-CDS.2015.0217https://doi.org/10.1049/iet-cds.2015.0217https://dblp.org/rec/journals/iet-cds/AlmuribKL16URL#3117761Rafael AssaltiLígia Martins d'OliveiraMarcelo Antonio PavanelloDenis FlandreMichelly de SouzaExperimental and simulation analysis of electrical characteristics of common-source current mirrors implemented with asymmetric self-cascode silicon-on-insulator n-channel metal-oxide-semiconductor field-effect transistors.IET Circuits Devices Syst.104349-3552016Journal Articlesclosedjournals/iet-cds/AssaltidPFS1610.1049/IET-CDS.2015.0159https://doi.org/10.1049/iet-cds.2015.0159https://dblp.org/rec/journals/iet-cds/AssaltidPFS16URL#3117762Agasthya AyachitMarian K. KazimierczukTransfer functions of a transformer at different values of coupling coefficient.IET Circuits Devices Syst.104337-3482016Journal Articlesclosedjournals/iet-cds/AyachitK1610.1049/IET-CDS.2015.0147https://doi.org/10.1049/iet-cds.2015.0147https://dblp.org/rec/journals/iet-cds/AyachitK16URL#3117763Cédric BourrassetLuca MaggianiJocelyn SérotFrançois BerryDataflow object detection system for FPGA-based smart camera.IET Circuits Devices Syst.104280-2912016Journal Articlesclosedjournals/iet-cds/BourrassetMSB1610.1049/IET-CDS.2015.0071https://doi.org/10.1049/iet-cds.2015.0071https://dblp.org/rec/journals/iet-cds/BourrassetMSB16URL#3117764Sangjin Byun0.97 mW/Gb/s, 4 Gb/s CMOS clock and data recovery IC with dynamic voltage scaling.IET Circuits Devices Syst.103220-2282016Journal Articlesclosedjournals/iet-cds/Byun1610.1049/IET-CDS.2015.0138https://doi.org/10.1049/iet-cds.2015.0138https://dblp.org/rec/journals/iet-cds/Byun16URL#3117765Alessandro CabriniStefano GregoriGuido TorelliIntegrated charge pumps: a generalised method for power efficiency optimisation.IET Circuits Devices Syst.10112-192016Journal Articlesclosedjournals/iet-cds/CabriniGT1610.1049/IET-CDS.2014.0185https://doi.org/10.1049/iet-cds.2014.0185https://dblp.org/rec/journals/iet-cds/CabriniGT16URL#3117766Jamin ChoiIckjin KwonCMOS impulse radio ultra-wideband Gaussian pulse generator with variable channel and bandwidth.IET Circuits Devices Syst.102135-1392016Journal Articlesclosedjournals/iet-cds/ChoiK1610.1049/IET-CDS.2015.0229https://doi.org/10.1049/iet-cds.2015.0229https://dblp.org/rec/journals/iet-cds/ChoiK16URL#3117767Rasoul DehghaniCMOS injection-locked frequency divider with division factor of three.IET Circuits Devices Syst.10168-772016Journal Articlesclosedjournals/iet-cds/Dehghani1610.1049/IET-CDS.2014.0363https://doi.org/10.1049/iet-cds.2014.0363https://dblp.org/rec/journals/iet-cds/Dehghani16URL#3117768Zhaoming DingHaiqi LiuQiang Li 0021Phase-error cancellation technique for fast-lock phase-locked loop.IET Circuits Devices Syst.105417-4222016Journal Articlesclosedjournals/iet-cds/DingLL1610.1049/IET-CDS.2015.0201https://doi.org/10.1049/iet-cds.2015.0201https://dblp.org/rec/journals/iet-cds/DingLL16URL#3117769Amit Krishna DwivediAminul Islam 0002Design of magnetic tunnel junction-based tunable spin torque oscillator at nanoscale regime.IET Circuits Devices Syst.102121-1292016Journal Articlesclosedjournals/iet-cds/DwivediI1610.1049/IET-CDS.2015.0104https://doi.org/10.1049/iet-cds.2015.0104https://dblp.org/rec/journals/iet-cds/DwivediI16URL#3117770Xiang GaoZhengwei DuSPICE models of a multi-antenna system for transmitting and receiving.IET Circuits Devices Syst.103229-2362016Journal Articlesclosedjournals/iet-cds/GaoD1610.1049/IET-CDS.2015.0208https://doi.org/10.1049/iet-cds.2015.0208https://dblp.org/rec/journals/iet-cds/GaoD16URL#3117771Kaveh GharehbaghiÖzge ZorluFatih KoçerHaluk KulahModelling and efficiency optimisation of UHF Dickson rectifiers.IET Circuits Devices Syst.106504-5132016Journal Articlesclosedjournals/iet-cds/GharehbaghiZKK1610.1049/IET-CDS.2015.0323https://doi.org/10.1049/iet-cds.2015.0323https://dblp.org/rec/journals/iet-cds/GharehbaghiZKK16URL#3117772Spenser GillilandPramod GovindanJafar SaniieArchitecture of the reconfigurable ultrasonic system-on-chip hardware platform.IET Circuits Devices Syst.104301-3082016Journal Articlesclosedjournals/iet-cds/GillilandGS1610.1049/IET-CDS.2015.0146https://doi.org/10.1049/iet-cds.2015.0146https://dblp.org/rec/journals/iet-cds/GillilandGS16URL#3117773Antony Xavier GlittasMathini SellathuraiGopalakrishnan LakshminarayananTwo-parallel pipelined fast Fourier transform processors for real-valued signals.IET Circuits Devices Syst.104330-3362016Journal Articlesclosedjournals/iet-cds/GlittasSL1610.1049/IET-CDS.2015.0256https://doi.org/10.1049/iet-cds.2015.0256https://dblp.org/rec/journals/iet-cds/GlittasSL16URL#3117774Pramod GovindanBoyang WangPrashaanth RaviJafar SaniieHardware and software architectures for computationally efficient three-dimensional ultrasonic data compression.IET Circuits Devices Syst.10154-612016Journal Articlesclosedjournals/iet-cds/GovindanWRS1610.1049/IET-CDS.2015.0083https://doi.org/10.1049/iet-cds.2015.0083https://dblp.org/rec/journals/iet-cds/GovindanWRS16URL#3117775Rui GuanJing Jin 0005Wenjie PanDongpo ChenJianjun ZhouWideband dual-mode complementary metal-oxide-semiconductor receiver.IET Circuits Devices Syst.10287-932016Journal Articlesclosedjournals/iet-cds/GuanJPCZ1610.1049/IET-CDS.2015.0022https://doi.org/10.1049/iet-cds.2015.0022https://dblp.org/rec/journals/iet-cds/GuanJPCZ16URL#3117776Jaime Octavio Guerra-PulidoPablo Roberto Pérez-AlcázarEdgar Álvarez-ZaucoTheoretical and experimental characterisation of a SAW delay line through its Y-matrix.IET Circuits Devices Syst.105394-4012016Journal Articlesclosedjournals/iet-cds/Guerra-PulidoPA1610.1049/IET-CDS.2016.0038https://doi.org/10.1049/iet-cds.2016.0038https://dblp.org/rec/journals/iet-cds/Guerra-PulidoPA16URL#3117777Jia GuoMario E. MagañaCompensation method of the excess loop delay in continuous-time delta-sigma analog-to-digital converters based on model matching approach.IET Circuits Devices Syst.10129-362016Journal Articlesclosedjournals/iet-cds/GuoM1610.1049/IET-CDS.2014.0368https://doi.org/10.1049/iet-cds.2014.0368https://dblp.org/rec/journals/iet-cds/GuoM16URL#3117778Mohsen HayatiSobhan RoshaniMarian K. KazimierczukHiroo SekiyaAnalysis and design of class E power amplifier considering MOSFET parasitic input and output capacitances.IET Circuits Devices Syst.105433-4402016Journal Articlesclosedjournals/iet-cds/HayatiRKS1610.1049/IET-CDS.2015.0271https://doi.org/10.1049/iet-cds.2015.0271https://dblp.org/rec/journals/iet-cds/HayatiRKS16URL#3117779Jui-Hung HungYu-Cheng LinWei-Kai ChengTsai-Ming HsiehUnified approach for simultaneous functional and timing ECO.IET Circuits Devices Syst.106514-5212016Journal Articlesclosedjournals/iet-cds/HungLCH1610.1049/IET-CDS.2015.0395https://doi.org/10.1049/iet-cds.2015.0395https://dblp.org/rec/journals/iet-cds/HungLCH16URL#3117780Fereshteh JafarzadehpourPeiman KeshavarzianLow-power consumption ternary full adder based on CNTFET.IET Circuits Devices Syst.105365-3742016Journal Articlesclosedjournals/iet-cds/JafarzadehpourK1610.1049/IET-CDS.2015.0264https://doi.org/10.1049/iet-cds.2015.0264https://dblp.org/rec/journals/iet-cds/JafarzadehpourK16URL#3117781Aditya JapaHarshita VallabhaneniRamesh VaddiReliability enhancement of a steep slope tunnel transistor based ring oscillator designs with circuit interaction.IET Circuits Devices Syst.106522-5272016Journal Articlesclosedjournals/iet-cds/JapaVV1610.1049/IET-CDS.2016.0262https://doi.org/10.1049/iet-cds.2016.0262https://dblp.org/rec/journals/iet-cds/JapaVV16URL#3117782Jennifer Judy Dominic JawaharSupreeth Mysore Shivananda MurthyKanchana Bhaaskaran Vettuvanam SomasundaramSelf-gated resonant-clocked flip-flop optimised for power efficiency and signal integrity.IET Circuits Devices Syst.10294-1032016Journal Articlesclosedjournals/iet-cds/JawaharMS1610.1049/IET-CDS.2014.0282https://doi.org/10.1049/iet-cds.2014.0282https://dblp.org/rec/journals/iet-cds/JawaharMS16URL#3117783Kanjalochan JenaRaghunandan SwainTrupti Ranjan LenkaEffect of thin gate dielectrics on DC, radio frequency and linearity characteristics of lattice-matched AlInN/AlN/GaN metal-oxide-semiconductor high electron mobility transistor.IET Circuits Devices Syst.105423-4322016Journal Articlesclosedjournals/iet-cds/JenaSL1610.1049/IET-CDS.2015.0332https://doi.org/10.1049/iet-cds.2015.0332https://dblp.org/rec/journals/iet-cds/JenaSL16URL#3117784Haikun JiaBaoyong ChiLixue KuangZhihua Wang 0001Simple and robust self-healing technique for millimetre-wave amplifiers.IET Circuits Devices Syst.10137-432016Journal Articlesclosedjournals/iet-cds/JiaCKW1610.1049/IET-CDS.2015.0029https://doi.org/10.1049/iet-cds.2015.0029https://dblp.org/rec/journals/iet-cds/JiaCKW16URL#3117785Vijaya Kumar KanchetlaRahul ShresthaRoy PailyMulti-standard high-throughput and low-power quasi-cyclic low density parity check decoder for worldwide interoperability for microwave access and wireless fidelity standards.IET Circuits Devices Syst.102111-1202016Journal Articlesclosedjournals/iet-cds/KanchetlaSP1610.1049/IET-CDS.2014.0347https://doi.org/10.1049/iet-cds.2014.0347https://dblp.org/rec/journals/iet-cds/KanchetlaSP16URL#3117786Jasleen KaurAnita KumariImpact of source/drain doping concentration on graphene nanoribbon field effect transistor performance.IET Circuits Devices Syst.106457-4622016Journal Articlesclosedjournals/iet-cds/KaurK1610.1049/IET-CDS.2016.0094https://doi.org/10.1049/iet-cds.2016.0094https://dblp.org/rec/journals/iet-cds/KaurK16URL#3117787Mohammad Alibakhshi KenariMohammad Naser-MoghadasiRamazan Ali SadeghzadehBal S. VirdeeErnesto LimitiDual-band RFID tag antenna based on the Hilbert-curve fractal for HF and UHF applications.IET Circuits Devices Syst.102140-1462016Journal Articlesclosedjournals/iet-cds/KenariNSVL1610.1049/IET-CDS.2015.0221https://doi.org/10.1049/iet-cds.2015.0221https://dblp.org/rec/journals/iet-cds/KenariNSVL16URL#3117788Yoonjin KimHyejin JooSohyun YoonInter-coarse-grained reconfigurable architecture reconfiguration technique for efficient pipelining of kernel-stream on coarse-grained reconfigurable architecture-based multi-core architecture.IET Circuits Devices Syst.104251-2652016Journal Articlesclosedjournals/iet-cds/KimJY1610.1049/IET-CDS.2015.0047https://doi.org/10.1049/iet-cds.2015.0047https://dblp.org/rec/journals/iet-cds/KimJY16URL#3117789Baekseok KoJoo-Won KimJaemin RyooChulsoon HwangChan-Keun KwonSoo-Won KimPractical approach to power integrity-driven design process for power-delivery networks.IET Circuits Devices Syst.105448-4552016Journal Articlesclosedjournals/iet-cds/KoKRHKK1610.1049/IET-CDS.2015.0285https://doi.org/10.1049/iet-cds.2015.0285https://dblp.org/rec/journals/iet-cds/KoKRHKK16URL#3117790Mayank Kumar 0001Rajesh Gupta 0002Sampled time domain analysis of digital pulse width modulation for feedback controlled converters.IET Circuits Devices Syst.106481-4912016Journal Articlesclosedjournals/iet-cds/KumarG1610.1049/IET-CDS.2016.0132https://doi.org/10.1049/iet-cds.2016.0132https://dblp.org/rec/journals/iet-cds/KumarG16URL#3117791Montree KumngernFabian Khateb0.5 V fully differential current conveyor using bulk-driven quasi-floating-gate technique.IET Circuits Devices Syst.10178-862016Journal Articlesclosedjournals/iet-cds/KumngernK1610.1049/IET-CDS.2014.0260https://doi.org/10.1049/iet-cds.2014.0260https://dblp.org/rec/journals/iet-cds/KumngernK16URL#3117792Xin Li 0042Xin LiWen Jiang 0002Wei ZhouOptimising thermal sensor placement and thermal maps reconstruction for microprocessors using simulated annealing algorithm based on PCA.IET Circuits Devices Syst.106463-4722016Journal Articlesclosedjournals/iet-cds/LiLJZ1610.1049/IET-CDS.2016.0201https://doi.org/10.1049/iet-cds.2016.0201https://dblp.org/rec/journals/iet-cds/LiLJZ16URL#3117793Cang LiuChuan TangLuechao YuanZuocheng XingYang Zhang 0026QR decomposition architecture using the iteration look-ahead modified Gram-Schmidt algorithm.IET Circuits Devices Syst.105402-4092016Journal Articlesclosedjournals/iet-cds/LiuTYXZ1610.1049/IET-CDS.2015.0349https://doi.org/10.1049/iet-cds.2015.0349https://dblp.org/rec/journals/iet-cds/LiuTYXZ16URL#3117794Matthew MayhewRadu MuresanImplementation of a decoupling based power analysis attack countermeasure.IET Circuits Devices Syst.106528-5352016Journal Articlesclosedjournals/iet-cds/MayhewM1610.1049/IET-CDS.2016.0010https://doi.org/10.1049/iet-cds.2016.0010https://dblp.org/rec/journals/iet-cds/MayhewM16URL#3117795Siraj Fulum MossaSyed Rafay HasanOmar Sayed Ahmed ElkeelanyGrouped through silicon vias for lower Ldi/dt drop in three-dimensional integrated circuit.IET Circuits Devices Syst.10144-532016Journal Articlesclosedjournals/iet-cds/MossaHE1610.1049/IET-CDS.2015.0065https://doi.org/10.1049/iet-cds.2015.0065https://dblp.org/rec/journals/iet-cds/MossaHE16URL#3117796Narges MousaviMohammad SharifkhaniMohsen JalaliUltra-low power current mode all- MOS ASK demodulator for radio frequency identification applications.IET Circuits Devices Syst.102130-1342016Journal Articlesclosedjournals/iet-cds/MousaviSJ1610.1049/IET-CDS.2014.0252https://doi.org/10.1049/iet-cds.2014.0252https://dblp.org/rec/journals/iet-cds/MousaviSJ16URL#3117797Sagar MukherjeeKalyan KoleyArka DuttaChandan Kumar SarkarLow-power amplitude modulator for wireless application using underlap double-gate metal-oxide-semiconductor field-effect transistor.IET Circuits Devices Syst.103201-2082016Journal Articlesclosedjournals/iet-cds/MukherjeeKDS1610.1049/IET-CDS.2015.0212https://doi.org/10.1049/iet-cds.2015.0212https://dblp.org/rec/journals/iet-cds/MukherjeeKDS16URL#3117798Sagar MukherjeeSwarnil RoyArka DuttaChandan Kumar SarkarStudy on effect of back oxide thickness variation in FDSOI MOSFET on analogue circuit performance.IET Circuits Devices Syst.106497-5032016Journal Articlesclosedjournals/iet-cds/MukherjeeRDS1610.1049/IET-CDS.2016.0234https://doi.org/10.1049/iet-cds.2016.0234https://dblp.org/rec/journals/iet-cds/MukherjeeRDS16URL#3117799Tingyuan NieLijian ZhouZhe-Ming LuFingerprinting methods for intellectual property protection using constraints in circuit partitioning.IET Circuits Devices Syst.103237-2432016Journal Articlesclosedjournals/iet-cds/NieZL1610.1049/IET-CDS.2015.0036https://doi.org/10.1049/iet-cds.2015.0036https://dblp.org/rec/journals/iet-cds/NieZL16URL#3117800Julien G. NoelReview of the properties of gold material for MEMS membrane applications.IET Circuits Devices Syst.102156-1612016Journal Articlesclosedjournals/iet-cds/Noel1610.1049/IET-CDS.2015.0094https://doi.org/10.1049/iet-cds.2015.0094https://dblp.org/rec/journals/iet-cds/Noel16URL#3117801Mangaiyarkarasi PalaveashemKavitha AnbukumarReduced order linear quadratic regulator controller for voltage multiplier cells integrated boost converter.IET Circuits Devices Syst.106536-5482016Journal Articlesclosedjournals/iet-cds/PalaveashemA1610.1049/IET-CDS.2016.0228https://doi.org/10.1049/iet-cds.2016.0228https://dblp.org/rec/journals/iet-cds/PalaveashemA16URL#3117802Neeta PandeyDeva NandRajeshwari PandeyGeneralised operational floating current conveyor based instrumentation amplifier.IET Circuits Devices Syst.103209-2192016Journal Articlesclosedjournals/iet-cds/PandeyNP1610.1049/IET-CDS.2015.0243https://doi.org/10.1049/iet-cds.2015.0243https://dblp.org/rec/journals/iet-cds/PandeyNP16URL#3117803Peter PawliukKent NickersonPeriodic switching circuit analysis using admittance matrices.IET Circuits Devices Syst.104274-2792016Journal Articlesclosedjournals/iet-cds/PawliukN1610.1049/IET-CDS.2015.0226https://doi.org/10.1049/iet-cds.2015.0226https://dblp.org/rec/journals/iet-cds/PawliukN16URL#3117804Kumar Prasannajit PradhanPrasanna Kumar SahuBenefits of asymmetric underlap dual-k spacer hybrid fin field-effect transistor over bulk fin field-effect transistor.IET Circuits Devices Syst.105441-4472016Journal Articlesclosedjournals/iet-cds/PradhanS1610.1049/IET-CDS.2016.0125https://doi.org/10.1049/iet-cds.2016.0125https://dblp.org/rec/journals/iet-cds/PradhanS16URL#3117805Shiju Padmanabhan PuthenpurayilIndrajit ChakrabartiRishi VirdiHarsh KaushikVery large scale integration architecture for block-matching motion estimation using adaptive rood pattern search algorithm.IET Circuits Devices Syst.104309-3162016Journal Articlesclosedjournals/iet-cds/PuthenpurayilCV1610.1049/IET-CDS.2015.0108https://doi.org/10.1049/iet-cds.2015.0108https://dblp.org/rec/journals/iet-cds/PuthenpurayilCV16URL#3117806Arun Kumar RayRathin Chandra ShitDesign of ultra-low noise, wideband low-noise amplifier for highly survival radar receiver.IET Circuits Devices Syst.106473-4802016Journal Articlesclosedjournals/iet-cds/RayS1610.1049/IET-CDS.2016.0065https://doi.org/10.1049/iet-cds.2016.0065https://dblp.org/rec/journals/iet-cds/RayS16URL#3117807Ankur Guha RoyKartikeya MayaramTerri S. FiezFast start-up analysis of resonator based oscillators using a power generation method.IET Circuits Devices Syst.105357-3642016Journal Articlesclosedjournals/iet-cds/RoyMF1610.1049/IET-CDS.2015.0299https://doi.org/10.1049/iet-cds.2015.0299https://dblp.org/rec/journals/iet-cds/RoyMF16URL#3117808Mehmet SagbasShahram MinaeiUmut Engin AytenComponent reduced current-mode full-wave rectifier circuits using single active component.IET Circuits Devices Syst.1011-112016Journal Articlesclosedjournals/iet-cds/SagbasMA1610.1049/IET-CDS.2013.0461https://doi.org/10.1049/iet-cds.2013.0461https://dblp.org/rec/journals/iet-cds/SagbasMA16URL#3117809Neha SharanSantanu MahapatraCompact noise modelling for common double-gate metal-oxide-semiconductor field-effect transistor adapted to gate-oxide-thickness asymmetry.IET Circuits Devices Syst.10162-672016Journal Articlesclosedjournals/iet-cds/SharanM1610.1049/IET-CDS.2015.0128https://doi.org/10.1049/iet-cds.2015.0128https://dblp.org/rec/journals/iet-cds/SharanM16URL#3117810Akram SheikhiMohsen HayatiAndrei GrebennikovEffect of gate-to-drain and drain-to-source parasitic capacitances of MOSFET on the performance of Class-E/F3 power amplifier.IET Circuits Devices Syst.103192-2002016Journal Articlesclosedjournals/iet-cds/SheikhiHG1610.1049/IET-CDS.2015.0140https://doi.org/10.1049/iet-cds.2015.0140https://dblp.org/rec/journals/iet-cds/SheikhiHG16URL#3117811Karim SoltanzadehHosein KhalilianMajid DehghaniAnalysis, design and implementation of a zero voltage switching two-switch CCM flyback converter.IET Circuits Devices Syst.10120-282016Journal Articlesclosedjournals/iet-cds/SoltanzadehKD1610.1049/IET-CDS.2014.0329https://doi.org/10.1049/iet-cds.2014.0329https://dblp.org/rec/journals/iet-cds/SoltanzadehKD16URL#3117812Junwei SunLina YaoXuncai ZhangYanfeng WangGuangzhao CuiGeneralised mathematical model of memristor.IET Circuits Devices Syst.103244-2492016Journal Articlesclosedjournals/iet-cds/SunYZWC1610.1049/IET-CDS.2014.0381https://doi.org/10.1049/iet-cds.2014.0381https://dblp.org/rec/journals/iet-cds/SunYZWC16URL#3117813Zarrin Tasnim SwornaMubin Ul HaqueNazma TaraHafiz Md. Hasan BabuAshis Kumer BiswasLow-power and area efficient binary coded decimal adder design using a look up table-based field programmable gate array.IET Circuits Devices Syst.103163-1722016Journal Articlesclosedjournals/iet-cds/SwornaHTBB1610.1049/IET-CDS.2015.0213https://doi.org/10.1049/iet-cds.2015.0213https://dblp.org/rec/journals/iet-cds/SwornaHTBB16URL#3117814Chua-Chin WangZong-You HouWen-Je LuSheng-Syong WangHigh-voltage on-chip current sensor design and analysis for battery modules.IET Circuits Devices Syst.106492-4962016Journal Articlesclosedjournals/iet-cds/WangHLW1610.1049/IET-CDS.2015.0374https://doi.org/10.1049/iet-cds.2015.0374https://dblp.org/rec/journals/iet-cds/WangHLW16URL#3117815Chuang Wang 0004Zunchao LiKai ZhaoQiang GuoEfficient self-powered convertor with digitally controlled oscillator-based adaptive maximum power point tracking and RF kick-start for ultralow-voltage thermoelectric energy harvesting.IET Circuits Devices Syst.102147-1552016Journal Articlesclosedjournals/iet-cds/WangLZG1610.1049/IET-CDS.2015.0058https://doi.org/10.1049/iet-cds.2015.0058https://dblp.org/rec/journals/iet-cds/WangLZG16URL#3117816Jianmin Wang 0011Sen-Tung WuSensorless control scheme for synchronous buck converter.IET Circuits Devices Syst.103181-1912016Journal Articlesclosedjournals/iet-cds/WangW1610.1049/IET-CDS.2015.0194https://doi.org/10.1049/iet-cds.2015.0194https://dblp.org/rec/journals/iet-cds/WangW16URL#3117817Peng Wang 0013Trond YtterdalLow noise, -50 dB second harmonic distortion single-ended to differential switched-capacitive variable gain amplifier for ultrasound imaging.IET Circuits Devices Syst.103173-1802016Journal Articlesclosedjournals/iet-cds/WangY1610.1049/IET-CDS.2014.0364https://doi.org/10.1049/iet-cds.2014.0364https://dblp.org/rec/journals/iet-cds/WangY16URL#3117818Lanhua XiaJianhui Wu 0001Cheng Huang 0005Meng ZhangBuilt-in self-test structure for fault detection of charge-pump phase-locked loop.IET Circuits Devices Syst.104317-3212016Journal Articlesclosedjournals/iet-cds/XiaWHZ1610.1049/IET-CDS.2015.0224https://doi.org/10.1049/iet-cds.2015.0224https://dblp.org/rec/journals/iet-cds/XiaWHZ16URL#3117819Jing XieJin TianQin WangConcurrent optimisation method for three-dimensional power delivery network design.IET Circuits Devices Syst.104266-2732016Journal Articlesclosedjournals/iet-cds/XieTW1610.1049/IET-CDS.2015.0112https://doi.org/10.1049/iet-cds.2015.0112https://dblp.org/rec/journals/iet-cds/XieTW16URL#3117820Rui Zhou 0011Diyi ChenHerbert Ho-Ching IuChengjie QiFractional-order LβCα infinite rectangle circuit network.IET Circuits Devices Syst.105383-3932016Journal Articlesclosedjournals/iet-cds/ZhouCIQ1610.1049/IET-CDS.2015.0247https://doi.org/10.1049/iet-cds.2015.0247https://dblp.org/rec/journals/iet-cds/ZhouCIQ16URL#3117821Jing Zhu 0006Yunwu ZhangWeifeng SunYangyang LuYicheng DuYangbo YiBipolar gate drive integrated circuit for insulated gate bipolar transistor to achieve better tradeoff between the turn-off losses and collector voltage overshoot.IET Circuits Devices Syst.105410-4162016Journal Articlesclosedjournals/iet-cds/ZhuZSLDY1610.1049/IET-CDS.2015.0179https://doi.org/10.1049/iet-cds.2015.0179https://dblp.org/rec/journals/iet-cds/ZhuZSLDY16URL#3117822Homod S. AlaabdlqaderAdam SleimanPaul SayersMohammed F. MabrookGraphene oxide-based non-volatile organic field effect memory transistors.IET Circuits Devices Syst.9167-712015Journal Articlesclosedjournals/iet-cds/AlaabdlqaderSSM1510.1049/IET-CDS.2014.0064https://doi.org/10.1049/iet-cds.2014.0064https://dblp.org/rec/journals/iet-cds/AlaabdlqaderSSM15URL#3431795Davide AlghisiMarco Ferrari 0002Vittorio FerrariBattery-less non-contact temperature measurement system powered by energy harvesting from intentional human action.IET Circuits Devices Syst.9296-1042015Journal Articlesclosedjournals/iet-cds/AlghisiFF1510.1049/IET-CDS.2013.0437https://doi.org/10.1049/iet-cds.2013.0437https://dblp.org/rec/journals/iet-cds/AlghisiFF15URL#3431796M. Abdel AllHanan M. HassanM. HamdyOmar A. NasrKarim MohamedAhmed F. ShalashDesign and implementation of application-specific instruction-set processor design for high-throughput multi-standard wireless orthogonal frequency division multiplexing baseband processor.IET Circuits Devices Syst.93191-2032015Journal Articlesclosedjournals/iet-cds/AllHHNMS1510.1049/IET-CDS.2014.0046https://doi.org/10.1049/iet-cds.2014.0046https://dblp.org/rec/journals/iet-cds/AllHHNMS15URL#3431797Shakil A. AwanGenhua PanLaith M. Al TaanBing LiNawfal JamilRadio-frequency transport Electromagnetic Properties of chemical vapour deposition graphene from direct current to 110 MHz.IET Circuits Devices Syst.9146-512015Journal Articlesclosedjournals/iet-cds/AwanPTLJ1510.1049/IET-CDS.2014.0204https://doi.org/10.1049/iet-cds.2014.0204https://dblp.org/rec/journals/iet-cds/AwanPTLJ15URL#3431798Indranil BanerjeePaul Harris 0001Ali SalimianAsim K. RayGraphene oxide thin films for resistive memory switches.IET Circuits Devices Syst.96428-4332015Journal Articlesclosedjournals/iet-cds/BanerjeeHSR1510.1049/IET-CDS.2015.0170https://doi.org/10.1049/iet-cds.2015.0170https://dblp.org/rec/journals/iet-cds/BanerjeeHSR15URL#3431799Kota Naga Srinivasarao BattaIndrajit ChakrabartiMohammad Nawaz AhmadHigh-speed low-power very-large-scale integration architecture for dual-standard deblocking filter.IET Circuits Devices Syst.95377-3832015Journal Articlesclosedjournals/iet-cds/BattaCA1510.1049/IET-CDS.2014.0310https://doi.org/10.1049/iet-cds.2014.0310https://dblp.org/rec/journals/iet-cds/BattaCA15URL#3431800Guido BelfioreLászló SzilágyiRonny HenkerUdo JörgesFrank EllingerDesign of a 56 Gbit/s 4-level pulse-amplitude-modulation inductor-less vertical-cavity surface-emitting laser driver integrated circuit in 130 nm BiCMOS technology.IET Circuits Devices Syst.93213-2202015Journal Articlesclosedjournals/iet-cds/BelfioreSHJE1510.1049/IET-CDS.2014.0240https://doi.org/10.1049/iet-cds.2014.0240https://dblp.org/rec/journals/iet-cds/BelfioreSHJE15URL#3431801Bharadvaj BhamidipatiAdrian Colli-MenchiEdgar Sánchez-SinencioLow power complementary metal-oxide semiconductor class-G audio amplifier with gradual power supply switching.IET Circuits Devices Syst.94256-2642015Journal Articlesclosedjournals/iet-cds/BhamidipatiCS1510.1049/IET-CDS.2014.0263https://doi.org/10.1049/iet-cds.2014.0263https://dblp.org/rec/journals/iet-cds/BhamidipatiCS15URL#3431802Matteo BiggioFederico BizzarriAngelo BrambillaMarco StoraceEfficient transient noise analysis of non-periodic mixed analogue/digital circuits.IET Circuits Devices Syst.9273-802015Journal Articlesclosedjournals/iet-cds/BiggioBBS1510.1049/IET-CDS.2013.0438https://doi.org/10.1049/iet-cds.2013.0438https://dblp.org/rec/journals/iet-cds/BiggioBBS15URL#3431803Thomas H. BointonSaverio RussoMonica Felicia CraciunIs graphene a good transparent electrode for photovoltaics and display applications?IET Circuits Devices Syst.96403-4122015Journal Articlesclosedjournals/iet-cds/BointonRC1510.1049/IET-CDS.2015.0121https://doi.org/10.1049/iet-cds.2015.0121https://dblp.org/rec/journals/iet-cds/BointonRC15URL#3431804Numan CelikWamadeva BalachandranNadarajah ManivannanGraphene-based biosensors: methods, analysis and future perspectives.IET Circuits Devices Syst.96434-4452015Journal Articlesclosedjournals/iet-cds/CelikBM1510.1049/IET-CDS.2015.0235https://doi.org/10.1049/iet-cds.2015.0235https://dblp.org/rec/journals/iet-cds/CelikBM15URL#3431805Tapash ChakrabortyVadym M. ApalkovFractal butterflies of Dirac fermions in monolayer and bilayer graphene.IET Circuits Devices Syst.9119-292015Journal Articlesclosedjournals/iet-cds/ChakrabortyA1510.1049/IET-CDS.2014.0275https://doi.org/10.1049/iet-cds.2014.0275https://dblp.org/rec/journals/iet-cds/ChakrabortyA15URL#3431806Dagou ZezeMohammed F. MabrookGraphene Electronics, Volume 2.IET Circuits Devices Syst.963852015Journal Articlesclosedjournals/iet-cds/DagouM1510.1049/IET-CDS.2015.0326https://doi.org/10.1049/iet-cds.2015.0326https://dblp.org/rec/journals/iet-cds/DagouM15URL#3431807Asma DehghaniMohsen SaneeiAli Mahani 0001Time-to-digital convertor based on resolution control.IET Circuits Devices Syst.95370-3762015Journal Articlesclosedjournals/iet-cds/DehghaniSM1510.1049/IET-CDS.2014.0325https://doi.org/10.1049/iet-cds.2014.0325https://dblp.org/rec/journals/iet-cds/DehghaniSM15URL#3431808Vishesh DokaniaAminul Islam 0002Circuit-level design technique to mitigate impact of process, voltage and temperature variations in complementary metal-oxide semiconductor full adder cells.IET Circuits Devices Syst.93204-2122015Journal Articlesclosedjournals/iet-cds/DokaniaI1510.1049/IET-CDS.2014.0167https://doi.org/10.1049/iet-cds.2014.0167https://dblp.org/rec/journals/iet-cds/DokaniaI15URL#3431809Abbas ErfanianHamed MehraraFarshid RaissiMahdi KhajeCoulomb blockade in PtSi/porous Si Schottky barrier as a two-dimensional multi-tunnelling junction.IET Circuits Devices Syst.9281-862015Journal Articlesclosedjournals/iet-cds/ErfanianMRK1510.1049/IET-CDS.2013.0475https://doi.org/10.1049/iet-cds.2013.0475https://dblp.org/rec/journals/iet-cds/ErfanianMRK15URL#3431810Stefan GoniszewskiJohn C. GallopMohammad AdabiKrzysztof GajewskiOlena ShaforostNorbert KleinAndrzej SierakowskiJie Chen 0016Yifang ChenTeodor P. GotszalkLing HaoSelf-supporting graphene films and their applications.IET Circuits Devices Syst.96420-4272015Journal Articlesclosedjournals/iet-cds/GoniszewskiGAGS1510.1049/IET-CDS.2015.0149https://doi.org/10.1049/iet-cds.2015.0149https://dblp.org/rec/journals/iet-cds/GoniszewskiGAGS15URL#3431811Ling HaoJohn C. GallopQuan LiuJie Chen 0016Microwave method for high-frequency properties of graphene.IET Circuits Devices Syst.96397-4022015Journal Articlesclosedjournals/iet-cds/HaoGLC1510.1049/IET-CDS.2015.0114https://doi.org/10.1049/iet-cds.2015.0114https://dblp.org/rec/journals/iet-cds/HaoGLC15URL#3431812Tom T. HartleyRobert J. VeilletteJay L. AdamsCarl F. LorenzoEnergy storage and loss in fractional-order circuit elements.IET Circuits Devices Syst.93227-2352015Journal Articlesclosedjournals/iet-cds/HartleyVAL1510.1049/IET-CDS.2014.0132https://doi.org/10.1049/iet-cds.2014.0132https://dblp.org/rec/journals/iet-cds/HartleyVAL15URL#3431813Weng-Geng HoKwen-Siong ChongBah-Hwee GweeJoseph S. ChangLow power sub-threshold asynchronous quasi-delay-insensitive 32-bit arithmetic and logic unit based on autonomous signal-validity half-buffer.IET Circuits Devices Syst.94309-3182015Journal Articlesclosedjournals/iet-cds/HoCGC1510.1049/IET-CDS.2014.0103https://doi.org/10.1049/iet-cds.2014.0103https://dblp.org/rec/journals/iet-cds/HoCGC15URL#3431814Masoomeh JasemiReza Faghih MirzaeeKeivan NaviNader BagherzadehVoltage mirror circuit by carbon nanotube field effect transistors for mirroring dynamic random access memories in multiple-valued logic and fuzzy logic.IET Circuits Devices Syst.95343-3522015Journal Articlesclosedjournals/iet-cds/JasemiMNB1510.1049/IET-CDS.2014.0295https://doi.org/10.1049/iet-cds.2014.0295https://dblp.org/rec/journals/iet-cds/JasemiMNB15URL#3431815Moon H. KangWilliam I. MilneMatthew T. ColeDoping stability and opto-electronic performance of chemical vapour deposited graphene on transparent flexible substrates.IET Circuits Devices Syst.9139-452015Journal Articlesclosedjournals/iet-cds/KangMC1510.1049/IET-CDS.2014.0074https://doi.org/10.1049/iet-cds.2014.0074https://dblp.org/rec/journals/iet-cds/KangMC15URL#3431816Supriya KarmakarMukesh GognaErnesto SuarezFaquir C. JainThree-state quantum dot gate field-effect transistor in silicon-on-insulator.IET Circuits Devices Syst.92111-1182015Journal Articlesclosedjournals/iet-cds/KarmakarGSJ1510.1049/IET-CDS.2014.0202https://doi.org/10.1049/iet-cds.2014.0202https://dblp.org/rec/journals/iet-cds/KarmakarGSJ15URL#3431817Suhwan KimGabriel A. Rincón-MoraDual-source hysteretic switched-inductor 0.18 µm complementary metal-oxide-semiconductor charger-supply system.IET Circuits Devices Syst.94275-2822015Journal Articlesclosedjournals/iet-cds/KimR1510.1049/IET-CDS.2014.0081https://doi.org/10.1049/iet-cds.2014.0081https://dblp.org/rec/journals/iet-cds/KimR15URL#3431818Vidya KochatAnindita SahooAtindra Nath PalSneha EashwerGopalakrishnan RamalingamArjun SampathkumarRyugu TeroTran Viet ThuSanjeev KaushalHiroshi OkadaAdarsh SandhuSrinivasan Raghavan 0002Arindam GhoshOrigin of 1/f noise in graphene produced for large-scale applications in electronics.IET Circuits Devices Syst.9152-582015Journal Articlesclosedjournals/iet-cds/KochatSPERSTTKO1510.1049/IET-CDS.2014.0069https://doi.org/10.1049/iet-cds.2014.0069https://dblp.org/rec/journals/iet-cds/KochatSPERSTTKO15URL#3431819Giray KömürcüAli Emre PusaneGünhan DündarEnhanced challenge-response set and secure usage scenarios for ordering-based ring oscillator-physical unclonable functions.IET Circuits Devices Syst.9287-952015Journal Articlesclosedjournals/iet-cds/KomurcuPD1510.1049/IET-CDS.2014.0089https://doi.org/10.1049/iet-cds.2014.0089https://dblp.org/rec/journals/iet-cds/KomurcuPD15URL#3431820Ahlad KumarComplementary metal-oxide semiconductor implementation of digital filters for signal processing applications.IET Circuits Devices Syst.94290-2982015Journal Articlesclosedjournals/iet-cds/Kumar1510.1049/IET-CDS.2014.0236https://doi.org/10.1049/iet-cds.2014.0236https://dblp.org/rec/journals/iet-cds/Kumar15URL#3431821Ramesh KumarAmarjeet KaurCharge transport mechanism of hydrazine hydrate reduced graphene oxide.IET Circuits Devices Syst.96392-3962015Journal Articlesclosedjournals/iet-cds/KumarK1510.1049/IET-CDS.2015.0034https://doi.org/10.1049/iet-cds.2015.0034https://dblp.org/rec/journals/iet-cds/KumarK15URL#3431822Yuting LiDavid A. DraboldElectronic signatures of topological disorder in amorphous graphene.IET Circuits Devices Syst.9113-182015Journal Articlesclosedjournals/iet-cds/LiD1510.1049/IET-CDS.2014.0034https://doi.org/10.1049/iet-cds.2014.0034https://dblp.org/rec/journals/iet-cds/LiD15URL#3431823Chun LiJason Li 0005Jieming LiDual relations and the branch-dualising rule of the duality principle.IET Circuits Devices Syst.94244-2482015Journal Articlesclosedjournals/iet-cds/LiLL1510.1049/IET-CDS.2014.0201https://doi.org/10.1049/iet-cds.2014.0201https://dblp.org/rec/journals/iet-cds/LiLL15URL#3431824Mohammed F. MabrookDagou ZezeEditorial: Graphene electronics.IET Circuits Devices Syst.912-32015Journal Articlesclosedjournals/iet-cds/MabrookZ1510.1049/IET-CDS.2014.0353https://doi.org/10.1049/iet-cds.2014.0353https://dblp.org/rec/journals/iet-cds/MabrookZ15URL#3431825Debashis MandalPradip MandalT. K. BhattacharyyaPrediction of reference spur in frequency synthesisers.IET Circuits Devices Syst.92131-1392015Journal Articlesclosedjournals/iet-cds/MandalMB1510.1049/IET-CDS.2014.0019https://doi.org/10.1049/iet-cds.2014.0019https://dblp.org/rec/journals/iet-cds/MandalMB15URL#3431826Andrea De MarcellisGiuseppe FerriPaolo MantenutoUncalibrated operational amplifier-based sensor interface for capacitive/resistive sensor applications.IET Circuits Devices Syst.94249-2552015Journal Articlesclosedjournals/iet-cds/MarcellisFM1510.1049/IET-CDS.2014.0248https://doi.org/10.1049/iet-cds.2014.0248https://dblp.org/rec/journals/iet-cds/MarcellisFM15URL#3431827Paolo MarconciniMassimo MacucciApproximate calculation of the potential profile in a graphene-based device.IET Circuits Devices Syst.9130-382015Journal Articlesclosedjournals/iet-cds/MarconciniM1510.1049/IET-CDS.2014.0003https://doi.org/10.1049/iet-cds.2014.0003https://dblp.org/rec/journals/iet-cds/MarconciniM15URL#3431828Alireza MesriMahmoud Mahdipour PirbazariKhayrollah HadidiAbdollah KhoeiHigh gain two-stage amplifier with positive capacitive feedback compensation.IET Circuits Devices Syst.93181-1902015Journal Articlesclosedjournals/iet-cds/MesriPHK1510.1049/IET-CDS.2014.0139https://doi.org/10.1049/iet-cds.2014.0139https://dblp.org/rec/journals/iet-cds/MesriPHK15URL#3431829Oana MoldovanBenjamín IñíguezM. Jamal DeenLluís F. MarsalGraphene electronic sensors - review of recent developments and future challenges.IET Circuits Devices Syst.96446-4532015Journal Articlesclosedjournals/iet-cds/MoldovanIDM1510.1049/IET-CDS.2015.0259https://doi.org/10.1049/iet-cds.2015.0259https://dblp.org/rec/journals/iet-cds/MoldovanIDM15URL#3431830Câncio MonteiroYasuhiro TakahashiToshikazu SekineLow-power secure S-box circuit using charge-sharing symmetric adiabatic logic for advanced encryption standard hardware design.IET Circuits Devices Syst.95362-3692015Journal Articlesclosedjournals/iet-cds/MonteiroTS1510.1049/IET-CDS.2014.0150https://doi.org/10.1049/iet-cds.2014.0150https://dblp.org/rec/journals/iet-cds/MonteiroTS15URL#3431831Kumar NarendraLokesh AnandBroadband high performance laterally diffused metal-oxide-semiconductor power amplifier for mobile two-way radio applications.IET Circuits Devices Syst.94283-2892015Journal Articlesclosedjournals/iet-cds/NarendraA1510.1049/IET-CDS.2014.0206https://doi.org/10.1049/iet-cds.2014.0206https://dblp.org/rec/journals/iet-cds/NarendraA15URL#3431832Jeng-Shyang Pan 0001Chiou-Yng LeeYao LiSubquadratic space complexity Gaussian normal basis multipliers over GF(2m) based on Dickson-Karatsuba decomposition.IET Circuits Devices Syst.95336-3422015Journal Articlesclosedjournals/iet-cds/PanLL1510.1049/IET-CDS.2014.0276https://doi.org/10.1049/iet-cds.2014.0276https://dblp.org/rec/journals/iet-cds/PanLL15URL#3431833Neeta PandeyRajeshwari PandeyApproach for third order quadrature oscillator realisation.IET Circuits Devices Syst.93161-1712015Journal Articlesclosedjournals/iet-cds/PandeyP1510.1049/IET-CDS.2014.0170https://doi.org/10.1049/iet-cds.2014.0170https://dblp.org/rec/journals/iet-cds/PandeyP15URL#3431834Mahmoud Mahdipour PirbazariKhayrollah HadidiAbdollah KhoeiShamim SadrafshariHigh speed, open loop residue amplifier with linearity improvement.IET Circuits Devices Syst.94299-3082015Journal Articlesclosedjournals/iet-cds/PirbazariHKS1510.1049/IET-CDS.2014.0214https://doi.org/10.1049/iet-cds.2014.0214https://dblp.org/rec/journals/iet-cds/PirbazariHKS15URL#3431835Xi QuZe-kun ZhouBo Zhang 0027Ultralow-power fast-transient output-capacitor-less low-dropout regulator with advanced adaptive biasing circuit.IET Circuits Devices Syst.93172-1802015Journal Articlesclosedjournals/iet-cds/QuZZ1510.1049/IET-CDS.2014.0162https://doi.org/10.1049/iet-cds.2014.0162https://dblp.org/rec/journals/iet-cds/QuZZ15URL#3431836Apratim RoyMuhammad RashidCommon-rail powered reliability improving technique for single-supply complementary metal oxide semiconductor amplifiers.IET Circuits Devices Syst.93141-1512015Journal Articlesclosedjournals/iet-cds/RoyR1510.1049/IET-CDS.2013.0401https://doi.org/10.1049/iet-cds.2013.0401https://dblp.org/rec/journals/iet-cds/RoyR15URL#3431837Trinidad Sánchez-RodríguezJuan Antonio Gómez GalánManuel Pedro 0001Antonio J. López-MartínRamón González CarvajalJaime Ramírez-AnguloLow-power CMOS variable gain amplifier based on a novel tunable transconductor.IET Circuits Devices Syst.92105-1102015Journal Articlesclosedjournals/iet-cds/Sanchez-Rodriguez1510.1049/IET-CDS.2014.0130https://doi.org/10.1049/iet-cds.2014.0130https://dblp.org/rec/journals/iet-cds/Sanchez-Rodriguez15URL#3431838Ankur SharmaUtkarshaa VarshneyYuerui LuElectronic applications of graphene mechanical resonators.IET Circuits Devices Syst.96413-4192015Journal Articlesclosedjournals/iet-cds/SharmaVL1510.1049/IET-CDS.2015.0134https://doi.org/10.1049/iet-cds.2015.0134https://dblp.org/rec/journals/iet-cds/SharmaVL15URL#3431839Madhuri SharonNeeraj MishraBhushan PatilAshmi MewadaRaju GurungMaheshwar SharonConversion of polypropylene to two-dimensional graphene, one-dimensional carbon nano tubes and zero-dimensional C-dots, all exhibiting typical sp2-hexagonal carbon rings.IET Circuits Devices Syst.9159-662015Journal Articlesclosedjournals/iet-cds/SharonMPMGS1510.1049/IET-CDS.2014.0117https://doi.org/10.1049/iet-cds.2014.0117https://dblp.org/rec/journals/iet-cds/SharonMPMGS15URL#3431840Jens SpinnerJürgen FreudenbergerDecoder architecture for generalised concatenated codes.IET Circuits Devices Syst.95328-3352015Journal Articlesclosedjournals/iet-cds/SpinnerF1510.1049/IET-CDS.2014.0278https://doi.org/10.1049/iet-cds.2014.0278https://dblp.org/rec/journals/iet-cds/SpinnerF15URL#3431841Mohammad TaherifardMahmood FathyImproving logic function synthesis, through wire crossing reduction in quantum-dot cellular automata layout.IET Circuits Devices Syst.94265-2742015Journal Articlesclosedjournals/iet-cds/TaherifardF1510.1049/IET-CDS.2014.0327https://doi.org/10.1049/iet-cds.2014.0327https://dblp.org/rec/journals/iet-cds/TaherifardF15URL#3431842Mury ThianVincent F. FuscoHolistic design strategy for high-selectivity low-loss integrated millimetre-wave image-reject filters.IET Circuits Devices Syst.95353-3612015Journal Articlesclosedjournals/iet-cds/ThianF1510.1049/IET-CDS.2014.0335https://doi.org/10.1049/iet-cds.2014.0335https://dblp.org/rec/journals/iet-cds/ThianF15URL#3431843Nijwm WaryPradip MandalHigh-speed energy-efficient bi-directional transceiver for on-chip global interconnects.IET Circuits Devices Syst.95319-3272015Journal Articlesclosedjournals/iet-cds/WaryM1510.1049/IET-CDS.2014.0351https://doi.org/10.1049/iet-cds.2014.0351https://dblp.org/rec/journals/iet-cds/WaryM15URL#3431844Stephen WattsGraphene Electronics.IET Circuits Devices Syst.9112015Journal Articlesclosedjournals/iet-cds/Watts1510.1049/IET-CDS.2014.0371https://doi.org/10.1049/iet-cds.2014.0371https://dblp.org/rec/journals/iet-cds/Watts15URL#3431845Zhong YanDenis L. NikaAlexander A. BalandinThermal properties of graphene and few-layer graphene: applications in electronics.IET Circuits Devices Syst.914-122015Journal Articlesclosedjournals/iet-cds/YanNB1510.1049/IET-CDS.2014.0093https://doi.org/10.1049/iet-cds.2014.0093https://dblp.org/rec/journals/iet-cds/YanNB15URL#3431846Jin-Sung YounMyung-Jae LeeKang-Yeob ParkWang-Soo KimWoo-Young ChoiLow-power 850 nm optoelectronic integrated circuit receiver fabricated in 65 nm complementary metal-oxide semiconductor technology.IET Circuits Devices Syst.93221-2262015Journal Articlesclosedjournals/iet-cds/YounLPKC1510.1049/IET-CDS.2014.0250https://doi.org/10.1049/iet-cds.2014.0250https://dblp.org/rec/journals/iet-cds/YounLPKC15URL#3431847Haoran YuKamal El-SankaryEzz I. El-MasryWideband complementary metal-oxide-semiconductor double-bulk harmonic-rejection mixer.IET Circuits Devices Syst.94237-2432015Journal Articlesclosedjournals/iet-cds/YuEE1510.1049/IET-CDS.2014.0233https://doi.org/10.1049/iet-cds.2014.0233https://dblp.org/rec/journals/iet-cds/YuEE15URL#3431848Xiaoliang ZhongRavindra PandeyShashi P. KarnaFirst principles study of bilayer graphene formed by zigzag nanoribbons.IET Circuits Devices Syst.96386-3912015Journal Articlesclosedjournals/iet-cds/ZhongPK1510.1049/IET-CDS.2014.0362https://doi.org/10.1049/iet-cds.2014.0362https://dblp.org/rec/journals/iet-cds/ZhongPK15URL#3431849Yushi ZhouFei Yuan 0005Study of injection-locked non-harmonic oscillators using Volterra series.IET Circuits Devices Syst.92119-1302015Journal Articlesclosedjournals/iet-cds/ZhouY1510.1049/IET-CDS.2013.0424https://doi.org/10.1049/iet-cds.2013.0424https://dblp.org/rec/journals/iet-cds/ZhouY15URL#3431850M. Tanseer AliRuiheng WuLuhong MaoP. CallaghanPredrag B. RapajicHigh frequency CMOS amplifier with improved linearity.IET Circuits Devices Syst.86450-4582014Journal Articlesclosedjournals/iet-cds/AliWMCR1410.1049/IET-CDS.2013.0327https://doi.org/10.1049/iet-cds.2013.0327https://dblp.org/rec/journals/iet-cds/AliWMCR14URL#3733554Arunachalam VenkatesanAlex Noel Joseph RajEfficient VLSI implementation of FFT for orthogonal frequency division multiplexing applications.IET Circuits Devices Syst.86526-5312014Journal Articlesclosedjournals/iet-cds/ArunachalamR1410.1049/IET-CDS.2013.0457https://doi.org/10.1049/iet-cds.2013.0457https://dblp.org/rec/journals/iet-cds/ArunachalamR14URL#3733555Arash BahramiWai Pang NgZabih GhassemlooyExperimental analysis of fibre non-linearity on second harmonic optical microwave radio-over-fibre system.IET Circuits Devices Syst.85334-3382014Journal Articlesclosedjournals/iet-cds/BahramiNG1410.1049/IET-CDS.2013.0425https://doi.org/10.1049/iet-cds.2013.0425https://dblp.org/rec/journals/iet-cds/BahramiNG14URL#3733556Domenico BalsamoDavide BrunelliGiacomo PaciLuca BeniniSleep power minimisation using adaptive duty-cycling of DC-DC converters in state-retentive systems.IET Circuits Devices Syst.86478-4862014Journal Articlesclosedjournals/iet-cds/BalsamoBPB1410.1049/IET-CDS.2013.0466https://doi.org/10.1049/iet-cds.2013.0466https://dblp.org/rec/journals/iet-cds/BalsamoBPB14URL#3733557Toby BalsomJonathan ScottWilliam Redman-WhiteAnalysis of circuit conditions for optimum intermodulation and gain in bipolar cascomp amplifiers with non-ideal error correction.IET Circuits Devices Syst.86568-5752014Journal Articlesclosedjournals/iet-cds/BalsomSR1410.1049/IET-CDS.2014.0105https://doi.org/10.1049/iet-cds.2014.0105https://dblp.org/rec/journals/iet-cds/BalsomSR14URL#3733558Thomas BaslerM. PfaffenlehnerHans Peter FelslFranz-Josef NiedernostheideF. PfirschHans-Joachim SchulzeRoman BaburskeJosef LutzSwitching ruggedness and surge-current capability of diodes using the self-adjusting p emitter efficiency diode concept.IET Circuits Devices Syst.83205-2122014Journal Articlesclosedjournals/iet-cds/BaslerPFNPSBL1410.1049/IET-CDS.2013.0216https://doi.org/10.1049/iet-cds.2013.0216https://dblp.org/rec/journals/iet-cds/BaslerPFNPSBL14URL#3733559Andrew BurtonEdward BentleyHoa Le MinhZabih GhassemlooyNauman AslamShien-Kuei LiawExperimental demonstration of a 10BASE-T Ethernet visible light communications system using white phosphor light-emitting diodes.IET Circuits Devices Syst.84322-3302014Journal Articlesclosedjournals/iet-cds/BurtonBMGAL1410.1049/IET-CDS.2013.0359https://doi.org/10.1049/iet-cds.2013.0359https://dblp.org/rec/journals/iet-cds/BurtonBMGAL14URL#3733560Hua-Pin ChenHigh-input impedance voltage-mode differential difference current conveyor transconductance amplifier-based universal filter with single input and five outputs using only grounded passive components.IET Circuits Devices Syst.84280-2902014Journal Articlesclosedjournals/iet-cds/Chen1410.1049/IET-CDS.2013.0162https://doi.org/10.1049/iet-cds.2013.0162https://dblp.org/rec/journals/iet-cds/Chen14URL#3733561Jian ChenJing Zhu 0006Guodong SunWeifeng SunWeinan DaiZexiang HuangRobust 600 V high-voltage gate drive IC with low-temperature coefficient propagation delay time.IET Circuits Devices Syst.86576-5822014Journal Articlesclosedjournals/iet-cds/ChenZSSDH1410.1049/IET-CDS.2014.0058https://doi.org/10.1049/iet-cds.2014.0058https://dblp.org/rec/journals/iet-cds/ChenZSSDH14URL#3733562Weijie ChengYeonbae ChungBit-area efficient embedded pseudo-SRAM utilising dual-threshold hybrid 2T gain cell.IET Circuits Devices Syst.82107-1172014Journal Articlesclosedjournals/iet-cds/ChengC1410.1049/IET-CDS.2013.0234https://doi.org/10.1049/iet-cds.2013.0234https://dblp.org/rec/journals/iet-cds/ChengC14URL#3733563Li Wern ChewPower distribution network design with split and merged power rails.IET Circuits Devices Syst.84246-2512014Journal Articlesclosedjournals/iet-cds/Chew1410.1049/IET-CDS.2013.0197https://doi.org/10.1049/iet-cds.2013.0197https://dblp.org/rec/journals/iet-cds/Chew14URL#3733564Hung-Chun ChienThree-mode controllable master-slave monostable multivibrators using current-feedback operational amplifiers.IET Circuits Devices Syst.86543-5532014Journal Articlesclosedjournals/iet-cds/Chien1410.1049/IET-CDS.2014.0050https://doi.org/10.1049/iet-cds.2014.0050https://dblp.org/rec/journals/iet-cds/Chien14URL#3733565S. ChitraN. DevarajanCircuit theory approach for voltage stability assessment of reconfigured power network.IET Circuits Devices Syst.86435-4412014Journal Articlesclosedjournals/iet-cds/ChitraD1410.1049/IET-CDS.2013.0325https://doi.org/10.1049/iet-cds.2013.0325https://dblp.org/rec/journals/iet-cds/ChitraD14URL#3733566Mojtaba DaliriMohammad Maymandi-NejadAnalytical model for CMOS cross-coupled LC-tank oscillator.IET Circuits Devices Syst.811-92014Journal Articlesclosedjournals/iet-cds/DaliriM1410.1049/IET-CDS.2013.0087https://doi.org/10.1049/iet-cds.2013.0087https://dblp.org/rec/journals/iet-cds/DaliriM14URL#3733567Aboagela DogmanReza SaatchiMultimedia traffic quality of service management using statistical and artificial intelligence techniques.IET Circuits Devices Syst.85367-3772014Journal Articlesclosedjournals/iet-cds/DogmanS1410.1049/IET-CDS.2013.0454https://doi.org/10.1049/iet-cds.2013.0454https://dblp.org/rec/journals/iet-cds/DogmanS14URL#3733568Xuekun DuJingchang NanWenhua ChenZhenhai Shao'New' solutions of Class-E power amplifier with finite dc feed inductor at any duty ratio.IET Circuits Devices Syst.84311-3212014Journal Articlesclosedjournals/iet-cds/DuNCS1410.1049/IET-CDS.2013.0405https://doi.org/10.1049/iet-cds.2013.0405https://dblp.org/rec/journals/iet-cds/DuNCS14URL#3733569Apostolos GeorgiadisChristos KalialakisEvaluation of error vector magnitude due to combined IQ imbalances and phase noise.IET Circuits Devices Syst.86421-4262014Journal Articlesclosedjournals/iet-cds/GeorgiadisK1410.1049/IET-CDS.2013.0338https://doi.org/10.1049/iet-cds.2013.0338https://dblp.org/rec/journals/iet-cds/GeorgiadisK14URL#3733570Mohammad GholamiHamid RahimpourGholamreza ArdeshirHossein Miar NaimiDigital delay locked loop-based frequency synthesiser for Digital Video Broadcasting-Terrestrial receivers.IET Circuits Devices Syst.8138-462014Journal Articlesclosedjournals/iet-cds/GholamiRAM1410.1049/IET-CDS.2013.0169https://doi.org/10.1049/iet-cds.2013.0169https://dblp.org/rec/journals/iet-cds/GholamiRAM14URL#3733571Mariusz GlabowskiMichal Dominik StasiakModelling of multiservice switching networks with overflow links for any traffic class.IET Circuits Devices Syst.85358-3662014Journal Articlesclosedjournals/iet-cds/GlabowskiS1410.1049/IET-CDS.2013.0430https://doi.org/10.1049/iet-cds.2013.0430https://dblp.org/rec/journals/iet-cds/GlabowskiS14URL#3733572Ankur GoelRohit K. SharmaAnil Kumar GuptaArea efficient diode and on transistor inter-changeable power gating scheme with trim options for SRAM design in nano-complementary metal oxide semiconductor technology.IET Circuits Devices Syst.82100-1062014Journal Articlesclosedjournals/iet-cds/GoelSG1410.1049/IET-CDS.2013.0205https://doi.org/10.1049/iet-cds.2013.0205https://dblp.org/rec/journals/iet-cds/GoelSG14URL#3733573Xiaoying HeShen XuWeifeng SunWeichang ChengShengli LuModelling of Ldi/dt effect with frequency spectrum analysis and parameter design in float ground driver system.IET Circuits Devices Syst.86442-4492014Journal Articlesclosedjournals/iet-cds/HeXSCL1410.1049/IET-CDS.2013.0151https://doi.org/10.1049/iet-cds.2013.0151https://dblp.org/rec/journals/iet-cds/HeXSCL14URL#3733574Chyi-Shiang HooKanesan JeevanHarikrishnan RamiahEnumeration technique in very large-scale integration fixed-outline floorplanning.IET Circuits Devices Syst.8147-572014Journal Articlesclosedjournals/iet-cds/HooJR1410.1049/IET-CDS.2013.0003https://doi.org/10.1049/iet-cds.2013.0003https://dblp.org/rec/journals/iet-cds/HooJR14URL#3733575Shih-Chang HsiaYing-Chao ChouVLSI implementation of high-throughput parallel H.264/AVC baseline intra-predictor.IET Circuits Devices Syst.8110-182014Journal Articlesclosedjournals/iet-cds/HsiaC1410.1049/IET-CDS.2013.0097https://doi.org/10.1049/iet-cds.2013.0097https://dblp.org/rec/journals/iet-cds/HsiaC14URL#3733576Shih-Chang HsiaLung-Sen ChenParallel very large-scale integration chip implementation of optimal fractional motion estimation.IET Circuits Devices Syst.86499-5082014Journal Articlesclosedjournals/iet-cds/HsiaC14a10.1049/IET-CDS.2013.0465https://doi.org/10.1049/iet-cds.2013.0465https://dblp.org/rec/journals/iet-cds/HsiaC14aURL#3733577Adam KaliszanMariusz GlabowskiMaciej StasiakGeneralised convolution algorithm for modelling state-dependent systems.IET Circuits Devices Syst.85378-3862014Journal Articlesclosedjournals/iet-cds/KaliszanGS1410.1049/IET-CDS.2013.0479https://doi.org/10.1049/iet-cds.2013.0479https://dblp.org/rec/journals/iet-cds/KaliszanGS14URL#3733578Mahdi M. KhafajiCorrado CartaKlaus Tittelbach-HelmrichDaniel MicusikGunter FischerJohann-Christoph ScheyttFrank EllingerA 32 GSps multiplexer with 1 kbit memory for arbitrary signal generation for testing digital-to-analogue converters.IET Circuits Devices Syst.86459-4682014Journal Articlesclosedjournals/iet-cds/KhafajiCTMFSE1410.1049/IET-CDS.2013.0333https://doi.org/10.1049/iet-cds.2013.0333https://dblp.org/rec/journals/iet-cds/KhafajiCTMFSE14URL#3733579Brijesh KumarBrajesh Kumar KaushikYuvraj Singh NegiAnalysis of electrical parameters of organic thin film transistors based on thickness variation in semiconducting and dielectric layers.IET Circuits Devices Syst.82131-1402014Journal Articlesclosedjournals/iet-cds/KumarKN1410.1049/IET-CDS.2013.0275https://doi.org/10.1049/iet-cds.2013.0275https://dblp.org/rec/journals/iet-cds/KumarKN14URL#3733580Montree KumngernNew versatile precision rectifier Montree Kumngern.IET Circuits Devices Syst.82141-1512014Journal Articlesclosedjournals/iet-cds/Kumngern1410.1049/IET-CDS.2013.0232https://doi.org/10.1049/iet-cds.2013.0232https://dblp.org/rec/journals/iet-cds/Kumngern14URL#3733581Atanu Kundu 0001Arka DuttaKalyan KoleyS. NiyogiChandan Kumar SarkarRF parameter extraction of underlap DG MOSFETs: a look up table based approach.IET Circuits Devices Syst.86554-5602014Journal Articlesclosedjournals/iet-cds/KunduDKNS1410.1049/IET-CDS.2014.0086https://doi.org/10.1049/iet-cds.2014.0086https://dblp.org/rec/journals/iet-cds/KunduDKNS14URL#3733582Yajun LiXinquan LaiQiang YeBing YuanNovel short-circuit protection technique for DC-DC buck converters.IET Circuits Devices Syst.8290-992014Journal Articlesclosedjournals/iet-cds/LiLYY1410.1049/IET-CDS.2013.0187https://doi.org/10.1049/iet-cds.2013.0187https://dblp.org/rec/journals/iet-cds/LiLYY14URL#3733583Xue Li 0002Bill PlumbZhiqiang Wu 0001WiFi leakage detection in LTE downlink for in-device interference avoidance.IET Circuits Devices Syst.85397-4052014Journal Articlesclosedjournals/iet-cds/LiP01410.1049/IET-CDS.2013.0449https://doi.org/10.1049/iet-cds.2013.0449https://dblp.org/rec/journals/iet-cds/LiP014URL#3733584Bingo Wing-Kuen LingCharlotte Yuk-Fan HoKok Lay TeoQingyun DaiPulse design for ultra wideband impulse radio systems.IET Circuits Devices Syst.85387-3962014Journal Articlesclosedjournals/iet-cds/LingHTD1410.1049/IET-CDS.2013.0396https://doi.org/10.1049/iet-cds.2013.0396https://dblp.org/rec/journals/iet-cds/LingHTD14URL#3733585Baojun LiuLi CaiJing ZhuQiang KangMingliang ZhangXiangye ChenOn-chip readout circuit for nanomagnetic logic.IET Circuits Devices Syst.8165-722014Journal Articlesclosedjournals/iet-cds/LiuCZKZC1410.1049/IET-CDS.2013.0113https://doi.org/10.1049/iet-cds.2013.0113https://dblp.org/rec/journals/iet-cds/LiuCZKZC14URL#3733586Xuelian LiuMitchell R. LeRoyRyan ClarkeMichael ChuH. O. AquinoSrikumar RamanAamir ZiaRussell P. KraftJohn F. McDonald 0001Design of BiCMOS SRAMs for high-speed SiGe applications.IET Circuits Devices Syst.86487-4982014Journal Articlesclosedjournals/iet-cds/LiuLCCARZKM1410.1049/IET-CDS.2013.0375https://doi.org/10.1049/iet-cds.2013.0375https://dblp.org/rec/journals/iet-cds/LiuLCCARZKM14URL#3733587Pang-Jung LiuChung-Yi TingLow-power buffer with voltage boosting and improved frequency compensation for liquid crystal display source drivers.IET Circuits Devices Syst.84263-2712014Journal Articlesclosedjournals/iet-cds/LiuT1410.1049/IET-CDS.2013.0334https://doi.org/10.1049/iet-cds.2013.0334https://dblp.org/rec/journals/iet-cds/LiuT14URL#3733588Faiq Khalid LodhiSyed Rafay HasanNaeha SharifNadra RamzanOsman HasanTiming variation aware dynamic digital phase detector for low-latency clock domain crossing.IET Circuits Devices Syst.8158-642014Journal Articlesclosedjournals/iet-cds/LodhiHSRH1410.1049/IET-CDS.2013.0067https://doi.org/10.1049/iet-cds.2013.0067https://dblp.org/rec/journals/iet-cds/LodhiHSRH14URL#3733589Neophytos LophitisMarina AntoniouFlorin UdreaIulian NistorMartin ArnoldTobias WikströmJan VobeckýParameters influencing the maximum controllable current in gate commutated thyristors.IET Circuits Devices Syst.83221-2262014Journal Articlesclosedjournals/iet-cds/LophitisAUNAWV1410.1049/IET-CDS.2013.0217https://doi.org/10.1049/iet-cds.2013.0217https://dblp.org/rec/journals/iet-cds/LophitisAUNAWV14URL#3733590Ioannis MamounakisKonstantinos YiannopoulosGeorgios I. PapadimitriouEmmanouel A. VarvarigosOptical network unit-based traffic prediction for Ethernet passive optical networks.IET Circuits Devices Syst.85349-3572014Journal Articlesclosedjournals/iet-cds/MamounakisYPV1410.1049/IET-CDS.2013.0419https://doi.org/10.1049/iet-cds.2013.0419https://dblp.org/rec/journals/iet-cds/MamounakisYPV14URL#3733591Debashis MandalPradip MandalT. K. BhattacharyyaSpur reducing architecture of frequency synthesiser using switched capacitors.IET Circuits Devices Syst.84237-2452014Journal Articlesclosedjournals/iet-cds/MandalMB1410.1049/IET-CDS.2013.0200https://doi.org/10.1049/iet-cds.2013.0200https://dblp.org/rec/journals/iet-cds/MandalMB14URL#3733592Andrea De MarcellisM.-Dolores Cubells-BeltránCàndid Reig EscrivaJordi MadrenasBoris ZadovEugene PapernoSusana CardosoPaulo P. FreitasQuasi-digital front-ends for current measurement in integrated circuits with giant magnetoresistance technology.IET Circuits Devices Syst.84291-3002014Journal Articlesclosedjournals/iet-cds/MarcellisCEMZPCF1410.1049/IET-CDS.2013.0348https://doi.org/10.1049/iet-cds.2013.0348https://dblp.org/rec/journals/iet-cds/MarcellisCEMZPCF14URL#3733593Mahmood MohammedSanad KawarKhaldoon AbugharbiehMethodology for designing and verifying switched-capacitor sample and hold circuits used in data converters.IET Circuits Devices Syst.84252-2622014Journal Articlesclosedjournals/iet-cds/MohammedKA1410.1049/IET-CDS.2013.0272https://doi.org/10.1049/iet-cds.2013.0272https://dblp.org/rec/journals/iet-cds/MohammedKA14URL#3733594Kumar NarendraYewKok TeeOptimised high-efficiency Class E radio frequency power amplifier for wide bandwidth and high harmonics suppression.IET Circuits Devices Syst.8282-892014Journal Articlesclosedjournals/iet-cds/NarendraT1410.1049/IET-CDS.2013.0298https://doi.org/10.1049/iet-cds.2013.0298https://dblp.org/rec/journals/iet-cds/NarendraT14URL#3733595Gholamreza NikandishA. YousefiAli MediStability analysis of broadband cascode amplifiers in the presence of inductive parasitic components.IET Circuits Devices Syst.86469-4772014Journal Articlesclosedjournals/iet-cds/NikandishYM1410.1049/IET-CDS.2013.0470https://doi.org/10.1049/iet-cds.2013.0470https://dblp.org/rec/journals/iet-cds/NikandishYM14URL#3733596Wangqiang NiuWei Gu 0001Jianxin ChuAidi ShenFrequency splitting patterns in wireless power relay transfer.IET Circuits Devices Syst.86561-5672014Journal Articlesclosedjournals/iet-cds/NiuGCS1410.1049/IET-CDS.2013.0440https://doi.org/10.1049/iet-cds.2013.0440https://dblp.org/rec/journals/iet-cds/NiuGCS14URL#3733597Václav PapezJirí HájekB. KojeckýInfluence of surface states on the reverse and noise properties of silicon power diodes.IET Circuits Devices Syst.83213-2202014Journal Articlesclosedjournals/iet-cds/PapezHK1410.1049/IET-CDS.2013.0219https://doi.org/10.1049/iet-cds.2013.0219https://dblp.org/rec/journals/iet-cds/PapezHK14URL#3733598Vlastimir D. PavlovicJelena R. Djordjevic-KozarovUltra-selective spike multiplierless linear-phase two-dimensional FIR filter function with full Hilbert transform effect.IET Circuits Devices Syst.86532-5422014Journal Articlesclosedjournals/iet-cds/PavlovicD1410.1049/IET-CDS.2013.0432https://doi.org/10.1049/iet-cds.2013.0432https://dblp.org/rec/journals/iet-cds/PavlovicD14URL#3733599Verica Radisavljevic-GajicNon-linear integral control of photon power transients in optical communication networks with erbium-doped fibre amplifiers.IET Circuits Devices Syst.85412-4202014Journal Articlesclosedjournals/iet-cds/Radisavljevic-Gajic1410.1049/IET-CDS.2013.0421https://doi.org/10.1049/iet-cds.2013.0421https://dblp.org/rec/journals/iet-cds/Radisavljevic-Gajic14URL#3733600Piotr RemleinEnergy efficient continuous phase modulation signals for satellite intelligent transportation systems.IET Circuits Devices Syst.85406-4112014Journal Articlesclosedjournals/iet-cds/Remlein1410.1049/IET-CDS.2013.0433https://doi.org/10.1049/iet-cds.2013.0433https://dblp.org/rec/journals/iet-cds/Remlein14URL#3733601Prabir SahaArindam Banerjee 0003Partha BhattacharyyaAnup DandapatImproved matrix multiplier design for high-speed digital signal processing applications.IET Circuits Devices Syst.8127-372014Journal Articlesclosedjournals/iet-cds/SahaBBD1410.1049/IET-CDS.2013.0117https://doi.org/10.1049/iet-cds.2013.0117https://dblp.org/rec/journals/iet-cds/SahaBBD14URL#3733602Iraj SheikhianNando KaminskiStephan VoßW. ScholzE. HerwegOptimisation of the reverse conducting IGBT for zero-voltage switching applications such as induction cookers.IET Circuits Devices Syst.83176-1812014Journal Articlesclosedjournals/iet-cds/SheikhianKVSH1410.1049/IET-CDS.2013.0215https://doi.org/10.1049/iet-cds.2013.0215https://dblp.org/rec/journals/iet-cds/SheikhianKVSH14URL#3733603Anoop Chandrika SreekantanBoby GeorgeV. Jagadeesh KumarAnalysis of a tunnelling magneto-resistance-based angle transducer.IET Circuits Devices Syst.84301-3102014Journal Articlesclosedjournals/iet-cds/SreekantanGK1410.1049/IET-CDS.2013.0399https://doi.org/10.1049/iet-cds.2013.0399https://dblp.org/rec/journals/iet-cds/SreekantanGK14URL#3733604Jesús UrrestiSalvador HidalgoDavid FloresDaniel Fernández Hevia3.3 kV PT-IGBT with voltage-sensor monolithically integrated.IET Circuits Devices Syst.83182-1872014Journal Articlesclosedjournals/iet-cds/UrrestiHFH1410.1049/IET-CDS.2013.0213https://doi.org/10.1049/iet-cds.2013.0213https://dblp.org/rec/journals/iet-cds/UrrestiHFH14URL#3733605John S. VardakasIoannis D. MoscholiosNizar ZorbaMichael D. LogothetisChristos V. VerikoukisDelay analysis of converged optical-wireless networks with quality of service support.IET Circuits Devices Syst.85339-3482014Journal Articlesclosedjournals/iet-cds/VardakasMZLV1410.1049/IET-CDS.2013.0413https://doi.org/10.1049/iet-cds.2013.0413https://dblp.org/rec/journals/iet-cds/VardakasMZLV14URL#3733606Umamaheswara VemulapatiNando KaminskiDieter SilberLiutauras StorastaMunaf RahimoReverse conducting-IGBTs initial snapback phenomenon and its analytical modelling.IET Circuits Devices Syst.83168-1752014Journal Articlesclosedjournals/iet-cds/VemulapatiKSSR1410.1049/IET-CDS.2013.0222https://doi.org/10.1049/iet-cds.2013.0222https://dblp.org/rec/journals/iet-cds/VemulapatiKSSR14URL#3733607Xubo WangAnh DinhDaniel Teng3-10 GHz ultra wideband front-end transceiver in 0.13 μm complementary metal oxide semiconductor for low-power biomedical radar.IET Circuits Devices Syst.84272-2792014Journal Articlesclosedjournals/iet-cds/WangDT1410.1049/IET-CDS.2013.0331https://doi.org/10.1049/iet-cds.2013.0331https://dblp.org/rec/journals/iet-cds/WangDT14URL#3733608Cheng-Wen WeiCheng-Chun TsaiFanJiang YiTian-Sheuan ChangShyh-Jye JouAnalysis and implementation of low-power perceptual multiband noise reduction for the hearing aids application.IET Circuits Devices Syst.86516-5252014Journal Articlesclosedjournals/iet-cds/WeiTYCJ1410.1049/IET-CDS.2013.0326https://doi.org/10.1049/iet-cds.2013.0326https://dblp.org/rec/journals/iet-cds/WeiTYCJ14URL#3733609Christoph WernerBenedikt BacksMartin WirnshoferDoris Schmitt-LandsiedelResilience and yield of flip-flops in future CMOS technologies under process variations and aging.IET Circuits Devices Syst.8119-262014Journal Articlesclosedjournals/iet-cds/WernerBWS1410.1049/IET-CDS.2013.0122https://doi.org/10.1049/iet-cds.2013.0122https://dblp.org/rec/journals/iet-cds/WernerBWS14URL#3733610A. YousefiAli MediWide-band high-efficiency Ku-band power amplifier.IET Circuits Devices Syst.86583-5922014Journal Articlesclosedjournals/iet-cds/YousefiM1410.1049/IET-CDS.2014.0134https://doi.org/10.1049/iet-cds.2014.0134https://dblp.org/rec/journals/iet-cds/YousefiM14URL#3733611Sigit YuwonoSeok-Kyun HanGiwan YoonHan-Jin ChoSang-Gug Lee 0001Development of low-complexity all-digital frequency locked loop as 500 MHz reference clock generator for field-programmable gate array.IET Circuits Devices Syst.8273-812014Journal Articlesclosedjournals/iet-cds/YuwonoHYCL1410.1049/IET-CDS.2013.0175https://doi.org/10.1049/iet-cds.2013.0175https://dblp.org/rec/journals/iet-cds/YuwonoHYCL14URL#3733612Zhangming ZhuZheng QiuYi Shen 0007Yintang YangA 2.67 fJ/c.-s. 27.8 kS/s 0.35 V 10-bit successive approximation register analogue-to-digital converter in 65 nm complementary metal oxide semiconductor.IET Circuits Devices Syst.86427-4342014Journal Articlesclosedjournals/iet-cds/ZhuQSY1410.1049/IET-CDS.2013.0446https://doi.org/10.1049/iet-cds.2013.0446https://dblp.org/rec/journals/iet-cds/ZhuQSY14URL#3733613Jing Zhu 0006Yunwu ZhangWeifeng SunYangbo YiLow-jitter, high-linearity current-controlled complementary metal oxide semiconductor relaxation oscillator with optimised floating capacitors.IET Circuits Devices Syst.86509-5152014Journal Articlesclosedjournals/iet-cds/ZhuZSY1410.1049/IET-CDS.2013.0426https://doi.org/10.1049/iet-cds.2013.0426https://dblp.org/rec/journals/iet-cds/ZhuZSY14URL#3733614Piotr ZwierzykowskiSteven GaoWai Pang NgZuqing ZhuEditoral: Special Issue on Photonic and RF Communications Systems.IET Circuits Devices Syst.85331-3332014Journal Articlesclosedjournals/iet-cds/ZwierzykowskiGNZ1410.1049/IET-CDS.2014.0177https://doi.org/10.1049/iet-cds.2014.0177https://dblp.org/rec/journals/iet-cds/ZwierzykowskiGNZ14URL#3733615Jude Angelo AmbroseHéctor PettenghiDarshana JayasingheLeonel SousaRandomised multi-modulo residue number system architecture for double-and-add to prevent power analysis side channel attacks.IET Circuits Devices Syst.75283-2932013Journal Articlesclosedjournals/iet-cds/AmbrosePJS1310.1049/IET-CDS.2012.0367https://doi.org/10.1049/iet-cds.2012.0367https://dblp.org/rec/journals/iet-cds/AmbrosePJS13URL#4024564Mohsen M. ArjmandMohsen SoryaniKeivan NaviCoplanar wire crossing in quantum cellular automata using a ternary cell.IET Circuits Devices Syst.75263-2722013Journal Articlesclosedjournals/iet-cds/ArjmandSN1310.1049/IET-CDS.2012.0366https://doi.org/10.1049/iet-cds.2012.0366https://dblp.org/rec/journals/iet-cds/ArjmandSN13URL#4024565Remzi ArslanalpErkan YüceAbdullah T. TolaLow-component count BJT technology-based currentcontrolled tunable resistors and their applications.IET Circuits Devices Syst.712013Journal Articlesclosedjournals/iet-cds/ArslanalpYT1310.1049/IET-CDS.2012.0214https://doi.org/10.1049/iet-cds.2012.0214https://dblp.org/rec/journals/iet-cds/ArslanalpYT13URL#4024566Parveen BegSudhanshu MaheshwariMuzaffer A. SiddiqiDigitally controlled fully differential voltage- and transadmittance-mode biquadratic filter.IET Circuits Devices Syst.74193-2032013Journal Articlesclosedjournals/iet-cds/BegMS1310.1049/IET-CDS.2012.0244https://doi.org/10.1049/iet-cds.2012.0244https://dblp.org/rec/journals/iet-cds/BegMS13URL#4024567Sangjin ByunChung Hwan SonJongil HwangByung-Hun MinMun-Yang ParkHyun-Kyu Yu1-5.6 Gb/s CMOS clock and data recovery IC with a static phase offset compensated linear phase detector.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/ByunSHMPY1310.1049/IET-CDS.2013.0023https://doi.org/10.1049/iet-cds.2013.0023https://dblp.org/rec/journals/iet-cds/ByunSHMPY13URL#4024568Mihail CernaianuAurel GonteanHigh-accuracy thermoelectrical module model for energy-harvesting systems.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/CernaianuG1310.1049/IET-CDS.2012.0227https://doi.org/10.1049/iet-cds.2012.0227https://dblp.org/rec/journals/iet-cds/CernaianuG13URL#4024569Mihail CernaianuAurel GonteanParasitic elements modelling in thermoelectric modules.IET Circuits Devices Syst.74177-1842013Journal Articlesclosedjournals/iet-cds/CernaianuG13a10.1049/IET-CDS.2012.0351https://doi.org/10.1049/iet-cds.2012.0351https://dblp.org/rec/journals/iet-cds/CernaianuG13aURL#4024570Xiaoming Chen 0003Hong LuoYu Wang 0002Yu Cao 0001Yuan Xie 0001Yuchun MaHuazhong YangEvaluation and mitigation of performance degradation under random telegraph noise for digital circuits.IET Circuits Devices Syst.75273-2822013Journal Articlesclosedjournals/iet-cds/ChenL0CXMY1310.1049/IET-CDS.2012.0361https://doi.org/10.1049/iet-cds.2012.0361https://dblp.org/rec/journals/iet-cds/ChenL0CXMY13URL#4024571Terdpun ChoogornJirayuth MahattanakulEffects of imbalance input on linearity of pseudodifferential ladder Gm-C filters.IET Circuits Devices Syst.722013Journal Articlesclosedjournals/iet-cds/ChoogornM1310.1049/IET-CDS.2012.0217https://doi.org/10.1049/iet-cds.2012.0217https://dblp.org/rec/journals/iet-cds/ChoogornM13URL#4024572Tong-Ho ChungHee-Do KangJong-Gwan YookBroadband equivalent circuit modelling of spiral resonators for printed circuit board applications.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/ChungKY1310.1049/IET-CDS.2012.0270https://doi.org/10.1049/iet-cds.2012.0270https://dblp.org/rec/journals/iet-cds/ChungKY13URL#4024573Salih DemirelFiliz GünesPerformance characterisation of a microwave transistor for the maximum output power and the required noise.IET Circuits Devices Syst.712013Journal Articlesclosedjournals/iet-cds/DemirelG1310.1049/IET-CDS.2012.0119https://doi.org/10.1049/iet-cds.2012.0119https://dblp.org/rec/journals/iet-cds/DemirelG13URL#4024574Rezvan FaniEbrahim FarshidiNew systematic two-graph-based approach of active filters employing multiple output current controlled conveyors.IET Circuits Devices Syst.76326-3362013Journal Articlesclosedjournals/iet-cds/FaniF1310.1049/IET-CDS.2012.0377https://doi.org/10.1049/iet-cds.2012.0377https://dblp.org/rec/journals/iet-cds/FaniF13URL#4024575Kunal P. GaneshpureSandip KunduGame theoretic approach for run-time task scheduling on an multi-processor system on chip.IET Circuits Devices Syst.75243-2522013Journal Articlesclosedjournals/iet-cds/GaneshpureK1310.1049/IET-CDS.2013.0091https://doi.org/10.1049/iet-cds.2013.0091https://dblp.org/rec/journals/iet-cds/GaneshpureK13URL#4024576Marta GilTomas ManzanequeJorge Hernando-GarcíaAbdallah AbabnehHelmut SeidelJose Luis Sanchez-RojasMultimodal characterisation of high-Q piezoelectric micro-tuning forks.IET Circuits Devices Syst.76331-3672013Journal Articlesclosedjournals/iet-cds/GilMHASS1310.1049/IET-CDS.2012.0325https://doi.org/10.1049/iet-cds.2012.0325https://dblp.org/rec/journals/iet-cds/GilMHASS13URL#4024577Vidhi GoswamiBrijesh KumarBrajesh Kumar KaushikKanhaiya Lal YadavYuvraj Singh NegiAnalysis of static and dynamic performance of organic inverter circuits based on dual and single gate organic thin film transistors.IET Circuits Devices Syst.76345-3512013Journal Articlesclosedjournals/iet-cds/GoswamiKKYN1310.1049/IET-CDS.2013.0044https://doi.org/10.1049/iet-cds.2013.0044https://dblp.org/rec/journals/iet-cds/GoswamiKKYN13URL#4024578Guohuan HuaWeifeng SunXiaoying HeShen XuZhiqun LiAnalytical model for energy recovery circuit of plasma display panel data driver integrated circuit.IET Circuits Devices Syst.722013Journal Articlesclosedjournals/iet-cds/HuaSHXL1310.1049/IET-CDS.2012.0249https://doi.org/10.1049/iet-cds.2012.0249https://dblp.org/rec/journals/iet-cds/HuaSHXL13URL#4024579Guanzhong HuangPingfen Lin1.1-V, 8-bit, 12 MS/s asynchronous reference-free successive-approximation-register analogue-todigital converter in 0.18 μm CMOS with separated capacitor arrays.IET Circuits Devices Syst.712013Journal Articlesclosedjournals/iet-cds/HuangL1310.1049/IET-CDS.2012.0253https://doi.org/10.1049/iet-cds.2012.0253https://dblp.org/rec/journals/iet-cds/HuangL13URL#4024580Mohammad JavidanJérôme JuillardPhilippe BénabèsHigh-loop-delay sixth-order bandpass continuoustime Sigma-Delta modulators.IET Circuits Devices Syst.76305-3122013Journal Articlesclosedjournals/iet-cds/JavidanJB1310.1049/IET-CDS.2011.0313https://doi.org/10.1049/iet-cds.2011.0313https://dblp.org/rec/journals/iet-cds/JavidanJB13URL#4024581Chan-Hui JeongKyu-Young KimChan-Keun KwonHoon Ki KimSoo-Won KimDigital calibration technique using a signed counter for charge pump mismatch in phase-locked loops.IET Circuits Devices Syst.76313-3182013Journal Articlesclosedjournals/iet-cds/JeongKKKK1310.1049/IET-CDS.2013.0011https://doi.org/10.1049/iet-cds.2013.0011https://dblp.org/rec/journals/iet-cds/JeongKKKK13URL#4024582Yongtae KimPeng Li 0001A 0.38 V near/sub-VT digitally controlled low-dropout regulator with enhanced power supply noise rejection in 90 nm CMOS process.IET Circuits Devices Syst.712013Journal Articlesclosedjournals/iet-cds/KimL1310.1049/IET-CDS.2012.0114https://doi.org/10.1049/iet-cds.2012.0114https://dblp.org/rec/journals/iet-cds/KimL13URL#4024583Tomasz Kulej0.5-V bulk-driven CMOS operational amplifier.IET Circuits Devices Syst.76352-3602013Journal Articlesclosedjournals/iet-cds/Kulej1310.1049/IET-CDS.2012.0372https://doi.org/10.1049/iet-cds.2012.0372https://dblp.org/rec/journals/iet-cds/Kulej13URL#4024584Sandip KunduSaraju P. MohantyNagarajan RanganathanGuest editorial - Design methodologies for nanoelectronic digital and analogue circuits.IET Circuits Devices Syst.75221-2222013Journal Articlesclosedjournals/iet-cds/KunduMR1310.1049/IET-CDS.2013.0269https://doi.org/10.1049/iet-cds.2013.0269https://dblp.org/rec/journals/iet-cds/KunduMR13URL#4024585Xiongliang LaiFei YuanPassive voltage shifters for analogue signaling.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/LaiY1310.1049/IET-CDS.2012.0374https://doi.org/10.1049/iet-cds.2012.0374https://dblp.org/rec/journals/iet-cds/LaiY13URL#4024586Xin LiMengtian RongRuolin WangTao Liu 0032Liang ZhouReducing the number of sensors under hot spot temperature error bound for microprocessors based on dual clustering.IET Circuits Devices Syst.74211-2202013Journal Articlesclosedjournals/iet-cds/LiRWLZ1310.1049/IET-CDS.2012.0314https://doi.org/10.1049/iet-cds.2012.0314https://dblp.org/rec/journals/iet-cds/LiRWLZ13URL#4024587Basant K. MohantyAnurag MahajanScheduling-scheme and parallel structure for multi-level lifting two-dimensional discrete wavelet transform without using frame-buffer.IET Circuits Devices Syst.76319-3252013Journal Articlesclosedjournals/iet-cds/MohantyM1310.1049/IET-CDS.2012.0398https://doi.org/10.1049/iet-cds.2012.0398https://dblp.org/rec/journals/iet-cds/MohantyM13URL#4024588Ravindhiran MukundrajanMatthew CotterSungmin BaeVinay SaripalliMary Jane IrwinSuman DattaVijaykrishnan NarayananDesign of energy-efficient circuits and systems using tunnel field effect transistors.IET Circuits Devices Syst.75294-3032013Journal Articlesclosedjournals/iet-cds/MukundrajanCBSIDN1310.1049/IET-CDS.2012.0387https://doi.org/10.1049/iet-cds.2012.0387https://dblp.org/rec/journals/iet-cds/MukundrajanCBSIDN13URL#4024589Maciej NikodemSynthesis of multithreshold threshold gates based on negative differential resistance devices.IET Circuits Devices Syst.75232-2422013Journal Articlesclosedjournals/iet-cds/Nikodem1310.1049/IET-CDS.2012.0368https://doi.org/10.1049/iet-cds.2012.0368https://dblp.org/rec/journals/iet-cds/Nikodem13URL#4024590Oghenekarho OkobiahSaraju P. MohantyElias KougianosGeostatistical-inspired fast layout optimisation of a nano-CMOS thermal sensor.IET Circuits Devices Syst.75253-2622013Journal Articlesclosedjournals/iet-cds/OkobiahMK1310.1049/IET-CDS.2012.0358https://doi.org/10.1049/iet-cds.2012.0358https://dblp.org/rec/journals/iet-cds/OkobiahMK13URL#4024591Marek ParfieniukMaxim VashkevichAlexander A. PetrovskyShort-critical-path and structurally orthogonal scaled CORDIC-based approximations of the eight-point discrete cosine transform.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/ParfieniukVP1310.1049/IET-CDS.2012.0233https://doi.org/10.1049/iet-cds.2012.0233https://dblp.org/rec/journals/iet-cds/ParfieniukVP13URL#4024592Gi-Tae ParkSoo-Won KimEmbedded processor optimised for vascular pattern recognition.IET Circuits Devices Syst.722013Journal Articlesclosedjournals/iet-cds/ParkK1310.1049/IET-CDS.2012.0192https://doi.org/10.1049/iet-cds.2012.0192https://dblp.org/rec/journals/iet-cds/ParkK13URL#4024593Blaise RaveloMethodology of elementary negative group delay active topologies identification.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/Ravelo1310.1049/IET-CDS.2012.0317https://doi.org/10.1049/iet-cds.2012.0317https://dblp.org/rec/journals/iet-cds/Ravelo13URL#4024594Nima SadeghiIman SadeghiShahriar MirabbasiAnalysis and design of monolithic resistors with a desired temperature coefficient using contacts.IET Circuits Devices Syst.74185-1922013Journal Articlesclosedjournals/iet-cds/SadeghiSM1310.1049/IET-CDS.2012.0126https://doi.org/10.1049/iet-cds.2012.0126https://dblp.org/rec/journals/iet-cds/SadeghiSM13URL#4024595Aloke SahaDipankar PalMahesh ChandraLow-power 6-GHz wave-pipelined 8b x 8b multiplier.IET Circuits Devices Syst.732013Journal Articlesclosedjournals/iet-cds/SahaPC1310.1049/IET-CDS.2012.0221https://doi.org/10.1049/iet-cds.2012.0221https://dblp.org/rec/journals/iet-cds/SahaPC13URL#4024596Bing ShiAnkur Srivastava 0001Avram Bar-CohenCo-design of micro-fluidic heat sink and thermal through-silicon-vias for cooling of three-dimensional integrated circuit.IET Circuits Devices Syst.75223-2312013Journal Articlesclosedjournals/iet-cds/ShiSB1310.1049/IET-CDS.2013.0026https://doi.org/10.1049/iet-cds.2013.0026https://dblp.org/rec/journals/iet-cds/ShiSB13URL#4024597Shweta TripathiSatyabrata JitAnalytical modelling of the current (I)-voltage (V) characteristics of sub-micron gate-length ion-implanted GaAs MESFETs under dark and illuminated conditions.IET Circuits Devices Syst.712013Journal Articlesclosedjournals/iet-cds/TripathiJ1310.1049/IET-CDS.2012.0145https://doi.org/10.1049/iet-cds.2012.0145https://dblp.org/rec/journals/iet-cds/TripathiJ13URL#4024598Pei-Kang TsaiTzuen-Hsi HuangYu-Ting ChenDual-band quadrature voltage-controlled oscillator using differential inner-diamond-structure switchable inductor.IET Circuits Devices Syst.76368-3752013Journal Articlesclosedjournals/iet-cds/TsaiHC1310.1049/IET-CDS.2013.0098https://doi.org/10.1049/iet-cds.2013.0098https://dblp.org/rec/journals/iet-cds/TsaiHC13URL#4024599Yan Chiew WongTughrul ArslanAhmet T. ErdoganAhmed O. El-RayisEfficient ultra-high-voltage controller-based complementary-metal-oxide-semiconductor switched-capacitor DC-DC converter for radio-frequency micro-electro-mechanical systems switch actuation.IET Circuits Devices Syst.722013Journal Articlesclosedjournals/iet-cds/WongAEE1310.1049/IET-CDS.2012.0327https://doi.org/10.1049/iet-cds.2012.0327https://dblp.org/rec/journals/iet-cds/WongAEE13URL#4024600Yue XuHongbing PanShuzhuan HeLi Li 0003Monolithic H-bridge brushless DC vibration motor driver with a highly sensitive hall sensor in 0.18 μm complementary metal-oxide semiconductor technology.IET Circuits Devices Syst.74204-2102013Journal Articlesclosedjournals/iet-cds/XuPH01310.1049/IET-CDS.2012.0167https://doi.org/10.1049/iet-cds.2012.0167https://dblp.org/rec/journals/iet-cds/XuPH013URL#4024601Xiaojun ZhaiFaycal BensaaliSoodamani RamalingamImproved number plate localisation algorithm and its efficient field programmable gate arrays implementation.IET Circuits Devices Syst.722013Journal Articlesclosedjournals/iet-cds/ZhaiBR1310.1049/IET-CDS.2012.0064https://doi.org/10.1049/iet-cds.2012.0064https://dblp.org/rec/journals/iet-cds/ZhaiBR13URL#4024602Xiaojun ZhaiFaycal BensaaliReza SotudehReal-time optical character recognition on field programmable gate array for automatic number plate recognition system.IET Circuits Devices Syst.76337-3442013Journal Articlesclosedjournals/iet-cds/ZhaiBS1310.1049/IET-CDS.2012.0339https://doi.org/10.1049/iet-cds.2012.0339https://dblp.org/rec/journals/iet-cds/ZhaiBS13URL#4024603Kasim K. AbdallaData Ram BhaskarRaj SenaniConfiguration for realising a current-mode universal filter and dual-mode quadrature single resistor controlled oscillator.IET Circuits Devices Syst.63159-1672012Journal Articlesclosedjournals/iet-cds/AbdallaBS1210.1049/IET-CDS.2011.0160https://doi.org/10.1049/iet-cds.2011.0160https://dblp.org/rec/journals/iet-cds/AbdallaBS12URL#4304481Hossein AghababaAlireza KhosropourAli Afzali-KushaBehjat ForouzandehMassoud PedramStatistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution.IET Circuits Devices Syst.65273-2782012Journal Articlesclosedjournals/iet-cds/AghababaKAFP1210.1049/IET-CDS.2011.0348https://doi.org/10.1049/iet-cds.2011.0348https://dblp.org/rec/journals/iet-cds/AghababaKAFP12URL#4304482Peyman AhmadiBrent MaundyAhmed S. ElwakilLeonid BelostotskiHigh-quality factor asymmetric-slope band-pass filters: A fractional-order capacitor approach.IET Circuits Devices Syst.63187-1972012Journal Articlesclosedjournals/iet-cds/AhmadiMEB1210.1049/IET-CDS.2011.0239https://doi.org/10.1049/iet-cds.2011.0239https://dblp.org/rec/journals/iet-cds/AhmadiMEB12URL#4304483Hossein Karimiyan AlidashAndrea CalimeraAlberto MaciiEnrico MaciiMassimo PoncinoOn-chip process variation-tracking through an all-digital monitoring architecture.IET Circuits Devices Syst.65366-3732012Journal Articlesclosedjournals/iet-cds/AlidashCMMP1210.1049/IET-CDS.2011.0360https://doi.org/10.1049/iet-cds.2011.0360https://dblp.org/rec/journals/iet-cds/AlidashCMMP12URL#4304484Hussain A. AlzaherOsama Al-EesNoman TasadduqProgrammable multi-gain current amplifier.IET Circuits Devices Syst.66421-4282012Journal Articlesclosedjournals/iet-cds/AlzaherAT1210.1049/IET-CDS.2012.0086https://doi.org/10.1049/iet-cds.2012.0086https://dblp.org/rec/journals/iet-cds/AlzaherAT12URL#4304485M. A. ArafatA. B. M. Harun-ur RashidA novel 7 Gbps low-power CMOS ultra-wideband pulse generator.IET Circuits Devices Syst.66406-4122012Journal Articlesclosedjournals/iet-cds/ArafatR1210.1049/IET-CDS.2012.0057https://doi.org/10.1049/iet-cds.2012.0057https://dblp.org/rec/journals/iet-cds/ArafatR12URL#4304486Ignacio ArnaldoJosé Luis Risco-MartínJosé Luis AyalaJosé Ignacio HidalgoPower profiling-guided floorplanner for 3D multi-processor systems-on-chip.IET Circuits Devices Syst.65322-3292012Journal Articlesclosedjournals/iet-cds/ArnaldoRAH1210.1049/IET-CDS.2011.0350https://doi.org/10.1049/iet-cds.2011.0350https://dblp.org/rec/journals/iet-cds/ArnaldoRAH12URL#4304487Syed AskariMehrdad NouraniDesign methodology for mitigating transient errors in analogue and mixed-signal circuits.IET Circuits Devices Syst.66447-4562012Journal Articlesclosedjournals/iet-cds/AskariN1210.1049/IET-CDS.2012.0053https://doi.org/10.1049/iet-cds.2012.0053https://dblp.org/rec/journals/iet-cds/AskariN12URL#4304488Mahzad AzarmehrRashid RashidzadehMajid AhmadiLow-power oscillator for passive radio frequency identification transponders.IET Circuits Devices Syst.6279-842012Journal Articlesclosedjournals/iet-cds/AzarmehrRA1210.1049/IET-CDS.2011.0279https://doi.org/10.1049/iet-cds.2011.0279https://dblp.org/rec/journals/iet-cds/AzarmehrRA12URL#4304489Joaquim A. R. AzevedoFilipe E. S. SantosEnergy harvesting from wind and water for autonomous wireless sensor nodes.IET Circuits Devices Syst.66413-4202012Journal Articlesclosedjournals/iet-cds/AzevedoS1210.1049/IET-CDS.2011.0287https://doi.org/10.1049/iet-cds.2011.0287https://dblp.org/rec/journals/iet-cds/AzevedoS12URL#4304490Sami BarmadaAntonino MusolinoRocco RizzoMauro TucciMulti-resolution based sensitivity analysis of complex non-linear circuits.IET Circuits Devices Syst.63176-1862012Journal Articlesclosedjournals/iet-cds/BarmadaMRT1210.1049/IET-CDS.2010.0429https://doi.org/10.1049/iet-cds.2010.0429https://dblp.org/rec/journals/iet-cds/BarmadaMRT12URL#4304491Julien BrochetBernard AventurierFrançois TemplierStability of hydrogenated polymorphous silicon thin-film transistors under DC electrical stress.IET Circuits Devices Syst.62113-1172012Journal Articlesclosedjournals/iet-cds/BrochetAT1210.1049/IET-CDS.2010.0367https://doi.org/10.1049/iet-cds.2010.0367https://dblp.org/rec/journals/iet-cds/BrochetAT12URL#4304492Alejandra Castro-CarranzaMagali EstradaJairo C. NolascoAntonio CerdeiraLluís F. MarsalBenjamín IñíguezJosep PallarèsOrganic thin-film transistor bias-dependent capacitance compact model in accumulation regime.IET Circuits Devices Syst.62130-1352012Journal Articlesclosedjournals/iet-cds/Castro-CarranzaENCMIP1210.1049/IET-CDS.2010.0372https://doi.org/10.1049/iet-cds.2010.0372https://dblp.org/rec/journals/iet-cds/Castro-CarranzaENCMIP12URL#4304493Najoua ChalbiMohamed BoubakerMohamed Bedoui HediPower estimation model based on grouping components in field-programmable gate array circuit.IET Circuits Devices Syst.66437-4462012Journal Articlesclosedjournals/iet-cds/ChalbiBH1210.1049/IET-CDS.2011.0367https://doi.org/10.1049/iet-cds.2011.0367https://dblp.org/rec/journals/iet-cds/ChalbiBH12URL#4304494Ning Chen 0006Bing Li 0005Ulf SchlichtmannIterative timing analysis based on nonlinear and interdependent flipflop modelling.IET Circuits Devices Syst.65330-3372012Journal Articlesclosedjournals/iet-cds/ChenLS1210.1049/IET-CDS.2011.0347https://doi.org/10.1049/iet-cds.2011.0347https://dblp.org/rec/journals/iet-cds/ChenLS12URL#4304495Ren-Li ChenHsin-Wen TingSoon-Jyh ChangSix-bit 2.7-GS/s 5.4-mW Nyquist complementary metal-oxide semiconductor digital-to-analogue converter for ultra-wideband transceivers.IET Circuits Devices Syst.6295-1022012Journal Articlesclosedjournals/iet-cds/ChenTC1210.1049/IET-CDS.2011.0192https://doi.org/10.1049/iet-cds.2011.0192https://dblp.org/rec/journals/iet-cds/ChenTC12URL#4304496Jaewon ChoiChulhun SeoHigh-Q metamaterial interdigital transmission line based on complementary spiral resonators for low phase noise voltage-controlled oscillator.IET Circuits Devices Syst.63168-1752012Journal Articlesclosedjournals/iet-cds/ChoiS1210.1049/IET-CDS.2011.0154https://doi.org/10.1049/iet-cds.2011.0154https://dblp.org/rec/journals/iet-cds/ChoiS12URL#4304497Yung-Shan ChouChun-Chen LinHsin-Liang ChenJen-Shiun ChiangHeuristic finite-impulse-response filter design for cascaded ΣΔ modulators with finite amplifier gain.IET Circuits Devices Syst.64235-2452012Journal Articlesclosedjournals/iet-cds/ChouLCC1210.1049/IET-CDS.2011.0177https://doi.org/10.1049/iet-cds.2011.0177https://dblp.org/rec/journals/iet-cds/ChouLCC12URL#4304498Walter CiccognaniSergio ColangeliErnesto LimitiPatrick E. LonghiNoise measure-based design methodology for simultaneously matched multi-stage low-noise amplifiers.IET Circuits Devices Syst.6163-702012Journal Articlesclosedjournals/iet-cds/CiccognaniCLL1210.1049/IET-CDS.2011.0100https://doi.org/10.1049/iet-cds.2011.0100https://dblp.org/rec/journals/iet-cds/CiccognaniCLL12URL#4304499Bishnu Prasad DasHidetoshi OnoderaArea-efficient reconfigurable-array-based oscillator for standard cell characterisation.IET Circuits Devices Syst.66429-4362012Journal Articlesclosedjournals/iet-cds/DasO1210.1049/IET-CDS.2012.0012https://doi.org/10.1049/iet-cds.2012.0012https://dblp.org/rec/journals/iet-cds/DasO12URL#4304500Ahmed Yasir DoganJeremy ConstantinDavid AtienzaAndreas BurgLuca BeniniLow-power processor architecture exploration for online biomedical signal analysis.IET Circuits Devices Syst.65279-2862012Journal Articlesclosedjournals/iet-cds/DoganCABB1210.1049/IET-CDS.2012.0011https://doi.org/10.1049/iet-cds.2012.0011https://dblp.org/rec/journals/iet-cds/DoganCABB12URL#4304501Morteza GholipourNasser MasoumiEfficient inclusive analytical model for delay estimation of multi-walled carbon nanotube interconnects.IET Circuits Devices Syst.64252-2592012Journal Articlesclosedjournals/iet-cds/GholipourM1210.1049/IET-CDS.2011.0283https://doi.org/10.1049/iet-cds.2011.0283https://dblp.org/rec/journals/iet-cds/GholipourM12URL#4304502Ankur GoelRohit K. SharmaA. K. GuptaProcess variations aware area efficient negative bit-line voltage scheme for improving write ability of SRAM in nanometer technologies.IET Circuits Devices Syst.6145-512012Journal Articlesclosedjournals/iet-cds/GoelSG1210.1049/IET-CDS.2011.0036https://doi.org/10.1049/iet-cds.2011.0036https://dblp.org/rec/journals/iet-cds/GoelSG12URL#4304503Waldemar JendernalikStanislaw SzczepanskiSlawomir KozielHighly linear CMOS triode transconductor for VHF applications.IET Circuits Devices Syst.619-182012Journal Articlesclosedjournals/iet-cds/JendernalikSK1210.1049/IET-CDS.2011.0138https://doi.org/10.1049/iet-cds.2011.0138https://dblp.org/rec/journals/iet-cds/JendernalikSK12URL#4304504Brian Sveistrup JensenMahdi M. KhafajiTom K. JohansenViktor KrozerJohann-Christoph ScheyttTwelve-bit 20-GHz reduced size pipeline accumulator in 0.25 μm SiGe: C technology for direct digital synthesiser applications.IET Circuits Devices Syst.6119-272012Journal Articlesclosedjournals/iet-cds/JensenKJKS1210.1049/IET-CDS.2010.0399https://doi.org/10.1049/iet-cds.2010.0399https://dblp.org/rec/journals/iet-cds/JensenKJKS12URL#4304505Jong Woo JinMaher OudwanDmitri DainekaOumkelthoum MoustaphaYvan BonnassieuxParameter extraction method for universal amorphous silicon thin-film transistors simulation program with integrated circuit emphasis model.IET Circuits Devices Syst.62118-1212012Journal Articlesclosedjournals/iet-cds/JinODMB1210.1049/IET-CDS.2011.0124https://doi.org/10.1049/iet-cds.2011.0124https://dblp.org/rec/journals/iet-cds/JinODMB12URL#4304506Omer KhanSandip KunduEmpirical model for cooperative resizing of processor structures to exploit power-performance efficiency at runtime.IET Circuits Devices Syst.65355-3652012Journal Articlesclosedjournals/iet-cds/KhanK1210.1049/IET-CDS.2011.0354https://doi.org/10.1049/iet-cds.2011.0354https://dblp.org/rec/journals/iet-cds/KhanK12URL#4304507Iman KianpourMajid Baghaei NejadLi-Rong Zheng 000178 nW ultra-low-power 17 kS/s two-step-successive approximation register analogue-to-digital converter for RFID and sensing applications.IET Circuits Devices Syst.66397-4052012Journal Articlesclosedjournals/iet-cds/KianpourNZ1210.1049/IET-CDS.2011.0238https://doi.org/10.1049/iet-cds.2011.0238https://dblp.org/rec/journals/iet-cds/KianpourNZ12URL#4304508Jaesung LeeDesign methodology for on-chip bus architectures using system-on-chip network protocol.IET Circuits Devices Syst.6285-942012Journal Articlesclosedjournals/iet-cds/Lee1210.1049/IET-CDS.2011.0054https://doi.org/10.1049/iet-cds.2011.0054https://dblp.org/rec/journals/iet-cds/Lee12URL#4304509Siwen LiangWilliam Redman-WhiteIntegrated CMOS wide tuning range integer-N frequency synthesiser for spectrum monitoring functions in cognitive radio systems.IET Circuits Devices Syst.66465-4722012Journal Articlesclosedjournals/iet-cds/LiangR1210.1049/IET-CDS.2012.0014https://doi.org/10.1049/iet-cds.2012.0014https://dblp.org/rec/journals/iet-cds/LiangR12URL#4304510Yu-Chun LinShyh-Jye JouMuh-Tian ShiueHigh throughput concurrent lookahead adaptive decision feedback equaliser.IET Circuits Devices Syst.6152-622012Journal Articlesclosedjournals/iet-cds/LinJS1210.1049/IET-CDS.2010.0445https://doi.org/10.1049/iet-cds.2010.0445https://dblp.org/rec/journals/iet-cds/LinJS12URL#4304511Jaswinder LotaMohammed Al-JanabiIzzet KaleAccurate stability prediction of one-bit higher-order delta-sigma modulators for multiple-sinusoidal inputs.IET Circuits Devices Syst.6271-782012Journal Articlesclosedjournals/iet-cds/LotaAK1210.1049/IET-CDS.2011.0194https://doi.org/10.1049/iet-cds.2011.0194https://dblp.org/rec/journals/iet-cds/LotaAK12URL#4304512Hao LuoYan HanRay C. C. CheungGuo LiangDazhong ZhuSubthreshold CMOS voltage reference circuit with body bias compensation for process variation.IET Circuits Devices Syst.63198-2032012Journal Articlesclosedjournals/iet-cds/LuoHCLZ1210.1049/IET-CDS.2011.0170https://doi.org/10.1049/iet-cds.2011.0170https://dblp.org/rec/journals/iet-cds/LuoHCLZ12URL#4304513Sudhanshu MaheshwariBhartendu ChaturvediHigh-input low-output impedance all-pass filters using one active element.IET Circuits Devices Syst.62103-1102012Journal Articlesclosedjournals/iet-cds/MaheshwariC1210.1049/IET-CDS.2011.0213https://doi.org/10.1049/iet-cds.2011.0213https://dblp.org/rec/journals/iet-cds/MaheshwariC12URL#4304514Hiroshi MakinoShunji NakataHirotsugu SuzukiShin'ichiro MutohMasayuki MiyamaTsutomu YoshimuraShuhei IwadeYoshio MatsudaUtilising the normal distribution of the write noise margin to easily predict the SRAM write yield.IET Circuits Devices Syst.64260-2702012Journal Articlesclosedjournals/iet-cds/MakinoNSMMYIM1210.1049/IET-CDS.2012.0090https://doi.org/10.1049/iet-cds.2012.0090https://dblp.org/rec/journals/iet-cds/MakinoNSMMYIM12URL#4304515Tomislav MaticTomislav SvedekDavor VinkoIntegrator clamping for asynchronous sigma-delta modulator central frequency increment.IET Circuits Devices Syst.65338-3462012Journal Articlesclosedjournals/iet-cds/MaticSV1210.1049/IET-CDS.2011.0322https://doi.org/10.1049/iet-cds.2011.0322https://dblp.org/rec/journals/iet-cds/MaticSV12URL#4304516Ons MbarekAlain PegatoquetMichel AuguinUsing unified power format standard concepts for power-aware design and verification of systems-onchip at transaction level.IET Circuits Devices Syst.65287-2962012Journal Articlesclosedjournals/iet-cds/MbarekPA1210.1049/IET-CDS.2011.0352https://doi.org/10.1049/iet-cds.2011.0352https://dblp.org/rec/journals/iet-cds/MbarekPA12URL#4304517Kalyan MondalSanjit K. MitraNon-recursive decimation filters with arbitrary integer decimation factors.IET Circuits Devices Syst.63141-1512012Journal Articlesclosedjournals/iet-cds/MondalM1210.1049/IET-CDS.2011.0240https://doi.org/10.1049/iet-cds.2011.0240https://dblp.org/rec/journals/iet-cds/MondalM12URL#4304518Gholamreza NikandishAli MediAnalysis of integral non-linearity errors in two-step analogue-to-digital converters.IET Circuits Devices Syst.611-82012Journal Articlesclosedjournals/iet-cds/NikandishM1210.1049/IET-CDS.2011.0123https://doi.org/10.1049/iet-cds.2011.0123https://dblp.org/rec/journals/iet-cds/NikandishM12URL#4304519Kang-Yeob ParkWonseok Oh 0003Yun-Sik LeeWoo-Young ChoiFully integrated serial-link receiver with optical interface for long-haul display interconnects.IET Circuits Devices Syst.66375-3852012Journal Articlesclosedjournals/iet-cds/ParkOLC1210.1049/IET-CDS.2012.0029https://doi.org/10.1049/iet-cds.2012.0029https://dblp.org/rec/journals/iet-cds/ParkOLC12URL#4304520Rodrigo PicosEugenio García-MorenoMiquel Roca 0001Benjamín IñíguezMagali EstradaAntonio CerdeiraOptimised design of an organic thin-film transistor amplifier using the gm/ID methodology.IET Circuits Devices Syst.62136-1402012Journal Articlesclosedjournals/iet-cds/PicosGRIEC1210.1049/IET-CDS.2011.0169https://doi.org/10.1049/iet-cds.2011.0169https://dblp.org/rec/journals/iet-cds/PicosGRIEC12URL#4304521Salvatore PontarelliAdelio SalsanoOn the use of Karatsuba formula to detect errors in GF((2(sup)n(/sup))(sup)2(/sup)) multipliers.IET Circuits Devices Syst.63152-1582012Journal Articlesclosedjournals/iet-cds/PontarelliS1210.1049/IET-CDS.2011.0278https://doi.org/10.1049/iet-cds.2011.0278https://dblp.org/rec/journals/iet-cds/PontarelliS12URL#4304522Shivam PriyadarshiT. Robert HarrisSamson MelamedCarlos Tadeo Ortega OteroNikhil KriplaniCarlos E. ChristoffersenRajit ManoharSteven R. DooleyW. Rhett DavisPaul D. FranzonMichael B. SteerDynamic electrothermal simulation of three-dimensional integrated circuits using standard cell macromodels.IET Circuits Devices Syst.6135-442012Journal Articlesclosedjournals/iet-cds/PriyadarshiHMOKCMDDFS1210.1049/IET-CDS.2011.0061https://doi.org/10.1049/iet-cds.2011.0061https://dblp.org/rec/journals/iet-cds/PriyadarshiHMOKCMDDFS12URL#4304523Amir-Mohammad RahmaniKameswar Rao VaddinaKhalid Latif 0002Pasi LiljebergJuha PlosilaHannu TenhunenDesign and management of high-performance, reliable and thermal-aware 3D networks-on-chip.IET Circuits Devices Syst.65308-3212012Journal Articlesclosedjournals/iet-cds/RahmaniV0LPT1210.1049/IET-CDS.2011.0349https://doi.org/10.1049/iet-cds.2011.0349https://dblp.org/rec/journals/iet-cds/RahmaniV0LPT12URL#4304524Munira RajaWilliam EcclestonAnalytical device models for disordered organic Schottky diodes and thin-film transistors for circuit simulations.IET Circuits Devices Syst.62122-1292012Journal Articlesclosedjournals/iet-cds/RajaE1210.1049/IET-CDS.2011.0199https://doi.org/10.1049/iet-cds.2011.0199https://dblp.org/rec/journals/iet-cds/RajaE12URL#4304525Surendra S. RathodAshok K. SaxenaSudeb DasguptaAnalysis of double-gate FinFET-based address decoder for radiation-induced single-event-transients.IET Circuits Devices Syst.64218-2262012Journal Articlesclosedjournals/iet-cds/RathodSD1210.1049/IET-CDS.2011.0253https://doi.org/10.1049/iet-cds.2011.0253https://dblp.org/rec/journals/iet-cds/RathodSD12URL#4304526Samar K. SahaNon-linear coupling voltage of split-gate flash memory cells with additional top coupling gate.IET Circuits Devices Syst.63204-2102012Journal Articlesclosedjournals/iet-cds/Saha1210.1049/IET-CDS.2011.0252https://doi.org/10.1049/iet-cds.2011.0252https://dblp.org/rec/journals/iet-cds/Saha12URL#4304527Haneefa SaleemShreepad KarmalkarClosed-form model for the open circuit voltage of solar cells with shunt resistance, bias-dependent photocurrent and double exponential terms.IET Circuits Devices Syst.64211-2172012Journal Articlesclosedjournals/iet-cds/SaleemK1210.1049/IET-CDS.2011.0173https://doi.org/10.1049/iet-cds.2011.0173https://dblp.org/rec/journals/iet-cds/SaleemK12URL#4304528Angsuman SarkarSwapnadip DeAnup DeyChandan Kumar Sarkar1/f noise and analogue performance study of short-channel cylindrical surrounding gate MOSFET using a new subthreshold analytical pseudo-two-dimensional model.IET Circuits Devices Syst.6128-342012Journal Articlesclosedjournals/iet-cds/SarkarDDS1210.1049/IET-CDS.2011.0093https://doi.org/10.1049/iet-cds.2011.0093https://dblp.org/rec/journals/iet-cds/SarkarDDS12URL#4304529Graziella ScandurraGianluca CannatàCarmine CiofiWide bandwidth pythagorean rectifier.IET Circuits Devices Syst.66386-3962012Journal Articlesclosedjournals/iet-cds/ScandurraCC1210.1049/IET-CDS.2012.0140https://doi.org/10.1049/iet-cds.2012.0140https://dblp.org/rec/journals/iet-cds/ScandurraCC12URL#4304530Lars SchorHoeseok YangIuliana BacivarovLothar ThieleWorst-case temperature analysis for different resource models.IET Circuits Devices Syst.65297-3072012Journal Articlesclosedjournals/iet-cds/SchorYBT1210.1049/IET-CDS.2011.0369https://doi.org/10.1049/iet-cds.2011.0369https://dblp.org/rec/journals/iet-cds/SchorYBT12URL#4304531Ling-feng ShiY. J. ChangHui-sen HeH. Y. NieY. R. ZhaoDesign of rectifier diode temperature compensation circuit in flyback converter.IET Circuits Devices Syst.64246-2512012Journal Articlesclosedjournals/iet-cds/ShiCHNZ1210.1049/IET-CDS.2011.0254https://doi.org/10.1049/iet-cds.2011.0254https://dblp.org/rec/journals/iet-cds/ShiCHNZ12URL#4304532Yeu-Horng ShiauHung-Yu YangPei-Yin ChenShi-Gi HuangPower-efficient decoder implementation based on state transparent convolutional codes.IET Circuits Devices Syst.64227-2342012Journal Articlesclosedjournals/iet-cds/ShiauYCH1210.1049/IET-CDS.2011.0055https://doi.org/10.1049/iet-cds.2011.0055https://dblp.org/rec/journals/iet-cds/ShiauYCH12URL#4304533Bruno VaquieSébastien TiranPhilippe MaurineSecure D flip-flop against side channel attacks.IET Circuits Devices Syst.65347-3542012Journal Articlesclosedjournals/iet-cds/VaquieTM1210.1049/IET-CDS.2011.0345https://doi.org/10.1049/iet-cds.2011.0345https://dblp.org/rec/journals/iet-cds/VaquieTM12URL#4304534L. WenZ. LiY. LiHigh-performance dynamic circuit techniques with improved noise immunity for address decoders.IET Circuits Devices Syst.66457-4642012Journal Articlesclosedjournals/iet-cds/WenLL1210.1049/IET-CDS.2012.0002https://doi.org/10.1049/iet-cds.2012.0002https://dblp.org/rec/journals/iet-cds/WenLL12URL#4304535Hussain A. AlzaherAlaa E. HusseinNoman TasadduqDual-mode bluetooth/wireless local area network channel-select filter for direct conversion receivers.IET Circuits Devices Syst.53189-1952011Journal Articlesclosedjournals/iet-cds/AlzaherHT1110.1049/IET-CDS.2010.0078https://doi.org/10.1049/iet-cds.2010.0078https://dblp.org/rec/journals/iet-cds/AlzaherHT11URL#4566729Syed AskariMehrdad NouraniAli NamaziFault-tolerant A/D converter using analogue voting.IET Circuits Devices Syst.56462-4702011Journal Articlesclosedjournals/iet-cds/AskariNN1110.1049/IET-CDS.2011.0042https://doi.org/10.1049/iet-cds.2011.0042https://dblp.org/rec/journals/iet-cds/AskariNN11URL#4566730Kaushik BhattacharyyaPradip MandalTechnique for the reduction of output voltage ripple of switched capacitor-based DC??DC converters.IET Circuits Devices Syst.56442-4502011Journal Articlesclosedjournals/iet-cds/BhattacharyyaM1110.1049/IET-CDS.2010.0439https://doi.org/10.1049/iet-cds.2010.0439https://dblp.org/rec/journals/iet-cds/BhattacharyyaM11URL#4566731Grzegorz BlakiewiczOutput-capacitorless low-dropout regulator using a cascoded flipped voltage follower.IET Circuits Devices Syst.55418-4232011Journal Articlesclosedjournals/iet-cds/Blakiewicz1110.1049/IET-CDS.2010.0431https://doi.org/10.1049/iet-cds.2010.0431https://dblp.org/rec/journals/iet-cds/Blakiewicz11URL#4566732Antonella BogoniLuca PotìAlan E. WillnerPaolo GhelfiClaudio PorziMirco ScaffardiGianluca MeloniGianluca BerrettiniFrancesco FresiEmma LazzeriXiaoxia WuOptical logic elementary circuits.IET Circuits Devices Syst.5276-832011Journal Articlesclosedjournals/iet-cds/BogoniPWGPSMBFLW1110.1049/IET-CDS.2010.0105https://doi.org/10.1049/iet-cds.2010.0105https://dblp.org/rec/journals/iet-cds/BogoniPWGPSMBFLW11URL#4566733Saurav ChakrabortyAbhijit MallikChandan Kumar SarkarSubthreshold performance of pocket-implanted silicon-on-insulator CMOS devices and circuits for ultra-low-power analogue/mixed-signal applications.IET Circuits Devices Syst.54343-3502011Journal Articlesclosedjournals/iet-cds/ChakrabortyMS1110.1049/IET-CDS.2010.0299https://doi.org/10.1049/iet-cds.2010.0299https://dblp.org/rec/journals/iet-cds/ChakrabortyMS11URL#4566734Tanay ChattopadhyayMantu Kumar DasJitendra Nath RoyAjoy Kumar ChakrabortyDilip Kumar GayenInterferometric switch based all optical scheme for conversion of binary number to its quaternary-signed digit form.IET Circuits Devices Syst.52132-1422011Journal Articlesclosedjournals/iet-cds/ChattopadhyayDRCG1110.1049/IET-CDS.2010.0056https://doi.org/10.1049/iet-cds.2010.0056https://dblp.org/rec/journals/iet-cds/ChattopadhyayDRCG11URL#4566735Terdpun ChoogornJirayuth MahattanakulRelationship between common-mode rejection and differential-mode distortion in fully differential Gm-C filters.IET Circuits Devices Syst.56518-5262011Journal Articlesclosedjournals/iet-cds/ChoogornM1110.1049/IET-CDS.2011.0050https://doi.org/10.1049/iet-cds.2011.0050https://dblp.org/rec/journals/iet-cds/ChoogornM11URL#4566736Gregor CijanTadej TumaÁrpád BürmenDirect search approach to integrated circuit sizing for high parametric yield.IET Circuits Devices Syst.5137-452011Journal Articlesclosedjournals/iet-cds/CijanTB1110.1049/IET-CDS.2010.0094https://doi.org/10.1049/iet-cds.2010.0094https://dblp.org/rec/journals/iet-cds/CijanTB11URL#4566737John J. CooleyAl-Thaddeus AvestruzSteven B. LeebSmall-signal analysis of fully-differential closed-loop op-amp circuits with arbitrary external impedance elements.IET Circuits Devices Syst.55371-3832011Journal Articlesclosedjournals/iet-cds/CooleyAL1110.1049/IET-CDS.2010.0401https://doi.org/10.1049/iet-cds.2010.0401https://dblp.org/rec/journals/iet-cds/CooleyAL11URL#4566738William DenmanMohamed H. ZakiSofiène TaharFormal verification of bond graph modelled analogue circuits.IET Circuits Devices Syst.53243-2552011Journal Articlesclosedjournals/iet-cds/DenmanZT1110.1049/IET-CDS.2009.0221https://doi.org/10.1049/iet-cds.2009.0221https://dblp.org/rec/journals/iet-cds/DenmanZT11URL#4566739Christophe DesmouliersErdal OrukluJafar SaniieDiscrete wavelet transform realisation using run-time reconfiguration of field programmable gate array (FPGA)s.IET Circuits Devices Syst.54321-3282011Journal Articlesclosedjournals/iet-cds/DesmouliersOS1110.1049/IET-CDS.2010.0259https://doi.org/10.1049/iet-cds.2010.0259https://dblp.org/rec/journals/iet-cds/DesmouliersOS11URL#4566740Ahmed S. ElwakilMuhammad Ali Al-RadhawiAll possible second-order four-impedance two-stage Colpitts oscillators.IET Circuits Devices Syst.53196-2022011Journal Articlesclosedjournals/iet-cds/ElwakilA1110.1049/IET-CDS.2010.0201https://doi.org/10.1049/iet-cds.2010.0201https://dblp.org/rec/journals/iet-cds/ElwakilA11URL#4566741Dilip Kumar GayenTanay ChattopadhyayMantu Kumar DasJitendra Nath RoyRajat Kumar PalAll-optical binary to gray code and gray to binary code conversion scheme with the help of semiconductor optical amplifier-assisted sagnac switch.IET Circuits Devices Syst.52123-1312011Journal Articlesclosedjournals/iet-cds/GayenCDRP1110.1049/IET-CDS.2010.0069https://doi.org/10.1049/iet-cds.2010.0069https://dblp.org/rec/journals/iet-cds/GayenCDRP11URL#4566742Dong-Ok HanJeong-Hoon KimKwang-Du LeeSang-Gyu ParkS.-M. OhEung-Ju KimFully integrated dual-band transceiver for IEEE 802.11a/b/g/j/n wireless local area network applications with hybrid up/down conversion architecture.IET Circuits Devices Syst.56433-4412011Journal Articlesclosedjournals/iet-cds/HanKLPOK1110.1049/IET-CDS.2010.0334https://doi.org/10.1049/iet-cds.2010.0334https://dblp.org/rec/journals/iet-cds/HanKLPOK11URL#4566743Yin-Tsung HwangWei-Da ChenDesign and implementation of a high-throughput fully parallel complex-valued QR factorisation chips.IET Circuits Devices Syst.55424-4322011Journal Articlesclosedjournals/iet-cds/HwangC1110.1049/IET-CDS.2010.0143https://doi.org/10.1049/iet-cds.2010.0143https://dblp.org/rec/journals/iet-cds/HwangC11URL#4566744Sajad JahanbakhtForouhar FarzanehComputing all the Floquet eigenfunctions of oscillators using harmonic balance Jacobian matrices.IET Circuits Devices Syst.54257-2662011Journal Articlesclosedjournals/iet-cds/JahanbakhtF1110.1049/IET-CDS.2010.0209https://doi.org/10.1049/iet-cds.2010.0209https://dblp.org/rec/journals/iet-cds/JahanbakhtF11URL#4566745M. Zahangir KabirMahmudur W. RahmanW. Y. ShenModelling of detective quantum efficiency of direct conversion x-ray imaging detectors incorporating charge carrier trapping and K-fluorescence.IET Circuits Devices Syst.53222-2312011Journal Articlesclosedjournals/iet-cds/KabirRS1110.1049/IET-CDS.2010.0338https://doi.org/10.1049/iet-cds.2010.0338https://dblp.org/rec/journals/iet-cds/KabirRS11URL#4566746Dattaguru V. KamatPemmaraju V. Ananda MohanK. Gopalakrishna PrabhuActive-RC filters using two-stage OTAs with and without feed-forward compensation.IET Circuits Devices Syst.56527-5352011Journal Articlesclosedjournals/iet-cds/KamatMP1110.1049/IET-CDS.2011.0092https://doi.org/10.1049/iet-cds.2011.0092https://dblp.org/rec/journals/iet-cds/KamatMP11URL#4566747Marian K. KazimierczukDakshina Murthy-BellurLoop gain of the common-gate Colpitts oscillator.IET Circuits Devices Syst.54275-2842011Journal Articlesclosedjournals/iet-cds/KazimierczukM1110.1049/IET-CDS.2010.0163https://doi.org/10.1049/iet-cds.2010.0163https://dblp.org/rec/journals/iet-cds/KazimierczukM11URL#4566748Mohammadreza KhorasaninejadSimarjeet Singh SainiAll-optical logic gate in silicon nanowire optical waveguides.IET Circuits Devices Syst.52115-1222011Journal Articlesclosedjournals/iet-cds/KhorasaninejadS1110.1049/IET-CDS.2010.0142https://doi.org/10.1049/iet-cds.2010.0142https://dblp.org/rec/journals/iet-cds/KhorasaninejadS11URL#4566749Jin Woo KimMichael ChuPhilip Jacob 0001Aamir ZiaRussell P. KraftJohn F. McDonald 0001Reconfigurable 40 GHz BiCMOS uniform delay crossbar switch for broadband and wide tuning range narrowband applications.IET Circuits Devices Syst.53159-1692011Journal Articlesclosedjournals/iet-cds/KimCJZKM1110.1049/IET-CDS.2009.0178https://doi.org/10.1049/iet-cds.2009.0178https://dblp.org/rec/journals/iet-cds/KimCJZKM11URL#4566750Kyu-Young KimYoung-Jae MinSoo-Won KimJongsun Park 0001Low-power programmable divider with a shared counter for frequency synthesiser.IET Circuits Devices Syst.53170-1762011Journal Articlesclosedjournals/iet-cds/KimMKP1110.1049/IET-CDS.2010.0120https://doi.org/10.1049/iet-cds.2010.0120https://dblp.org/rec/journals/iet-cds/KimMKP11URL#4566751Young-Joon KoShawn P. StapletonGain and phase mismatch effects on double image rejection transmitter.IET Circuits Devices Syst.53212-2212011Journal Articlesclosedjournals/iet-cds/KoS1110.1049/IET-CDS.2010.0156https://doi.org/10.1049/iet-cds.2010.0156https://dblp.org/rec/journals/iet-cds/KoS11URL#4566752Hwei-Yu LeeZero-crossing-based 8-bit 100 MS/s pipelined analogue-to-digital converter with offset compensation.IET Circuits Devices Syst.55411-4172011Journal Articlesclosedjournals/iet-cds/Lee1110.1049/IET-CDS.2010.0329https://doi.org/10.1049/iet-cds.2010.0329https://dblp.org/rec/journals/iet-cds/Lee11URL#4566753Tao Li 0008Jigang WuYun DengThambipillai SrikanthanXicheng LuAccelerating identification of custom instructions for extensible processors.IET Circuits Devices Syst.5121-322011Journal Articlesclosedjournals/iet-cds/LiWDSL1110.1049/IET-CDS.2010.0073https://doi.org/10.1049/iet-cds.2010.0073https://dblp.org/rec/journals/iet-cds/LiWDSL11URL#4566754R. Mahesh 0001A. Prasad Vinod 0001Low complexity flexible filter banks for uniform and non-uniform channelisation in software radios using coefficient decimation.IET Circuits Devices Syst.53232-2422011Journal Articlesclosedjournals/iet-cds/MaheshV1110.1049/IET-CDS.2010.0010https://doi.org/10.1049/iet-cds.2010.0010https://dblp.org/rec/journals/iet-cds/MaheshV11URL#4566755Sudhanshu MaheshwariSajai Vir SinghDurg Singh ChauhanElectronically tunable low-voltage mixed-mode universal biquad filter.IET Circuits Devices Syst.53149-1582011Journal Articlesclosedjournals/iet-cds/MaheshwariSC1110.1049/IET-CDS.2010.0061https://doi.org/10.1049/iet-cds.2010.0061https://dblp.org/rec/journals/iet-cds/MaheshwariSC11URL#4566756Peter MalíkHighly scalable IP core to accelerate the forward/backward modified discrete cosine transform in MP3 implemented to FPGA and low-power ASIC.IET Circuits Devices Syst.55351-3592011Journal Articlesclosedjournals/iet-cds/Malik1110.1049/IET-CDS.2010.0223https://doi.org/10.1049/iet-cds.2010.0223https://dblp.org/rec/journals/iet-cds/Malik11URL#4566757Thomas J. MeyerRobert E. JohansonSafa O. KasapEffect of 1/f noise in integrating sensors and detectors.IET Circuits Devices Syst.53177-1882011Journal Articlesclosedjournals/iet-cds/MeyerJK1110.1049/IET-CDS.2010.0220https://doi.org/10.1049/iet-cds.2010.0220https://dblp.org/rec/journals/iet-cds/MeyerJK11URL#4566758Moshe MishaliYonina C. EldarOleg DounaevskyEli ShoshanXampling: Analog to digital at sub-Nyquist rates.IET Circuits Devices Syst.518-202011Journal Articlesclosedjournals/iet-cds/MishaliEDS1110.1049/IET-CDS.2010.0147https://doi.org/10.1049/iet-cds.2010.0147https://dblp.org/rec/journals/iet-cds/MishaliEDS11URL#4566759Mohammad Hossein MoaiyeriAkbar DoostareganKeivan NaviDesign of energy-efficient and robust ternary circuits for nanotechnology.IET Circuits Devices Syst.54285-2962011Journal Articlesclosedjournals/iet-cds/MoaiyeriDN1110.1049/IET-CDS.2010.0340https://doi.org/10.1049/iet-cds.2010.0340https://dblp.org/rec/journals/iet-cds/MoaiyeriDN11URL#4566760Debasmita MondalKarabi BiswasPerformance study of fractional order integrator using single-component fractional order element.IET Circuits Devices Syst.54334-3422011Journal Articlesclosedjournals/iet-cds/MondalB1110.1049/IET-CDS.2010.0366https://doi.org/10.1049/iet-cds.2010.0366https://dblp.org/rec/journals/iet-cds/MondalB11URL#4566761Hassan MoradzadehSeyed Javad AzhariLow-voltage low-power rail-to-rail low-Rx wideband second generation current conveyor and a single resistance-controlled oscillator based on it.IET Circuits Devices Syst.5166-722011Journal Articlesclosedjournals/iet-cds/MoradzadehA1110.1049/IET-CDS.2010.0178https://doi.org/10.1049/iet-cds.2010.0178https://dblp.org/rec/journals/iet-cds/MoradzadehA11URL#4566762K. C. NarasimhamurthyRoy P. PailyFabrication and characterisation of high-performance and high-current back-gate thin-film field-effect transistors using sorted single-walled carbon nanotubes.IET Circuits Devices Syst.55365-3702011Journal Articlesclosedjournals/iet-cds/NarasimhamurthyP1110.1049/IET-CDS.2010.0424https://doi.org/10.1049/iet-cds.2010.0424https://dblp.org/rec/journals/iet-cds/NarasimhamurthyP11URL#4566763Ethiopia NigussieSampo TuunaJuha PlosilaPasi LiljebergJouni IsoahoHannu TenhunenBoosting performance of self-timed delay-insensitive bit parallel on-chip interconnects.IET Circuits Devices Syst.56505-5172011Journal Articlesclosedjournals/iet-cds/NigussieTPLIT1110.1049/IET-CDS.2010.0300https://doi.org/10.1049/iet-cds.2010.0300https://dblp.org/rec/journals/iet-cds/NigussieTPLIT11URL#4566764Arashk Norouzpour-ShiraziS. Arash MirhajShahin Jafarabadi-AshtianiOmid ShoaeiLinearity improvement of open-loop NMOS source-follower sample and hold circuits.IET Circuits Devices Syst.511-72011Journal Articlesclosedjournals/iet-cds/Norouzpour-ShiraziMJS1110.1049/IET-CDS.2009.0312https://doi.org/10.1049/iet-cds.2009.0312https://dblp.org/rec/journals/iet-cds/Norouzpour-ShiraziMJS11URL#4566765Masaya NotomiAkihiko ShinyaKengo NozakiTakasumi TanabeShinji MatsuoEiichi KuramochiTomonari SatoHideaki TaniyamaHisashi SumikuraLow-power nanophotonic devices based on photonic crystals towards dense photonic network on chip.IET Circuits Devices Syst.5284-932011Journal Articlesclosedjournals/iet-cds/NotomiSNTMKSTS1110.1049/IET-CDS.2010.0159https://doi.org/10.1049/iet-cds.2010.0159https://dblp.org/rec/journals/iet-cds/NotomiSNTMKSTS11URL#4566766J. K. PathakAbdhesh K. SinghRaj SenaniSystematic realisation of quadrature oscillators using current differencing buffered amplifiers.IET Circuits Devices Syst.53203-2112011Journal Articlesclosedjournals/iet-cds/PathakSS1110.1049/IET-CDS.2010.0227https://doi.org/10.1049/iet-cds.2010.0227https://dblp.org/rec/journals/iet-cds/PathakSS11URL#4566767Agnieszka K. PodborskaMarek F. OszajcaSylwia A. GawedaKonrad SzacilowskiNanoparticles with logic and numeracy: towards 'computer-on-a-particle' optoelectronic devices.IET Circuits Devices Syst.52103-1142011Journal Articlesclosedjournals/iet-cds/PodborskaOGS1110.1049/IET-CDS.2010.0068https://doi.org/10.1049/iet-cds.2010.0068https://dblp.org/rec/journals/iet-cds/PodborskaOGS11URL#4566768Surendra S. RathodAshok K. SaxenaSudeb DasguptaAlpha-particle-induced effects in partially depleted silicon on insulator device: With and without body contact.IET Circuits Devices Syst.5152-582011Journal Articlesclosedjournals/iet-cds/RathodSD1110.1049/IET-CDS.2010.0080https://doi.org/10.1049/iet-cds.2010.0080https://dblp.org/rec/journals/iet-cds/RathodSD11URL#4566769P. P. SahuMahipal SinghA. BaishyaNew low-voltage full wave rectification technique without a diode.IET Circuits Devices Syst.5133-362011Journal Articlesclosedjournals/iet-cds/SahuSB1110.1049/IET-CDS.2010.0155https://doi.org/10.1049/iet-cds.2010.0155https://dblp.org/rec/journals/iet-cds/SahuSB11URL#4566770Daniel SchinkeShivam PriyadarshiW. Shepherd PittsNeil Di SpignaPaul D. FranzonSPICE-compatible physical model of nanocrystal floating gate devices for circuit simulation.IET Circuits Devices Syst.56477-4832011Journal Articlesclosedjournals/iet-cds/SchinkePPSF1110.1049/IET-CDS.2010.0410https://doi.org/10.1049/iet-cds.2010.0410https://dblp.org/rec/journals/iet-cds/SchinkePPSF11URL#4566771Santosh ShedabaleGordon Russell 0002Alexandre YakovlevM-PRES: a statistical tool for modelling the impact of manufacturing process variations on circuit-level performance parameters.IET Circuits Devices Syst.55403-4102011Journal Articlesclosedjournals/iet-cds/ShedabaleRY1110.1049/IET-CDS.2010.0110https://doi.org/10.1049/iet-cds.2010.0110https://dblp.org/rec/journals/iet-cds/ShedabaleRY11URL#4566772Ehab Ahmed SobhySrikanth PentakotaZhuizhuan YuSebastian HoyosAnalytical framework and bandwidth optimisation of orthogonal frequency division multiplexing low-order multi-channel filter-bank receivers for achieving sampling clock-jitter robustness.IET Circuits Devices Syst.55360-3642011Journal Articlesclosedjournals/iet-cds/SobhyPYH1110.1049/IET-CDS.2010.0383https://doi.org/10.1049/iet-cds.2010.0383https://dblp.org/rec/journals/iet-cds/SobhyPYH11URL#4566773Bernard H. StarkGyörgy D. SzarkaEdward D. RookeStart-up circuit with low minimum operating power for microwatt energy harvesters.IET Circuits Devices Syst.54267-2742011Journal Articlesclosedjournals/iet-cds/StarkSR1110.1049/IET-CDS.2010.0199https://doi.org/10.1049/iet-cds.2010.0199https://dblp.org/rec/journals/iet-cds/StarkSR11URL#4566774P. SumathiP. A. JanakiramanPhase locking scheme based on look-up-table-assisted sliding discrete fourier transform for low-frequency power and acoustic signals.IET Circuits Devices Syst.56494-5042011Journal Articlesclosedjournals/iet-cds/SumathiJ1110.1049/IET-CDS.2010.0323https://doi.org/10.1049/iet-cds.2010.0323https://dblp.org/rec/journals/iet-cds/SumathiJ11URL#4566775Taner SumesaglamR. SongG. R. MurrayA. Guerra5.6 Gb/s receiver with electrical overstress protection for GDDR in a 45 nm CMOS.IET Circuits Devices Syst.54329-3332011Journal Articlesclosedjournals/iet-cds/SumesaglamSMG1110.1049/IET-CDS.2010.0212https://doi.org/10.1049/iet-cds.2010.0212https://dblp.org/rec/journals/iet-cds/SumesaglamSMG11URL#4566776Xuguang SunBaoyong ChiChun ZhangZiqiang WangZhihua Wang 0001Ultra-high-frequency radio frequency identification reader receiver with 10 dBm input P1 dB and -74 dBm sensitivity in 0.18 μm CMOS.IET Circuits Devices Syst.55392-4022011Journal Articlesclosedjournals/iet-cds/SunCZWW1110.1049/IET-CDS.2010.0291https://doi.org/10.1049/iet-cds.2010.0291https://dblp.org/rec/journals/iet-cds/SunCZWW11URL#4566777