:facetid:stream:\"streams/conf/irps\"OK:facetid:stream:streams/conf/irpsYuya AokiTatsuya IwataTakuji MikiKazutoshi KobayashiTakefumi YoshikawaA 13-bit Radiation-Hardened SAR-ADC with Error Correction by Adaptive Topology Transformation.IRPS1-82023Conference and Workshop Papersclosedconf/irps/AokiIMKY2310.1109/IRPS48203.2023.10118123https://doi.org/10.1109/IRPS48203.2023.10118123https://dblp.org/rec/conf/irps/AokiIMKY23URL#399840Emre ArmaganA. SahaK. C. LiuB. GebrehiwotM. CartasA. DasT. RawlingsP. RaghavanKnowledge Based Qualification for Thermal Interface Material Reliability.IRPS1-72023Conference and Workshop Papersclosedconf/irps/ArmaganSLGCDRR2310.1109/IRPS48203.2023.10117756https://doi.org/10.1109/IRPS48203.2023.10117756https://dblp.org/rec/conf/irps/ArmaganSLGCDRR23URL#399841Anirban BandyopadhyayDifferentiated Silicon Technologies for mmwave 5G and 6G applications (Invited).IRPS1-42023Conference and Workshop Papersclosedconf/irps/Bandyopadhyay2310.1109/IRPS48203.2023.10117777https://doi.org/10.1109/IRPS48203.2023.10117777https://dblp.org/rec/conf/irps/Bandyopadhyay23URL#399842Simon Van BeekKaiming CaiKaiquan FanGiacomo TalmelliAnna TrovatoNico JossartSiddharth RaoAdrian Vaisman ChasinSebastien CouetMTJ degradation in multi-pillar SOT-MRAM with selective writing.IRPS1-72023Conference and Workshop Papersclosedconf/irps/BeekCFTTJRCC2310.1109/IRPS48203.2023.10117917https://doi.org/10.1109/IRPS48203.2023.10117917https://dblp.org/rec/conf/irps/BeekCFTTJRCC23URL#399843Lorenzo BenattiSara VecchiMilan PesicFrancesco Maria PuglisiThe Role of Defects and Interface Degradation on Ferroelectric HZO Capacitors Aging.IRPS1-62023Conference and Workshop Papersclosedconf/irps/BenattiVPP2310.1109/IRPS48203.2023.10118229https://doi.org/10.1109/IRPS48203.2023.10118229https://dblp.org/rec/conf/irps/BenattiVPP23URL#399844Emmanuel BenderJoseph B. BernsteinDuane S. BoningThe Effects of Process Variations and BTI in Packaged FinFET Devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/BenderBB2310.1109/IRPS48203.2023.10117980https://doi.org/10.1109/IRPS48203.2023.10117980https://dblp.org/rec/conf/irps/BenderBB23URL#399845Joseph B. BernsteinEmmanuel BenderAlain Bensoussan 0002The Correct Hot Carrier Degradation Model.IRPS1-52023Conference and Workshop Papersclosedconf/irps/BernsteinBB2310.1109/IRPS48203.2023.10117881https://doi.org/10.1109/IRPS48203.2023.10117881https://dblp.org/rec/conf/irps/BernsteinBB23URL#399846Gennadi BersukerE. TangDmitry VekslerSignal duration sensitive degradation in scaled devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/BersukerTV2310.1109/IRPS48203.2023.10118314https://doi.org/10.1109/IRPS48203.2023.10118314https://dblp.org/rec/conf/irps/BersukerTV23URL#399847Klodjan BidajLauriane GatekaBenjamin ArdaillonInnovative reliability solution for WLCSP packages.IRPS1-42023Conference and Workshop Papersclosedconf/irps/BidajGA2310.1109/IRPS48203.2023.10117670https://doi.org/10.1109/IRPS48203.2023.10117670https://dblp.org/rec/conf/irps/BidajGA23URL#399848Christian BognerChristian SchlünderMichael WaltlHans ReisingerTibor GrasserModeling of NBTI Induced Threshold Voltage Shift Based on Activation Energy Maps Under Consideration of Variability.IRPS1-72023Conference and Workshop Papersclosedconf/irps/BognerSWRG2310.1109/IRPS48203.2023.10117818https://doi.org/10.1109/IRPS48203.2023.10117818https://dblp.org/rec/conf/irps/BognerSWRG23URL#399849Tomasz BrozekAlberto A. P. CattaneoLarg WeilandMichele QuarantelliAlberto CoccoliSharad SaxenaChristopher HessAndrzej J. StrojwasIn-Product BTI Aging Sensor for Reliability Screening and Early Detection of Material at Risk.IRPS1-42023Conference and Workshop Papersclosedconf/irps/BrozekCWQCSHS2310.1109/IRPS48203.2023.10118144https://doi.org/10.1109/IRPS48203.2023.10118144https://dblp.org/rec/conf/irps/BrozekCWQCSHS23URL#399850Edward Van BruntDaniel J. LichtenwalnerJ. H. ParkSatyaki GangulyJ. W. McPhersonLifetime Modeling of the 4H-SiC MOS Interface in the HTRB Condition Under the Influence of Screw Dislocations.IRPS1-42023Conference and Workshop Papersclosedconf/irps/BruntLPGM2310.1109/IRPS48203.2023.10117702https://doi.org/10.1109/IRPS48203.2023.10117702https://dblp.org/rec/conf/irps/BruntLPGM23URL#399851Matchima BuddhanoyBiswajit RayElectrostatic Shielding of NAND Flash Memory from Ionizing Radiation.IRPS1-52023Conference and Workshop Papersclosedconf/irps/BuddhanoyR2310.1109/IRPS48203.2023.10118113https://doi.org/10.1109/IRPS48203.2023.10118113https://dblp.org/rec/conf/irps/BuddhanoyR23URL#399852Erik BuryMichiel VandemaeleJacopo FrancoAdrian ChasinStanislav TyaginovA. VandoorenRomain RitzenthalerHans MertensJavier Diaz-FortunyN. HoriguchiDimitri LintenBen KaczerReliability challenges in Forksheet Devices: (Invited Paper).IRPS1-82023Conference and Workshop Papersclosedconf/irps/BuryVFCTVRMDHLK2310.1109/IRPS48203.2023.10118269https://doi.org/10.1109/IRPS48203.2023.10118269https://dblp.org/rec/conf/irps/BuryVFCTVRMDHLK23URL#399853Beatrice CarboneMario Santo AlessandrinoAlfio RussoElisa VitanzaFilippo GiannazzoPatrick FiorenzaFabrizio RoccaforteCarrot-like crystalline defects on the 4H-SiC powerMOSFET yield and reliability.IRPS1-52023Conference and Workshop Papersclosedconf/irps/CarboneARVGFR2310.1109/IRPS48203.2023.10117835https://doi.org/10.1109/IRPS48203.2023.10117835https://dblp.org/rec/conf/irps/CarboneARVGFR23URL#399854L. CattaneoMatteo BaldoNicola LepriFlavio SancandiMassimo BorghiElisa PetroniA. SerafiniRoberto AnnunziataAndrea RedaelliDaniele IelminiEnhancing reliability of a strong physical unclonable function (PUF) solution based on virgin-state phase change memory (PCM).IRPS1-62023Conference and Workshop Papersclosedconf/irps/CattaneoBLSBPSARI2310.1109/IRPS48203.2023.10117586https://doi.org/10.1109/IRPS48203.2023.10117586https://dblp.org/rec/conf/irps/CattaneoBLSBPSARI23URL#399855P. C. ChangP. J. LiaoC. H. WuY. C. ChangD. H. HouElia AmbrosiH. Y. LeeJ. H. LeeX. Y. BaoA New Ramp Stress Reliability Assessment on Pulse Energy Based OTS Switching Operation.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ChangLWCHALLB2310.1109/IRPS48203.2023.10118352https://doi.org/10.1109/IRPS48203.2023.10118352https://dblp.org/rec/conf/irps/ChangLWCHALLB23URL#399856Dora A. Chaparro-OrtizAlan Y. Otero-CarrascalEdmundo A. Gutiérrez-D.Reydezel Torres-TorresOscar Huerta-GuevaraP. Srinivasan 0002Fernando GuarinImpact of Non-Conducting HCI Degradation on Small-Signal Parameters in RF SOI MOSFET.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ChaparroOrtizOGTHSG2310.1109/IRPS48203.2023.10117622https://doi.org/10.1109/IRPS48203.2023.10117622https://dblp.org/rec/conf/irps/ChaparroOrtizOGTHSG23URL#399857Rajarshi Roy ChaudhuriVipin JoshiAmratansh GuptaTanmay JoshiRasik Rashid MalikMehak Ashraf MirSayak Dutta GuptaMayank ShrivastavaUnique Lattice Temperature Dependent Evolution of Hot Electron Distribution in GaN HEMTs on C-doped GaN Buffer and its Reliability Consequences.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ChaudhuriJGJMMGS2310.1109/IRPS48203.2023.10118255https://doi.org/10.1109/IRPS48203.2023.10118255https://dblp.org/rec/conf/irps/ChaudhuriJGJMMGS23URL#399858Kin P. CheungV-Ramp test and gate oxide screening under the "lucky" defect model.IRPS1-42023Conference and Workshop Papersclosedconf/irps/Cheung2310.1109/IRPS48203.2023.10118184https://doi.org/10.1109/IRPS48203.2023.10118184https://dblp.org/rec/conf/irps/Cheung23URL#399859Y. L. ChouW. J. TsaiG. W. WuW. ChangT. C. LuK. C. ChenC. Y. LuA Novel Data Recovery Technique for 3D TLC NAND Flash Memory Using Intercell Program.IRPS1-42023Conference and Workshop Papersclosedconf/irps/ChouTWCLCL2310.1109/IRPS48203.2023.10117734https://doi.org/10.1109/IRPS48203.2023.10117734https://dblp.org/rec/conf/irps/ChouTWCLCL23URL#399860Yusuf CinarJunghoon KimEunho OhSungki LeeChangsik KwonJonggyu ParkAnalysis of SSD Acoustic Noise Generation Mechanism depending on NAND operation.IRPS1-42023Conference and Workshop Papersclosedconf/irps/CinarKOLKP2310.1109/IRPS48203.2023.10118247https://doi.org/10.1109/IRPS48203.2023.10118247https://dblp.org/rec/conf/irps/CinarKOLKP23URL#399861Marcello CioniG. GiorginoAlessandro ChiniCarmine MiccoliMaria Eloisa CastagnaM. MoschettiC. TringaliFerdinando IucolanoEvidence of Carbon Doping Effect on VTH Drift and Dynamic-RON of 100V p-GaN Gate AlGaN/GaN HEMTs.IRPS1-52023Conference and Workshop Papersclosedconf/irps/CioniGCMCMTI2310.1109/IRPS48203.2023.10117585https://doi.org/10.1109/IRPS48203.2023.10117585https://dblp.org/rec/conf/irps/CioniGCMCMTI23URL#399862Javier Diaz-FortunyDishant SanganiPablo Saraza-CanflancaErik BuryRobin DegraeveBen KaczerImproving the Tamper-Aware Odometer Concept by Enhancing Dynamic Stress Operation.IRPS1-92023Conference and Workshop Papersclosedconf/irps/DiazFortunySSBDK2310.1109/IRPS48203.2023.10118108https://doi.org/10.1109/IRPS48203.2023.10118108https://dblp.org/rec/conf/irps/DiazFortunySSBDK23URL#399863Youqi DingO. Varela PedreiraMelina LofranoHouman ZahedmaneshT. ChavezHosain FarrIngrid De WolfKris CroesThermomigration-induced void formation in Cu-interconnects - Assessment of main physical parameters.IRPS1-72023Conference and Workshop Papersclosedconf/irps/DingPLZCFWC2310.1109/IRPS48203.2023.10117870https://doi.org/10.1109/IRPS48203.2023.10117870https://dblp.org/rec/conf/irps/DingPLZCFWC23URL#399864Yaru DingXinwei YuChu YanZeping WengYiming QuYi ZhaoInterval time dependent wake-up effect of HfZrO ferroelectric capacitor.IRPS1-42023Conference and Workshop Papersclosedconf/irps/DingYYWQZ2310.1109/IRPS48203.2023.10117714https://doi.org/10.1109/IRPS48203.2023.10117714https://dblp.org/rec/conf/irps/DingYYWQZ23URL#399865C. DoyenV. YonXavier GarrosLuigi BassetTadeu Mota FrutuosoC. DagonCheikh DioufX. FederspielV. MillonFrederic MonsieurC. PribatDavid Roy 0001Insight Into HCI Reliability on I/O Nitrided Devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/DoyenYGBFDDFMMPR2310.1109/IRPS48203.2023.10117681https://doi.org/10.1109/IRPS48203.2023.10117681https://dblp.org/rec/conf/irps/DoyenYGBFDDFMMPR23URL#399866Viktor DudashKashi Vishwanath MachaniBjoern BoehmeSimone CapecchiJungtae OkKarsten MeierFrank KuechenmeisterMarcel WielandKarlheinz BockWafer Level Chip Scale Package Failure Mode Prediction using Finite Element Modeling.IRPS1-62023Conference and Workshop Papersclosedconf/irps/DudashMBCOMKWB2310.1109/IRPS48203.2023.10117636https://doi.org/10.1109/IRPS48203.2023.10117636https://dblp.org/rec/conf/irps/DudashMBCOMKWB23URL#399867Davide FaveroA. CavaliereCarlo De SantiMatteo BorgaW. Gonçalez FilhoKaren GeensBenoit BakerootStefaan DecoutereGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniHigh- Temperature PBTI in Trench-Gate Vertical GaN Power MOSFETs: Role of Border and Semiconductor Traps.IRPS1-62023Conference and Workshop Papersclosedconf/irps/FaveroCSBFGBDMZM2310.1109/IRPS48203.2023.10117667https://doi.org/10.1109/IRPS48203.2023.10117667https://dblp.org/rec/conf/irps/FaveroCSBFGBDMZM23URL#399868X. FederspielA. GriffonM. BarlasP. LamontagneEffect of Frequency on Reliability Of High-K MIM Capacitors.IRPS1-62023Conference and Workshop Papersclosedconf/irps/FederspielGBL2310.1109/IRPS48203.2023.10117790https://doi.org/10.1109/IRPS48203.2023.10117790https://dblp.org/rec/conf/irps/FederspielGBL23URL#399869Maximilian W. FeilKatja WaschneckHans ReisingerJudith BerensThomas AichingerPaul SalmenGerald RescherWolfgang GustinTibor GrasserTowards Understanding the Physics of Gate Switching Instability in Silicon Carbide MOSFETs.IRPS1-102023Conference and Workshop Papersclosedconf/irps/FeilWRBASRGG2310.1109/IRPS48203.2023.10117740https://doi.org/10.1109/IRPS48203.2023.10117740https://dblp.org/rec/conf/irps/FeilWRBASRGG23URL#399870Patrick FiorenzaFrancesco CordianoMario Santo AlessandrinoAlfio RussoEdoardo ZanettiMario SaggioC. BongiornoFilippo GiannazzoFabrizio RoccaforteConsideration on the extrapolation of the low insulator field TDDB in 4H-SiC power MOSFETs.IRPS1-42023Conference and Workshop Papersclosedconf/irps/FiorenzaCARZSBGR2310.1109/IRPS48203.2023.10118116https://doi.org/10.1109/IRPS48203.2023.10118116https://dblp.org/rec/conf/irps/FiorenzaCARZSBGR23URL#399871Martin M. FrankNing LiMalte J. RaschShubham JainChing-Tzu ChenRamachandran MuralidharJin-Ping HanVijay NarayananTimothy PhilipKevin BrewAndrew SimonIqbal SarafNicole SaulnierIrem BoybatStanislaw WozniakAbu SebastianPritish NarayananCharles MackinAn ChenHsinyu TsaiGeoffrey W. BurrImpact of Phase-Change Memory Drift on Energy Efficiency and Accuracy of Analog Compute-in-Memory Deep Learning Inference (Invited).IRPS1-102023Conference and Workshop Papersclosedconf/irps/FrankLRJCMHNPBSSSBWSNMCTB2310.1109/IRPS48203.2023.10117874https://doi.org/10.1109/IRPS48203.2023.10117874https://dblp.org/rec/conf/irps/FrankLRJCMHNPBSSSBWSNMCTB23URL#399872Manuel FregolentAlberto MarcuzziCarlo De SantiEldad Bahat-TreidelGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniTrapping in $\text{Al}_{2}\mathrm{O}_{3}/\text{GaN}$ MOScaps investigated by fast capacitive techniques.IRPS1-52023Conference and Workshop Papersclosedconf/irps/FregolentMSBMZM2310.1109/IRPS48203.2023.10117719https://doi.org/10.1109/IRPS48203.2023.10117719https://dblp.org/rec/conf/irps/FregolentMSBMZM23URL#399873Zhan GaoFrancesca ChiocchettaFabiana RampazzoCarlo De SantiMirko FornasierGaudenzio MeneghessoMatteo MeneghiniEnrico ZanoniThermally-activated failure mechanisms of 0.25 \ \mu \mathrm{m}$ RF AIGaN/GaN HEMTs submitted to long-term life tests.IRPS1-52023Conference and Workshop Papersclosedconf/irps/GaoCRSFMMZ2310.1109/IRPS48203.2023.10118131https://doi.org/10.1109/IRPS48203.2023.10118131https://dblp.org/rec/conf/irps/GaoCRSFMMZ23URL#399874Tidjani Garba-SeybouXavier FederspielFrederic MonsieurMathieu SicreFlorian CachoJoycelyn HaiAlain BravaixLocation of Oxide Breakdown Events under Off-state TDDB in 28nm N-MOSFETs dedicated to RF applications.IRPS1-82023Conference and Workshop Papersclosedconf/irps/GarbaSeybouFMSCHB2310.1109/IRPS48203.2023.10117725https://doi.org/10.1109/IRPS48203.2023.10117725https://dblp.org/rec/conf/irps/GarbaSeybouFMSCHB23URL#399875Satendra Kumar GautamHarsha B. VariarJuan LuoNing ShiDavid MarreiroShekar MallikarjunaswamyMayank Shrivastava3D Approaches to Engineer Holding Voltage of SCR.IRPS1-42023Conference and Workshop Papersclosedconf/irps/GautamVLSMMS2310.1109/IRPS48203.2023.10118282https://doi.org/10.1109/IRPS48203.2023.10118282https://dblp.org/rec/conf/irps/GautamVLSMMS23URL#399876Mattia GiulianiniGerardo MalavenaLuca ChiavaroneAlessandro S. SpinelliChristian Monzio CompagnoniDepassivation of Traps in the Polysilicon Channel of 3D NAND Flash Arrays: Impact on Cell High-Temperature Data Retention.IRPS1-62023Conference and Workshop Papersclosedconf/irps/GiulianiniMCSC2310.1109/IRPS48203.2023.10117584https://doi.org/10.1109/IRPS48203.2023.10117584https://dblp.org/rec/conf/irps/GiulianiniMCSC23URL#399877Akira GodaKishore Kumar MuchherlaPeter FeeleyReliability of 3D NAND Flash for Future Storage Systems (Invited).IRPS1-102023Conference and Workshop Papersclosedconf/irps/GodaMF2310.1109/IRPS48203.2023.10118280https://doi.org/10.1109/IRPS48203.2023.10118280https://dblp.org/rec/conf/irps/GodaMF23URL#399878R. GreenA. LelisD. UrciuoliE. SchroenD. HabersatDynamic On-State Resistance in SiC MOSFETs.IRPS1-22023Conference and Workshop Papersclosedconf/irps/GreenLUSH2310.1109/IRPS48203.2023.10224769https://doi.org/10.1109/IRPS48203.2023.10224769https://dblp.org/rec/conf/irps/GreenLUSH23URL#399879Laurent GrenouilletJustine BarbotJ. LaguerreSimon Martin 0006Catherine CarabasseM. LouroMessaoud BedjaouiS. MinoretS. KerdilèsC. BoixaderasThomas MagisCarine JahanFrançois AndrieuJean CoignusReliability assessment of hafnia-based ferroelectric devices and arrays for memory and AI applications (Invited).IRPS1-82023Conference and Workshop Papersclosedconf/irps/GrenouilletBLMCLBMKBMJAC2310.1109/IRPS48203.2023.10118099https://doi.org/10.1109/IRPS48203.2023.10118099https://dblp.org/rec/conf/irps/GrenouilletBLMCLBMKBMJAC23URL#399880Sungmock HaS. LeeG. H. BaeD. S. LeeS. H. KimB. W. WooN.-H. LeeY. S. LeeS. PaeReliability Characterization of HBM featuring $\text{HK}+\text{MG}$ Logic Chip with Multi-stacked DRAMs.IRPS1-72023Conference and Workshop Papersclosedconf/irps/HaLBLKWLLP2310.1109/IRPS48203.2023.10118277https://doi.org/10.1109/IRPS48203.2023.10118277https://dblp.org/rec/conf/irps/HaLBLKWLLP23URL#399881Joycelyn HaiFlorian CachoX. FederspielTidjani Garba-SeybouA. DivayEstelle Lauga-LarrozeJean-Daniel ArnouldIntegrated Test Circuit for Off-State Dynamic Drain Stress Evaluation.IRPS1-62023Conference and Workshop Papersclosedconf/irps/HaiCFGDLA2310.1109/IRPS48203.2023.10117885https://doi.org/10.1109/IRPS48203.2023.10117885https://dblp.org/rec/conf/irps/HaiCFGDLA23URL#399882Takamasa HamaiKunifumi SuzukiReika IchiharaYusuke HigashiYoko YoshimuraKiwamu SakumaKensuke OtaKota TakahashiKazuhiro MatsuoShosuke FujiiMasumi SaitohNovel Operation Scheme for Suppressing Disturb in HfO2-based FeFET Considering Charge- Trapping-Coupled Polarization Dynamics.IRPS1-52023Conference and Workshop Papersclosedconf/irps/HamaiSIHYSOTMFS2310.1109/IRPS48203.2023.10118125https://doi.org/10.1109/IRPS48203.2023.10118125https://dblp.org/rec/conf/irps/HamaiSIHYSOTMFS23URL#399883Kuo-Yu HsiangJia-Yang LeeZ.-F. LouF.-S. ChangZ.-X. LiC. W. LiuT.-H. HouP. SuMin-Hung LeeCryogenic Endurance of Anti-ferroelectric and Ferroelectric Hf1-xZrXO2 for Quantum Computing Applications.IRPS1-42023Conference and Workshop Papersclosedconf/irps/HsiangLLCLLHSL2310.1109/IRPS48203.2023.10118311https://doi.org/10.1109/IRPS48203.2023.10118311https://dblp.org/rec/conf/irps/HsiangLLCLLHSL23URL#399884Shudong HuangSrivatsan ParthasarathyYuanzhong Paul ZhouJean-Jacques HajjarElyse RosenbaumOptimization of SCR for High-Speed Digital and RF Applications in 45-nm SOI CMOS Technology.IRPS1-72023Conference and Workshop Papersclosedconf/irps/HuangPZHR2310.1109/IRPS48203.2023.10118266https://doi.org/10.1109/IRPS48203.2023.10118266https://dblp.org/rec/conf/irps/HuangPZHR23URL#399885M. JamilS. MukhopadhayM. GhoneimA. ShailosChetan PrasadInanc MericStephen RameyReliability Studies on Advanced FinFET Transistors of the Intel 4 CMOS Technology.IRPS1-52023Conference and Workshop Papersclosedconf/irps/JamilMGSPMR2310.1109/IRPS48203.2023.10117992https://doi.org/10.1109/IRPS48203.2023.10117992https://dblp.org/rec/conf/irps/JamilMGSPMR23URL#399886JatinM. MonishmuraliMayank ShrivastavaMulti-finger turn-on: A potential cause of premature failure in Drain Extended HV Nanosheet Devices.IRPS1-42023Conference and Workshop Papersclosedconf/irps/JatinMS2310.1109/IRPS48203.2023.10117766https://doi.org/10.1109/IRPS48203.2023.10117766https://dblp.org/rec/conf/irps/JatinMS23URL#399887Shinwoo JeongJin-Seong LeeJiuk JangJooncheol KimHyunsu ShinJihun KimJeongwoo SongDongsoo WooJeonghoon OhJooyoung LeeInvestigation of Sub-20nm 4th generation DRAM cell transistor's parasitic resistance and scalable methodology for Sub-20nm era.IRPS1-62023Conference and Workshop Papersclosedconf/irps/JeongLJKSKSWOL2310.1109/IRPS48203.2023.10118270https://doi.org/10.1109/IRPS48203.2023.10118270https://dblp.org/rec/conf/irps/JeongLJKSKSWOL23URL#399888In-Hwan JiAnoop MathewJae-Hyung ParkNeal OldhamMatthew McCainShadi SabriEdward Van BruntBrett HullDaniel J. LichtenwalnerDonald A. GajewskiJohn W. PalmourHigh Temperature and High Humidity Reliability Evaluation of Large-Area 1200V and 1700V SiC Diodes.IRPS1-42023Conference and Workshop Papersclosedconf/irps/JiMPOMSBHLGP2310.1109/IRPS48203.2023.10118095https://doi.org/10.1109/IRPS48203.2023.10118095https://dblp.org/rec/conf/irps/JiMPOMSBHLGP23URL#399889Jason JoplingRisk Management Informed by an Uncertain Bathtub Curve (Invited).IRPS1-82023Conference and Workshop Papersclosedconf/irps/Jopling2310.1109/IRPS48203.2023.10117694https://doi.org/10.1109/IRPS48203.2023.10117694https://dblp.org/rec/conf/irps/Jopling23URL#399890Vipin JoshiSayak Dutta GuptaRajarshi Roy ChaudhuriMayank ShrivastavaUnique Dependence of the Breakdown Behavior of Normally-OFF Cascode AlGaN/GaN HEMTs on Carrier Transport Through the Carbon-Doped GaN Buffer.IRPS1-42023Conference and Workshop Papersclosedconf/irps/JoshiGCS2310.1109/IRPS48203.2023.10118195https://doi.org/10.1109/IRPS48203.2023.10118195https://dblp.org/rec/conf/irps/JoshiGCS23URL#399891K. JoshiD. NminibapielM. GhoneimD. AliR. RamamurthyL. PantisanoInanc MericStephen RameyA detailed comparison of various off-state breakdown methodologies for scaled Tri-gate technologies.IRPS1-62023Conference and Workshop Papersclosedconf/irps/JoshiNGARPMR2310.1109/IRPS48203.2023.10117954https://doi.org/10.1109/IRPS48203.2023.10117954https://dblp.org/rec/conf/irps/JoshiNGARPMR23URL#399892Rahim KasimCheyun LinChristopher PeriniJames PalmerN. GildaS. ImamJustin R. WeberC. WallaceJeffery HicksReliability Modeling of Middle-Of-Line Interconnect Dielectrics in Advanced process nodes.IRPS1-82023Conference and Workshop Papersclosedconf/irps/KasimLPPGIWWH2310.1109/IRPS48203.2023.10117619https://doi.org/10.1109/IRPS48203.2023.10117619https://dblp.org/rec/conf/irps/KasimLPPGIWWH23URL#399893Chao-Yang KeYu-Chia TsuiBing-Yue TsuiMing-Dou KerInvestigation of Safe Operating Area on 4H-SiC 600V VDMOSFET with TLP and UIS Test Methods.IRPS1-42023Conference and Workshop Papersclosedconf/irps/KeTTK2310.1109/IRPS48203.2023.10118299https://doi.org/10.1109/IRPS48203.2023.10118299https://dblp.org/rec/conf/irps/KeTTK23URL#399894Dongyoung KimSkylar DeBoerStephen A. ManciniSundar Babu IsukapatiJustin LynchNick YunAdam J. MorganSeung Yup JangWoongje SungStatic, Dynamic, and Short-circuit Characteristics of Split-Gate 1.2 kV 4H-SiC MOSFETs.IRPS1-42023Conference and Workshop Papersclosedconf/irps/KimDMILYMJS2310.1109/IRPS48203.2023.10118091https://doi.org/10.1109/IRPS48203.2023.10118091https://dblp.org/rec/conf/irps/KimDMILYMJS23URL#399895Seongkyung KimHyerim ParkEunyu ChoiYoung Han KimDahyub KimHyewon ShimShin-Young ChungPaul JungReliability Assessment of 3nm GAA Logic Technology Featuring Multi-Bridge-Channel FETs.IRPS1-82023Conference and Workshop Papersclosedconf/irps/KimPCKKSCJ2310.1109/IRPS48203.2023.10117953https://doi.org/10.1109/IRPS48203.2023.10117953https://dblp.org/rec/conf/irps/KimPCKKSCJ23URL#399896Kazutoshi KobayashiTomoharu KishitaHiroki NakanoJun FurutaMitsuhiko IgarashiShigetaka KumashiroMichitarou YabuuchiHironori SakamotoUltra Long-term Measurement Results of BTI-induced Aging Degradation on 7-nm Ring Oscillators.IRPS1-72023Conference and Workshop Papersclosedconf/irps/KobayashiKNFIKYS2310.1109/IRPS48203.2023.10117873https://doi.org/10.1109/IRPS48203.2023.10117873https://dblp.org/rec/conf/irps/KobayashiKNFIKYS23URL#399897Ivana Kovacevic-BadstuebnerSalvatore RaceUlrike GrossnerElena MengottiChristoph KenelEnea BiandaJoni P. A. JormanainenExtended Analysis of Power Cycling Behavior of TO-Packaged SiC Power MOSFETs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/KovacevicBadstuebnerRGMKBJ2310.1109/IRPS48203.2023.10117650https://doi.org/10.1109/IRPS48203.2023.10117650https://dblp.org/rec/conf/irps/KovacevicBadstuebnerRGMKBJ23URL#399898Nagothu Karmel KranthiYang XiuYang XiaoRajkumar SankaralingamCurrent Scalability Issues in Multi-Bank 5V PMOS ESD structures: Root cause and Design Guideline.IRPS1-42023Conference and Workshop Papersclosedconf/irps/KranthiXXS2310.1109/IRPS48203.2023.10117950https://doi.org/10.1109/IRPS48203.2023.10117950https://dblp.org/rec/conf/irps/KranthiXXS23URL#399899Jeevesh KumarHemanjaneyulu KuruvaHarsha B. VariarUtpreksh PatbhajeMayank ShrivastavaAtomic-level Insight and Quantum Chemistry of Ambient Reliability Issues of the TMDs Devices.IRPS1-62023Conference and Workshop Papersclosedconf/irps/KumarKVPS2310.1109/IRPS48203.2023.10118245https://doi.org/10.1109/IRPS48203.2023.10118245https://dblp.org/rec/conf/irps/KumarKVPS23URL#399900Akhil S. KumarMichael J. UrenMatthew D. Smith 0003Martin KuballJustin ParkeH. George HenryRobert S. HowellDielectric Thickness and Fin Width Dependent OFF-State Degradation in AlGaN/GaN SLCFETs.IRPS1-42023Conference and Workshop Papersclosedconf/irps/KumarUSKPHH2310.1109/IRPS48203.2023.10118346https://doi.org/10.1109/IRPS48203.2023.10118346https://dblp.org/rec/conf/irps/KumarUSKPHH23URL#399901Hsi-Yu KuoYu-Lin ChuHung-Da DaiChun-Chi WangPei-Jung LinEthan GuoYu-Ti SuChia-Lin HsuKuan-Hung ChenTsung-Yuan ChenRyan LuVictor LiangKuo-Ji ChenKejun XiaProtection Schemes for Plasma Induced Damage from Well-Side Antennas.IRPS1-52023Conference and Workshop Papersclosedconf/irps/KuoCDWLGSHCCLLCX2310.1109/IRPS48203.2023.10117947https://doi.org/10.1109/IRPS48203.2023.10117947https://dblp.org/rec/conf/irps/KuoCDWLGSHCCLLCX23URL#399902Pradeep LallVed SoniGuneet SethiKok YiangEstimation of SOH Degradation of Coin Cells Subjected to Accelerated Life Cycling with Randomized Cycling Depths and C-Rates.IRPS1-102023Conference and Workshop Papersclosedconf/irps/LallSSY2310.1109/IRPS48203.2023.10117727https://doi.org/10.1109/IRPS48203.2023.10117727https://dblp.org/rec/conf/irps/LallSSY23URL#399903Colin LandonLei JiangDaniel PantusoInanc MericKam KomeyliJeffrey HicksDaniel SchroederLocalized thermal effects in Gate-all-around devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/LandonJPMKHS2310.1109/IRPS48203.2023.10117903https://doi.org/10.1109/IRPS48203.2023.10117903https://dblp.org/rec/conf/irps/LandonJPMKHS23URL#399904Luca LaurinMatteo BaldoElisa PetroniGiulia SamanniLorenzo TurconiA. MottaMassimo BorghiA. SerafiniD. CodegoniM. ScuderiS. RanA. ClaverieDaniele IelminiRoberto AnnunziataAndrea RedaelliUnveiling Retention Physical Mechanism of Ge-rich GST ePCM Technology.IRPS1-72023Conference and Workshop Papersclosedconf/irps/LaurinBPSTMBSCSRCIAR2310.1109/IRPS48203.2023.10118155https://doi.org/10.1109/IRPS48203.2023.10118155https://dblp.org/rec/conf/irps/LaurinBPSTMBSCSRCIAR23URL#399905Jian-Hsing LeeGong-Kai LinChun-Chih ChenLi-Fan ChenChien-Wei WangShao-Chang HuangChing-Ho LiChih-Cherng LiaoJung-Tsun ChuangKe-Horng ChenA Concise Electrothermal Model to Characterize the Thermal Safe-Operating Area of Power Transistor.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LeeLCCWHLLCC2310.1109/IRPS48203.2023.10117633https://doi.org/10.1109/IRPS48203.2023.10117633https://dblp.org/rec/conf/irps/LeeLCCWHLLCC23URL#399906S. LeeN.-H. LeeK. W. LeeJ. H. KimJ. H. JinY. S. LeeY. C. HwangH. S. KimS. PaeDevelopment and Product Reliability Characterization of Advanced High Speed 14nm DDR5 DRAM with On-die ECC.IRPS1-42023Conference and Workshop Papersclosedconf/irps/LeeLLKJLHKP2310.1109/IRPS48203.2023.10117889https://doi.org/10.1109/IRPS48203.2023.10117889https://dblp.org/rec/conf/irps/LeeLLKJLHKP23URL#399907J. H. LeeB. W. WooY. M. LeeN. H. LeeS. H. LeeY. S. LeeH. S. KimS. PaeReliability Improvement with Optimized BEOL Process in Advanced DRAM.IRPS1-42023Conference and Workshop Papersclosedconf/irps/LeeWLLLLKP2310.1109/IRPS48203.2023.10118168https://doi.org/10.1109/IRPS48203.2023.10118168https://dblp.org/rec/conf/irps/LeeWLLLLKP23URL#399908Patrick M. LenahanE. B. FrantzSean W. KingMark A. Anders 0002S. J. MoximJames P. AshtonKyle J. MyersM. E. FlattéN. J. HarmonNear Zero Field Magnetoresistance Spectroscopy: A New Tool in Semiconductor Reliability Physics.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LenahanFKAMAMFH2310.1109/IRPS48203.2023.10118053https://doi.org/10.1109/IRPS48203.2023.10118053https://dblp.org/rec/conf/irps/LenahanFKAMAMFH23URL#399909Camille LeurquinWilliam VandendaeleRomain GwozieckiB. MohamadG. DespesseFerdinando IucolanoRoberto ModicaA. ConstantDrain voltage impact on charge redistribution in GaN-on-Si E-mode MOSc-HEMTs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LeurquinVGMDIMC2310.1109/IRPS48203.2023.10117813https://doi.org/10.1109/IRPS48203.2023.10117813https://dblp.org/rec/conf/irps/LeurquinVGMDIMC23URL#399910M. Y. LiJ. P. LeeC. H. LiuJ. C. GuoSteve S. ChungA World First QLC RRAM: Highly Reliable Resistive-Gate Flash with Record 108 Endurance and Excellent Retention.IRPS1-72023Conference and Workshop Papersclosedconf/irps/LiLLGC2310.1109/IRPS48203.2023.10117748https://doi.org/10.1109/IRPS48203.2023.10117748https://dblp.org/rec/conf/irps/LiLLGC23URL#399911Y. H. LinC. C. LeeC. Y. LiaoM. H. LinW. C. TuRobin ChenH. P. ChenWinston S. ShueMin CaoA Novel Methodology to Predict Process-Induced Warpage in Advanced BEOL Interconnects.IRPS1-42023Conference and Workshop Papersclosedconf/irps/LinLLLTCCSC2310.1109/IRPS48203.2023.10117795https://doi.org/10.1109/IRPS48203.2023.10117795https://dblp.org/rec/conf/irps/LinLLLTCCSC23URL#399912D. LippZ. ZhaoG. KrauseWafa ArfaouiElodie EbrardGermain BossuS. EvseevMarkus HerklotzMahesh SiddabathulaExcellent Reliability performances of a truly 5V nBOXFET for Automotive and IOT applications.IRPS1-52023Conference and Workshop Papersclosedconf/irps/LippZKAEBEHS2310.1109/IRPS48203.2023.10117689https://doi.org/10.1109/IRPS48203.2023.10117689https://dblp.org/rec/conf/irps/LippZKAEBEHS23URL#399913Yueying LiuJohn WoodZongyang HuSatyaki GangulyJeremy FisherMike WattsScott T. SheppardDonald A. GajewskiBasim NooriGaN HEMTs Design and Modeling for 5G.IRPS1-42023Conference and Workshop Papersclosedconf/irps/LiuWHGFWSGN2310.1109/IRPS48203.2023.10117652https://doi.org/10.1109/IRPS48203.2023.10117652https://dblp.org/rec/conf/irps/LiuWHGFWSGN23URL#399914Fengkai LiuLei WuKai WangEnhao GuanXingji LiEffect of Precursor Defects in Oxide Layer on Ionizing Radiation Damage of Bipolar Junction Transistors.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LiuWWGL2310.1109/IRPS48203.2023.10118017https://doi.org/10.1109/IRPS48203.2023.10118017https://dblp.org/rec/conf/irps/LiuWWGL23URL#399915Y. H. LiuT. C. ZhanY. S. YangC. C. HsuA. C. LiuW. LinImpact of Trapped Charge Vertical Loss and Lateral Migration on Lifetime Estimation of 3-D NAND Flash Memories.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LiuZYHLL2310.1109/IRPS48203.2023.10118289https://doi.org/10.1109/IRPS48203.2023.10118289https://dblp.org/rec/conf/irps/LiuZYHLL23URL#399916Melina LofranoHerman OprinsXinyue ChangBjorn VermeerschOlalla Varela PedreiraAlicja LesniewskaVladimir ChermanIvan CiofiKristof CroesSeongho ParkZsolt TokeiTowards accurate temperature prediction in BEOL for reliability assessment (Invited).IRPS1-72023Conference and Workshop Papersclosedconf/irps/LofranoOCVPLCCCPT2310.1109/IRPS48203.2023.10117701https://doi.org/10.1109/IRPS48203.2023.10117701https://dblp.org/rec/conf/irps/LofranoOCVPLCCCPT23URL#399917Ryan LuYao-Chun ChuangJyun-Lin WuJun HeReliability Challenges from 2.5D to 3DIC in Advanced Package Development.IRPS1-42023Conference and Workshop Papersclosedconf/irps/LuCWH2310.1109/IRPS48203.2023.10117647https://doi.org/10.1109/IRPS48203.2023.10117647https://dblp.org/rec/conf/irps/LuCWH23URL#399918Meindert LunenborgTomasz BrozekLaura LorenziChristoph DolainskyViolet LiuXiaoyi FengShort-Flow Compatible Wafer-Level Reliability Assessment and Monitoring for PCM Embedded Non-Volatile Memory.IRPS1-62023Conference and Workshop Papersclosedconf/irps/LunenborgBLDLF2310.1109/IRPS48203.2023.10118012https://doi.org/10.1109/IRPS48203.2023.10118012https://dblp.org/rec/conf/irps/LunenborgBLDLF23URL#399919Awang MaBin Gao 0006Xing MouPeng YaoYiwei DuJianshi TangHe QianHuaqiang WuThermal Induced Retention Degradation of RRAM-based Neuromorphic Computing Chips.IRPS1-62023Conference and Workshop Papersclosedconf/irps/MaGMYDTQW2310.1109/IRPS48203.2023.10118164https://doi.org/10.1109/IRPS48203.2023.10118164https://dblp.org/rec/conf/irps/MaGMYDTQW23URL#399920Rasik Rashid MalikVipin JoshiRajarshi Roy ChaudhuriMehak Ashraf MirZubear KhanAvinas N. ShajiMadhura BhattacharyaAnup T. VitthalMayank ShrivastavaSignatures of Positive Gate Over-Drive Induced Hole Trap Generation and its Impact on p-GaN Gate Stack Instability in AlGaN/GaN HEMTs.IRPS1-42023Conference and Workshop Papersclosedconf/irps/MalikJCMKSBVS2310.1109/IRPS48203.2023.10117793https://doi.org/10.1109/IRPS48203.2023.10117793https://dblp.org/rec/conf/irps/MalikJCMKSBVS23URL#399921Md. Asaduz Zaman MamunAmar MavinkurveMichiel van SoestbergenMuhammad Ashraful AlamTransient Leakage Current as a Non-destructive Probe of Wire-bond Electrochemical Failures.IRPS1-72023Conference and Workshop Papersclosedconf/irps/MamunMSA2310.1109/IRPS48203.2023.10117658https://doi.org/10.1109/IRPS48203.2023.10117658https://dblp.org/rec/conf/irps/MamunMSA23URL#399922F. Serra Di Santa MariaFrancis BalestraChristoforos G. TheodorouGérard GhibaudoCezar B. ZotaEunjung ChaExperimental Study of Self-Heating Effect in InGaAs HEMTs for Quantum Technologies Down to 10K.IRPS1-42023Conference and Workshop Papersclosedconf/irps/MariaBTGZC2310.1109/IRPS48203.2023.10118294https://doi.org/10.1109/IRPS48203.2023.10118294https://dblp.org/rec/conf/irps/MariaBTGZC23URL#399923Javier Martín-MartínezJavier Diaz-FortunyPablo Saraza-CanflancaRosana RodríguezRafael Castro-LópezElisenda RocaFrancisco V. Fernández 0001Montserrat NafríaChallenges and solutions to the defect-centric modeling and circuit simulation of time-dependent variability.IRPS1-92023Conference and Workshop Papersclosedconf/irps/MartinMartinezDSRCRFN2310.1109/IRPS48203.2023.10118334https://doi.org/10.1109/IRPS48203.2023.10118334https://dblp.org/rec/conf/irps/MartinMartinezDSRCRFN23URL#399924Brian T. McGowanMichal RakowskiSeungman ChoiNickel Silicide Electromigration on Micro Ring Modulators for Silicon Photonics Technology.IRPS1-82023Conference and Workshop Papersclosedconf/irps/McGowanRC2310.1109/IRPS48203.2023.10118191https://doi.org/10.1109/IRPS48203.2023.10118191https://dblp.org/rec/conf/irps/McGowanRC23URL#399925Joost MelaiV. SubramanianI. PouwelImpact of Process Variation on MIM Capacitor Lifetime.IRPS1-42023Conference and Workshop Papersclosedconf/irps/MelaiSP2310.1109/IRPS48203.2023.10118006https://doi.org/10.1109/IRPS48203.2023.10118006https://dblp.org/rec/conf/irps/MelaiSP23URL#399926Valentina MeliGabriele NavarroJ. RottnerNiccolo CastellaniS. MartinN. P. TranGuillaume BourgeoisC. SabbioneMarie Claire CyrilleMulti Level Cell Reliability in Ge-rich GeSbTe-based Phase Change Memory Arrays.IRPS1-52023Conference and Workshop Papersclosedconf/irps/MeliNRCMTBSC2310.1109/IRPS48203.2023.10118339https://doi.org/10.1109/IRPS48203.2023.10118339https://dblp.org/rec/conf/irps/MeliNRCMTBSC23URL#399927Jorge MendozaJimmy-Bao LeChoong-Un KimHung-Yun LinAdvanced Methods of Detecting Physical Damages in Packaging and BEOL Interconnects.IRPS1-62023Conference and Workshop Papersclosedconf/irps/MendozaLKL2310.1109/IRPS48203.2023.10117720https://doi.org/10.1109/IRPS48203.2023.10117720https://dblp.org/rec/conf/irps/MendozaLKL23URL#399928Elena MengottiEnea BiandaDavid BaumannGerd SchlottigFrancisco CanalesIndustrial approach to the chip and package reliability of SiC MOSFETs (Invited).IRPS1-62023Conference and Workshop Papersclosedconf/irps/MengottiBBSC2310.1109/IRPS48203.2023.10118084https://doi.org/10.1109/IRPS48203.2023.10118084https://dblp.org/rec/conf/irps/MengottiBBSC23URL#399929Mehak Ashraf MirVipin JoshiRajarshi Roy ChaudhuriMohammad Ateeb MunshiRasik Rashid MalikMayank ShrivastavaDynamic Interplay of Surface and Buffer Traps in Determining Drain Current Injection induced Device Instability in OFF-state of AlGaN/GaN HEMTs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/MirJCMMS2310.1109/IRPS48203.2023.10117664https://doi.org/10.1109/IRPS48203.2023.10117664https://dblp.org/rec/conf/irps/MirJCMMS23URL#399930Aakanksha MishraBoeila Sampath KumarM. MonishmuraliShaik Ahamed SuzaadShubham KumarKiran Pote SanjayAmit Kumar SinghAnkur GuptaMayank ShrivastavaExtremely Large Breakdown to Snapback Voltage Offset $(\mathrm{V}_{\mathrm{t}1} > > \mathrm{V}_{\text{BD}})$: Another Way to Improve ESD Resilience of LDMOS Devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/MishraKMSKSSGS2310.1109/IRPS48203.2023.10118102https://doi.org/10.1109/IRPS48203.2023.10118102https://dblp.org/rec/conf/irps/MishraKMSKSSGS23URL#399931Subrat MishraSankatali VenkateswarluBjorn VermeerschMoritz BrunionMelina LofranoDawit Burusie AbdiHerman OprinsDwaipayan BiswasOdysseas ZografosGaspard HiblotGeert Van der PlasPieter WeckxGeert HellingsJames MyersFrancky CatthoorJulien RyckaertTowards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs).IRPS1-72023Conference and Workshop Papersclosedconf/irps/MishraVVBLAOBZHPWHMCR2310.1109/IRPS48203.2023.10117979https://doi.org/10.1109/IRPS48203.2023.10117979https://dblp.org/rec/conf/irps/MishraVVBLAOBZHPWHMCR23URL#399932Peter MoensF. GeenenL. De SchepperJF CanoJ. LettensS. MaslougkasJ. FranchiMartin DomeijThe Concept of Safe Operating Area for Gate Dielectrics: the SiC/SiO2 Case Study.IRPS1-52023Conference and Workshop Papersclosedconf/irps/MoensGSCLMFD2310.1109/IRPS48203.2023.10117802https://doi.org/10.1109/IRPS48203.2023.10117802https://dblp.org/rec/conf/irps/MoensGSCLMFD23URL#399933M. MonishmuraliNagothu Karmel KranthiGianluca BoselliMayank ShrivastavaImpact of Thin-oxide Gate on the On-Resistance of HV-PNP Under ESD Stress.IRPS1-52023Conference and Workshop Papersclosedconf/irps/MonishmuraliKBS2310.1109/IRPS48203.2023.10117638https://doi.org/10.1109/IRPS48203.2023.10117638https://dblp.org/rec/conf/irps/MonishmuraliKBS23URL#399934Stéphane MoreauDavid BouchuJ. JourdonBassel AyoubS. LhostisHélène FrémontP. LamontagneRecent Advances on Electromigration in Cu/SiO2 to Cu/SiO2 Hybrid Bonds for 3D Integrated Circuits.IRPS1-72023Conference and Workshop Papersclosedconf/irps/MoreauBJALFL2310.1109/IRPS48203.2023.10118173https://doi.org/10.1109/IRPS48203.2023.10118173https://dblp.org/rec/conf/irps/MoreauBJALFL23URL#399935S. MukhopadhyayC. ChenM. JamilJihan StandfestInanc MericBalkaran GillStephen RameyA Unified Aging Model Framework Capturing Device to Circuit Degradation for Advance Technology Nodes.IRPS1-42023Conference and Workshop Papersclosedconf/irps/MukhopadhyayCJSMGR2310.1109/IRPS48203.2023.10117914https://doi.org/10.1109/IRPS48203.2023.10117914https://dblp.org/rec/conf/irps/MukhopadhyayCJSMGR23URL#399936E. MurakamiT. TakeshitaK. OdaM. KobayashiK. AsayamaM. OkamotoClassification of Commercial SiC-MOSFETs Based on Time-Dependent Gate-current Characteristics.IRPS1-72023Conference and Workshop Papersclosedconf/irps/MurakamiTOKAO2310.1109/IRPS48203.2023.10117833https://doi.org/10.1109/IRPS48203.2023.10117833https://dblp.org/rec/conf/irps/MurakamiTOKAO23URL#399937Balaji NarasimhamH. LukC. PaoneA-R. MontoyaT. RiehleMike SmithLiming TsauScaling Trends and the Effect of Process Variations on the Soft Error Rate of advanced FinFET SRAMs.IRPS1-42023Conference and Workshop Papersclosedconf/irps/NarasimhamLPMRST2310.1109/IRPS48203.2023.10118025https://doi.org/10.1109/IRPS48203.2023.10118025https://dblp.org/rec/conf/irps/NarasimhamLPMRST23URL#399938Tetsuo NaritaDaigo KikutaKenji ItoTomoyuki ShojiTomohiko MoriSatoshi YamaguchiYasuji KimotoKazuyoshi TomitaMasakazu KanechikaTakeshi KondoTsutomu UesugiJun KojimaJun SudaYoshitaka NagasatoSatoshi IkedaHiroki WatanabeMasayoshi KosakiTohru OkaReliability issues of gate oxides and $p-n$ junctions for vertical GaN metal-oxide-semiconductor field-effect transistors (Invited).IRPS1-102023Conference and Workshop Papersclosedconf/irps/NaritaKISMYKTKKUKSNIWKO2310.1109/IRPS48203.2023.10118047https://doi.org/10.1109/IRPS48203.2023.10118047https://dblp.org/rec/conf/irps/NaritaKISMYKTKKUKSNIWKO23URL#399939Hyeongseok OhMyungsun ChunJiwon LeeShi-Jie WenNick YuByung-Gun ParkSanghyeon BaegWrite Recovery Time Degradation by Thermal Neutrons in DDR4 DRAM Components.IRPS1-62023Conference and Workshop Papersclosedconf/irps/OhCLWYPB2310.1109/IRPS48203.2023.10117935https://doi.org/10.1109/IRPS48203.2023.10117935https://dblp.org/rec/conf/irps/OhCLWYPB23URL#399940Sruthi M. PMd. Asaduz Zaman MamunDeleep R. NairAnjan ChakravortyNandita DasGuptaAmitava DasGuptaMuhammad Ashraful AlamCross-coupled Self-Heating and Consequent Reliability Issues in GaN-Si Hetero-integration: Thermal Keep-Out-Zone Quantified.IRPS1-62023Conference and Workshop Papersclosedconf/irps/PMNCDDA2310.1109/IRPS48203.2023.10118187https://doi.org/10.1109/IRPS48203.2023.10118187https://dblp.org/rec/conf/irps/PMNCDDA23URL#399941Andrea PadovaniPaolo La TorracaJack StrandAlexander L. ShlugerValerio MiloLuca LarcherTowards a Universal Model of Dielectric Breakdown.IRPS1-82023Conference and Workshop Papersclosedconf/irps/PadovaniTSSML2310.1109/IRPS48203.2023.10117846https://doi.org/10.1109/IRPS48203.2023.10117846https://dblp.org/rec/conf/irps/PadovaniTSSML23URL#399942L. PanarellaBen KaczerQuentin SmetsDevin VerreckTom SchramDaire CottDennis LinStanislav TyaginovI. AsselberghsCesar J. Lockhart de la RosaGouri Sankar KarValeri Afanas'evImpact of gate stack processing on the hysteresis of 300 mm integrated WS2 FETs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/PanarellaKSVSCLTARKA2310.1109/IRPS48203.2023.10117803https://doi.org/10.1109/IRPS48203.2023.10117803https://dblp.org/rec/conf/irps/PanarellaKSVSCLTARKA23URL#399943Jounghun ParkGilsang YoonDonghyun GoDonghwi KimUkju AnJongwoo KimJungsik KimJeong-Soo LeeDecomposition of Vertical and Lateral Charge Loss in Long-term Retention of 3-D NAND Flash Memory.IRPS1-42023Conference and Workshop Papersclosedconf/irps/ParkYGKAKKL2310.1109/IRPS48203.2023.10117868https://doi.org/10.1109/IRPS48203.2023.10117868https://dblp.org/rec/conf/irps/ParkYGKAKKL23URL#399944Rachael J. ParkerJyothi Bhaskarr VelamalaKuan-Yueh James ShenDavid JohnstonYao-Feng ChangStephen M. RameySiang-jhih Sean WuPadma PenmatsaA Physical Unclonable Function Leveraging Hot Carrier Injection Aging.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ParkerVSJCRWP2310.1109/IRPS48203.2023.10118128https://doi.org/10.1109/IRPS48203.2023.10118128https://dblp.org/rec/conf/irps/ParkerVSJCRWP23URL#399945Utpreksh PatbhajeRupali VermaJeevesh KumarAnshMayank ShrivastavaUnveiling Field Driven Performance Unreliabilities Governed by Channel Dynamics in MoSe2 FETs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/PatbhajeVKAS2310.1109/IRPS48203.2023.10117743https://doi.org/10.1109/IRPS48203.2023.10117743https://dblp.org/rec/conf/irps/PatbhajeVKAS23URL#399946Milan PesicBastien BeltrandoTommaso RolloCristian ZambelliAndrea PadovaniRino MicheloniRita MajiLisa EnmanMark SalyYang Ho BaeJung Bae KimDong Kil YimLuca LarcherInsights into device and material origins and physical mechanisms behind cross temperature in 3D NAND.IRPS1-82023Conference and Workshop Papersclosedconf/irps/PesicBRZPMMESBKYL2310.1109/IRPS48203.2023.10117898https://doi.org/10.1109/IRPS48203.2023.10117898https://dblp.org/rec/conf/irps/PesicBRZPMMESBKYL23URL#399947Omkar PhadkeKhandker Akif AabrarYuan-chun LuoSharadindu Gopal KirtaniaAsif Islam KhanSuman DattaShimeng YuLow-Frequency Noise Characteristics of Ferroelectric Field-Effect Transistors.IRPS1-42023Conference and Workshop Papersclosedconf/irps/PhadkeALKKDY2310.1109/IRPS48203.2023.10117771https://doi.org/10.1109/IRPS48203.2023.10117771https://dblp.org/rec/conf/irps/PhadkeALKKDY23URL#399948Nicholas J. PieperYoni XiongDennis R. BallJ. PasternakBharat L. BhuvaEffects of Collected Charge and Drain Area on SE Response of SRAMs at the 5-nm FinFET Node.IRPS1-62023Conference and Workshop Papersclosedconf/irps/PieperXBPB2310.1109/IRPS48203.2023.10118115https://doi.org/10.1109/IRPS48203.2023.10118115https://dblp.org/rec/conf/irps/PieperXBPB23URL#399949Om Prakash 0007Kai Ni 0004Hussam AmrouchMonolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness.IRPS1-42023Conference and Workshop Papersclosedconf/irps/PrakashNA2310.1109/IRPS48203.2023.10118286https://doi.org/10.1109/IRPS48203.2023.10118286https://dblp.org/rec/conf/irps/PrakashNA23URL#399950Lina QuShengwei YangMing HeRui FangXiaojuan ZhuKun HanYi HePolarity Dependency and 1/E Model of Gate Oxide TDDB Degradation in 3D NAND.IRPS1-42023Conference and Workshop Papersclosedconf/irps/QuYHFZHH2310.1109/IRPS48203.2023.10117688https://doi.org/10.1109/IRPS48203.2023.10117688https://dblp.org/rec/conf/irps/QuYHFZHH23URL#399951Yiming QuChu YanXinwei YuYaru DingYi ZhaoGHz Cycle-to-Cycle Variation in Ultra-scaled FinFETs: From the Time-Zero to the Aging States.IRPS1-62023Conference and Workshop Papersclosedconf/irps/QuYYDZ2310.1109/IRPS48203.2023.10118068https://doi.org/10.1109/IRPS48203.2023.10118068https://dblp.org/rec/conf/irps/QuYYDZ23URL#399952Anand Kumar RaiHarsha B. VariarMayank ShrivastavaCircuit Reliability of $\text{MoS}_{2}$ Channel Based 2D Transistors.IRPS1-42023Conference and Workshop Papersclosedconf/irps/RaiVS2310.1109/IRPS48203.2023.10118278https://doi.org/10.1109/IRPS48203.2023.10118278https://dblp.org/rec/conf/irps/RaiVS23URL#399953Harsh RajVipin JoshiRajarshi Roy ChaudhuriRasik Rashid MalikMayank ShrivastavaPhysical Insights into the DC and Transient Reverse Bias Reliability of β-Ga2O3 Based Vertical Schottky Barrier Diodes.IRPS1-42023Conference and Workshop Papersclosedconf/irps/RajJCMS2310.1109/IRPS48203.2023.10118069https://doi.org/10.1109/IRPS48203.2023.10118069https://dblp.org/rec/conf/irps/RajJCMS23URL#399954Rakesh RanjanPavitra Ramadevi PerepaKi-Don LeeHokyung ParkPeter KimGanesh Chakravarthy YerubandiJon HaefnerCaleb Dongkyun KwonMinjung JinWenhao ZhouHyewon ShimShin-Young ChungImpact of Barrier Metal Thickness on SRAM Reliability.IRPS1-62023Conference and Workshop Papersclosedconf/irps/RanjanPLPKYHKJZSC2310.1109/IRPS48203.2023.10118344https://doi.org/10.1109/IRPS48203.2023.10118344https://dblp.org/rec/conf/irps/RanjanPLPKYHKJZSC23URL#399955Aarti RathiAbhisek DixitNaga SatishP. Srinivasan 0002Fernando GuarinReliability of SPST Series-stacked SOI CMOS RF Switches for mmWave Applications.IRPS1-62023Conference and Workshop Papersclosedconf/irps/RathiDSSG2310.1109/IRPS48203.2023.10118205https://doi.org/10.1109/IRPS48203.2023.10118205https://dblp.org/rec/conf/irps/RathiDSSG23URL#399956Sunil RathoreRajeewa Kumar JaisawalP. N. KondekarNavneet GandhiShashank BanchhorYoung Suh SongNavjeet BaggaSelf-Heating Aware Threshold Voltage Modulation Conforming to Process and Ambient Temperature Variation for Reliable Nanosheet FET.IRPS1-52023Conference and Workshop Papersclosedconf/irps/RathoreJKGBSB2310.1109/IRPS48203.2023.10117918https://doi.org/10.1109/IRPS48203.2023.10117918https://dblp.org/rec/conf/irps/RathoreJKGBSB23URL#399957Lucas ReganazDamien DeleruyelleQuentin RafhayJoel Minguet LopezNiccolo CastellaniJean-François NodinAlessandro BricalliGiuseppe PiccolboniGabriel MolasFrançois AndrieuInvestigation of resistance fluctuations in ReRAM: physical origin, temporal dependence and impact on memory reliability.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ReganazDRLCNBPMA2310.1109/IRPS48203.2023.10117882https://doi.org/10.1109/IRPS48203.2023.10117882https://dblp.org/rec/conf/irps/ReganazDRLCNBPMA23URL#399958SungMan RheeHyunjin KimSangku ParkTaiki UemuraYuchul HwangSeungjin ChooJinju KimHwasung RheeShin-Young ChungMachine Learning Based V-ramp VBD Predictive Model Using OCD-measured Fab Parameters for Early Detection of MOL Reliability Risk.IRPS1-42023Conference and Workshop Papersclosedconf/irps/RheeKPUHCKRC2310.1109/IRPS48203.2023.10117962https://doi.org/10.1109/IRPS48203.2023.10117962https://dblp.org/rec/conf/irps/RheeKPUHCKRC23URL#399959Taiuk RimKyosuk CheSehyun KwonJin-Seong LeeJeonghoon OhHyodong BanJooyoung LeeEnhanced DRAM Single Bit Characteristics from Process Control of Chlorine.IRPS1-42023Conference and Workshop Papersclosedconf/irps/RimCKLOBL2310.1109/IRPS48203.2023.10118042https://doi.org/10.1109/IRPS48203.2023.10118042https://dblp.org/rec/conf/irps/RimCKLOBL23URL#399960N. SaidKathia HarroucheFarid MedjdoubNathalie LabatJean-Guy TartarinNathalie MalbertThermal and statistical analysis of various AlN/GaN HEMT geometries for millimeter Wave applications.IRPS1-52023Conference and Workshop Papersclosedconf/irps/SaidHMLTM2310.1109/IRPS48203.2023.10117807https://doi.org/10.1109/IRPS48203.2023.10117807https://dblp.org/rec/conf/irps/SaidHMLTM23URL#399961Rashmi SaikiaAseer AnsariSouvik MahapatraA Physics-based Model for Long Term Data Retention Characteristics in 3D NAND Flash Memory.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SaikiaAM2310.1109/IRPS48203.2023.10118096https://doi.org/10.1109/IRPS48203.2023.10118096https://dblp.org/rec/conf/irps/SaikiaAM23URL#399962A. S. SalehHouman ZahedmaneshHajdin CericIngrid De WolfKris CroesImpact of via geometry and line extension on via-electromigration in nano-interconnects.IRPS1-42023Conference and Workshop Papersclosedconf/irps/SalehZCWC2310.1109/IRPS48203.2023.10118027https://doi.org/10.1109/IRPS48203.2023.10118027https://dblp.org/rec/conf/irps/SalehZCWC23URL#399963Dishant SanganiJavier Diaz-FortunyErik BuryBen KaczerGeorges G. E. GielenThe Role of Mobility Degradation in the BTI-Induced RO Aging in a 28-nm Bulk CMOS Technology: (Student paper).IRPS1-62023Conference and Workshop Papersclosedconf/irps/SanganiDBKG2310.1109/IRPS48203.2023.10118026https://doi.org/10.1109/IRPS48203.2023.10118026https://dblp.org/rec/conf/irps/SanganiDBKG23URL#399964Victor M. van SantenJose M. Gata-RomeroJuan Núñez 0002Rafael Castro-LópezElisenda RocaHussam AmrouchCharacterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SantenGNCRA2310.1109/IRPS48203.2023.10117751https://doi.org/10.1109/IRPS48203.2023.10117751https://dblp.org/rec/conf/irps/SantenGNCRA23URL#399965Pablo Saraza-CanflancaJavier Diaz-FortunyAndrea ViciErik BuryRobin DegraeveBen KaczerUsing dedicated device arrays for the characterization of TDDB in a scaled HK/MG technology.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SarazaCanflancaDVBDK2310.1109/IRPS48203.2023.10117773https://doi.org/10.1109/IRPS48203.2023.10117773https://dblp.org/rec/conf/irps/SarazaCanflancaDVBDK23URL#399966Hossein SarbishaeiVladislav A. VashchenkoESD Avalanche Diodes Degradation in EOS Regime.IRPS1-42023Conference and Workshop Papersclosedconf/irps/SarbishaeiV2310.1109/IRPS48203.2023.10118321https://doi.org/10.1109/IRPS48203.2023.10118321https://dblp.org/rec/conf/irps/SarbishaeiV23URL#399967Hideo SatoH. M. ShinH. JungS. W. LeeH. BaeH. KwonK. H. RyuW. C. LimY. S. HanJ. H. JeongJ. M. LeeD. S. KimK. LeeJ. H. LeeJ. H. ParkY. J. SongY. JiB. I. SeoJ. W. KimH. H. KimComprehensive study on prediction of endurance properties from breakdown voltage in high-reliable STT-MRAM.IRPS1-52023Conference and Workshop Papersclosedconf/irps/SatoSJLBKRLHJLKLLPSJSKK2310.1109/IRPS48203.2023.10118152https://doi.org/10.1109/IRPS48203.2023.10118152https://dblp.org/rec/conf/irps/SatoSJLBKRLHJLKLLPSJSKK23URL#399968Christian SchwabeXing LiuTobias N. WassermannPaul SalmenThomas BaslerSiC MOSFET threshold voltage stability during power cycling testing and the impact on the result interpretation.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SchwabeLWSB2310.1109/IRPS48203.2023.10118274https://doi.org/10.1109/IRPS48203.2023.10118274https://dblp.org/rec/conf/irps/SchwabeLWSB23URL#399969Harumi SekiReika IchiharaYusuke HigashiYasushi NakasakiMasumi SaitohMasamichi SuzukiComprehensive Analysis of Hole-Trapping in SiN Films with a Wide Range of Time Constants Based on Dynamic C-V.IRPS1-72023Conference and Workshop Papersclosedconf/irps/SekiIHNSS2310.1109/IRPS48203.2023.10118083https://doi.org/10.1109/IRPS48203.2023.10118083https://dblp.org/rec/conf/irps/SekiIHNSS23URL#399970Hyewon SeoTaiuk RimEunsun LeeSekyoung JangKyosuk ChaeJeonghoon OhHyodong BanJooyoung LeeAnalysis of Intermittent Single-bit Failure on 10-nm node generation DRAM Devices.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SeoRLJCOBL2310.1109/IRPS48203.2023.10117671https://doi.org/10.1109/IRPS48203.2023.10117671https://dblp.org/rec/conf/irps/SeoRLJCOBL23URL#399971Manisha SharmaHokyung ParkYinghong ZhaoKi-Don LeeLiangshan ChenJoonah YoonRakesh RanjanCaleb Dongkyan KwonHyewon ShimMyungsoo YeoShin-Young ChungJon HaefnerPolarity Dependency of MOL-TDDB in FinFET.IRPS1-32023Conference and Workshop Papersclosedconf/irps/SharmaPZLCYRKSYCH2310.1109/IRPS48203.2023.10117774https://doi.org/10.1109/IRPS48203.2023.10117774https://dblp.org/rec/conf/irps/SharmaPZLCYRKSYCH23URL#399972Limeng ShiShengnan ZhuJiashu QianMichael JinMonikuntala BhattacharyaMarvin H. WhiteAnant K. AgarwalAtsushi ShimboriTianshi LiuInvestigation of different screening methods on threshold voltage and gate oxide lifetime of SiC Power MOSFETs.IRPS1-72023Conference and Workshop Papersclosedconf/irps/ShiZQJBWASL2310.1109/IRPS48203.2023.10118276https://doi.org/10.1109/IRPS48203.2023.10118276https://dblp.org/rec/conf/irps/ShiZQJBWASL23URL#399973Mathieu SicreX. FederspielBastien MamdyDavid Roy 0001Françis CalmonCharacterization and modeling of DCR and DCR drift variability in SPADs.IRPS1-52023Conference and Workshop Papersclosedconf/irps/SicreFMRC2310.1109/IRPS48203.2023.10117921https://doi.org/10.1109/IRPS48203.2023.10117921https://dblp.org/rec/conf/irps/SicreFMRC23URL#399974Marek SkowronskiMaterial instabilities in the TaOx-based resistive switching devices (Invited).IRPS1-52023Conference and Workshop Papersclosedconf/irps/Skowronski2310.1109/IRPS48203.2023.10117796https://doi.org/10.1109/IRPS48203.2023.10117796https://dblp.org/rec/conf/irps/Skowronski23URL#399975P. Srinivasan 0002J. LestageShafi SyedX. HuiStephen MossOscar D. RestrepoOscar H. GonzalezY. ChenT. McKayAnirban BandyopadhyayNed CahoonFernando GuarinByoung MinMartin GallS. LudvikRF long term aging behavior and reliability in 22FDX WiFi Power Amplifier designs for 5G applications.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SrinivasanLSHMRGCMBCGMGL2310.1109/IRPS48203.2023.10118043https://doi.org/10.1109/IRPS48203.2023.10118043https://dblp.org/rec/conf/irps/SrinivasanLSHMRGCMBCGMGL23URL#399976Shotaro SugitaniRyuichi NakajimaKeita YoshidaJun FurutaKazutoshi KobayashiRadiation Hardened Flip-Flops with low Area, Delay and Power Overheads in a 65 nm bulk process.IRPS1-52023Conference and Workshop Papersclosedconf/irps/SugitaniNYFK2310.1109/IRPS48203.2023.10117957https://doi.org/10.1109/IRPS48203.2023.10117957https://dblp.org/rec/conf/irps/SugitaniNYFK23URL#399977Zixuan SunHaoran LuYongkang XueWenpu LuoZirui WangJiayang ZhangZhigang JiRunsheng WangRu HuangInvestigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology.IRPS1-62023Conference and Workshop Papersclosedconf/irps/SunLXLWZJWH2310.1109/IRPS48203.2023.10117840https://doi.org/10.1109/IRPS48203.2023.10117840https://dblp.org/rec/conf/irps/SunLXLWZJWH23URL#399978Wonju SungHyun Seung KimJung Hoon HanSeguen ParkJeonghoon OhHyodong BanJooyoung LeeInvestigation on NBTI Control Techniques of HKMG Transistors for Low-power DRAM applications.IRPS1-52023Conference and Workshop Papersclosedconf/irps/SungKHPOBL2310.1109/IRPS48203.2023.10117706https://doi.org/10.1109/IRPS48203.2023.10117706https://dblp.org/rec/conf/irps/SungKHPOBL23URL#399979H. TakahashiY. OkamotoToshiki HamadaYusuke KomuraS. WatanabeK. TsudaH. SawaiTakanori MatsuzakiYoshinori AndoTatsuya OnukiHitoshi KunitakeShunpei YamazakiD. KobayashiA. IkutaTakahiro MakinoTakeshi OhshimaSoft- and Hard-Error Radiation Reliability of 228 KB $3\mathrm{T}+1\mathrm{C}$ Oxide Semiconductor Memory.IRPS1-62023Conference and Workshop Papersclosedconf/irps/TakahashiOHKWTSMAOKYKIMO2310.1109/IRPS48203.2023.10118302https://doi.org/10.1109/IRPS48203.2023.10118302https://dblp.org/rec/conf/irps/TakahashiOHKWTSMAOKYKIMO23URL#399980Kazusa TakamiYuibi GomiShin-ichiro AbeWang LiaoSeiya ManabeTetsuro MatsumotoMasanori HashimotoCharacterizing SEU Cross Sections of 12- and 28-nm SRAMs for 6.0, 8.0, and 14.8 MeV Neutrons.IRPS1-62023Conference and Workshop Papersclosedconf/irps/TakamiGALMMH2310.1109/IRPS48203.2023.10118134https://doi.org/10.1109/IRPS48203.2023.10118134https://dblp.org/rec/conf/irps/TakamiGALMMH23URL#399981Ken TakeuchiNeuromorphic Computation-in-Memory System (Invited).IRPS1-42023Conference and Workshop Papersclosedconf/irps/Takeuchi2310.1109/IRPS48203.2023.10117704https://doi.org/10.1109/IRPS48203.2023.10117704https://dblp.org/rec/conf/irps/Takeuchi23URL#399982J. TanJ. H. LimJae Hyun KwonVinayak Bharat NaikNagarajan RaghavanKin Leong PeyBackhopping-based STT-MRAM Poisson Spiking Neuron for Neuromorphic Computation.IRPS1-62023Conference and Workshop Papersclosedconf/irps/TanLKNRP2310.1109/IRPS48203.2023.10118343https://doi.org/10.1109/IRPS48203.2023.10118343https://dblp.org/rec/conf/irps/TanLKNRP23URL#399983Tiang Teck TanYu-Yun WangJoel TanTian-Li WuNagarajan RaghavanKin Leong PeyA New Methodology to Precisely Induce Wake-Up for Reliability Assessment of Ferroelectric Devices.IRPS1-72023Conference and Workshop Papersclosedconf/irps/TanWTWRP2310.1109/IRPS48203.2023.10117723https://doi.org/10.1109/IRPS48203.2023.10117723https://dblp.org/rec/conf/irps/TanWTWRP23URL#399984A. ThiessenM. HaackMarkus HerklotzSilicon based degradation model for various types of highly integrated MOL resistor devices.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ThiessenHH2310.1109/IRPS48203.2023.10118209https://doi.org/10.1109/IRPS48203.2023.10118209https://dblp.org/rec/conf/irps/ThiessenHH23URL#399985Simon ThomannAlbi MemaKai Ni 0004Hussam AmrouchReliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ThomannMNA2310.1109/IRPS48203.2023.10117810https://doi.org/10.1109/IRPS48203.2023.10117810https://dblp.org/rec/conf/irps/ThomannMNA23URL#399986B. TollesonChristopher H. BennettT. Patrick XiaoDonald WilsonJesse ShortJ. KimDavid R. HughartNad GilbertSapan AgarwalHugh J. BarnabyMatthew J. MarinellaTID Response of an Analog In-Memory Neural Network Accelerator.IRPS1-62023Conference and Workshop Papersclosedconf/irps/TollesonBXWSKHGABM2310.1109/IRPS48203.2023.10118139https://doi.org/10.1109/IRPS48203.2023.10118139https://dblp.org/rec/conf/irps/TollesonBXWSKHGABM23URL#399987Taiki UemuraByungjin ChungShin-Young ChungSeungbae LeeYuchul HwangSangwoo PaeImpact of Design and Process on Alpha-Induced SER in 4 nm Bulk-FinFET SRAM.IRPS1-82023Conference and Workshop Papersclosedconf/irps/UemuraCCLHP2310.1109/IRPS48203.2023.10117908https://doi.org/10.1109/IRPS48203.2023.10117908https://dblp.org/rec/conf/irps/UemuraCCLHP23URL#399988Michiel VandemaeleBen KaczerErik BuryJacopo FrancoAdrian ChasinAlexander MakarovHans MertensGeert HellingsGuido GroesenekenInvestigating Nanowire, Nanosheet and Forksheet FET Hot-Carrier Reliability via TCAD Simulations: Invited Paper.IRPS1-102023Conference and Workshop Papersclosedconf/irps/VandemaeleKBFCMMHG2310.1109/IRPS48203.2023.10118211https://doi.org/10.1109/IRPS48203.2023.10118211https://dblp.org/rec/conf/irps/VandemaeleKBFCMMHG23URL#399989William VandendaeleCamille LeurquinR. LavievilleMarie-Anne JaudAbygaël VieyRomain GwozieckiB. MohamadE. NowakA. ConstantFerdinando IucolanoReliability of GaN MOSc-HEMTs: From TDDB to Threshold Voltage Instabilities (Invited).IRPS1-82023Conference and Workshop Papersclosedconf/irps/VandendaeleLLJVGMNCI2310.1109/IRPS48203.2023.10118180https://doi.org/10.1109/IRPS48203.2023.10118180https://dblp.org/rec/conf/irps/VandendaeleLLJVGMNCI23URL#399990Harsha B. VariarSatendra Kumar GautamAshita KumarK. M. AmoghJuan LuoNing ShiDavid MarreiroShekar MallikarjunaswamyMayank ShrivastavaEngineering Custom TLP I-V Characteristic Using a SCR-Diode Series ESD Protection Concept.IRPS1-52023Conference and Workshop Papersclosedconf/irps/VariarGKALSMMS2310.1109/IRPS48203.2023.10118220https://doi.org/10.1109/IRPS48203.2023.10118220https://dblp.org/rec/conf/irps/VariarGKALSMMS23URL#399991Vladislav A. VashchenkoH. SarbishaeiVoltage Acceleration of Power NLDMOS Hot Carrier Degradation.IRPS1-42023Conference and Workshop Papersclosedconf/irps/VashchenkoS2310.1109/IRPS48203.2023.10117625https://doi.org/10.1109/IRPS48203.2023.10117625https://dblp.org/rec/conf/irps/VashchenkoS23URL#399992Sara VecchiPaolo PavanFrancesco Maria PuglisiA Unified Framework to Explain Random Telegraph Noise Complexity in MOSFETs and RRAMs.IRPS1-62023Conference and Workshop Papersclosedconf/irps/VecchiPP2310.1109/IRPS48203.2023.10117832https://doi.org/10.1109/IRPS48203.2023.10117832https://dblp.org/rec/conf/irps/VecchiPP23URL#399993Rupali VermaUtpreksh PatbhajeJeevesh KumarAnand Kumar RaiMayank ShrivastavaOFF State Reliability Challenges of Monolayer WS2 FET Photodetector: Impact on the Dark and Photo-Illuminated State.IRPS1-52023Conference and Workshop Papersclosedconf/irps/VermaPKRS2310.1109/IRPS48203.2023.10117978https://doi.org/10.1109/IRPS48203.2023.10117978https://dblp.org/rec/conf/irps/VermaPKRS23URL#399994Andrea ViciRobin DegraevePhilippe J. RousselJacopo FrancoBen KaczerIngrid De WolfAnalysis of TDDB lifetime projection in low thermal budget HfO2/SiO2 stacks for sequential 3D integrations.IRPS1-72023Conference and Workshop Papersclosedconf/irps/ViciDRFKW2310.1109/IRPS48203.2023.10117955https://doi.org/10.1109/IRPS48203.2023.10117955https://dblp.org/rec/conf/irps/ViciDRFKW23URL#399995Kavita VishwakarmaRishabh KishoreSuman GoraMandeep JangraArnab DattaReliability of InGaZnO Transparent ReRAM with Optically Active Pt-Nanodisks.IRPS1-42023Conference and Workshop Papersclosedconf/irps/VishwakarmaKGJD2310.1109/IRPS48203.2023.10118092https://doi.org/10.1109/IRPS48203.2023.10118092https://dblp.org/rec/conf/irps/VishwakarmaKGJD23URL#399996Elisa VitanzaC. RealmutoM. La MarcaL. TorrisiBackside Failure Analysis of IGBT power devices assembled in STPAK.IRPS1-42023Conference and Workshop Papersclosedconf/irps/VitanzaRMT2310.1109/IRPS48203.2023.10117973https://doi.org/10.1109/IRPS48203.2023.10117973https://dblp.org/rec/conf/irps/VitanzaRMT23URL#399997Takuya WadatsumiKohei KawaiRikuu HasegawaKazuki MontaTakuji MikiMakoto NagataCharacterization of Backside ESD Impacts on Integrated Circuits.IRPS1-62023Conference and Workshop Papersclosedconf/irps/WadatsumiKHMMN2310.1109/IRPS48203.2023.10118240https://doi.org/10.1109/IRPS48203.2023.10118240https://dblp.org/rec/conf/irps/WadatsumiKHMMN23URL#399998Chi-Wei WangChe-Pei ChangChang-Chun LeeDemonstration on Warpage Estimation Approach Utilized in Fan-Out Panel-Level Packaging Enabled by Multi-Scale Process-Oriented Simulation.IRPS1-42023Conference and Workshop Papersclosedconf/irps/WangCL2310.1109/IRPS48203.2023.10118246https://doi.org/10.1109/IRPS48203.2023.10118246https://dblp.org/rec/conf/irps/WangCL23URL#399999Yuchong WangSiyuan ChenFanyu LiuBo Li 0051Jiangjiang LiYang HuangTiexin ZhangXu ZhangZhengsheng HanTianchun Ye 0001Jing WanThe Effects of $\gamma$ Radiation-Induced Trapped Charges on Single Event Transient in DSOI Technology.IRPS1-62023Conference and Workshop Papersclosedconf/irps/WangCLLLHZZHYW2310.1109/IRPS48203.2023.10118190https://doi.org/10.1109/IRPS48203.2023.10118190https://dblp.org/rec/conf/irps/WangCLLLHZZHYW23URL#400000Hui WangPengyu LaiZhong ChenCurrent Injection Effect on ESD Behaviors of the Parasitic Bipolar Transistors inside P+/N-well diode.IRPS1-62023Conference and Workshop Papersclosedconf/irps/WangLC2310.1109/IRPS48203.2023.10118073https://doi.org/10.1109/IRPS48203.2023.10118073https://dblp.org/rec/conf/irps/WangLC23URL#400001D. WielandS. OfnerM. StabentheinerB. ButejChristian KollerJ. SunAndrea MinettoK. ReiserOliver HäberlenMichael NelhiebelMichael GlavanovicsDionyz PoganyClemens OstermaierA common hard-failure mechanism in GaN HEMTs in accelerated switching and single-pulse short-circuit tests.IRPS1-62023Conference and Workshop Papersclosedconf/irps/WielandOSBKSMRHNGPO2310.1109/IRPS48203.2023.10117943https://doi.org/10.1109/IRPS48203.2023.10117943https://dblp.org/rec/conf/irps/WielandOSBKSMRHNGPO23URL#400002Daniel Christopher WorledgeWrite-error-rate of Spin-Transfer-Torque MRAM (Invited).IRPS1-42023Conference and Workshop Papersclosedconf/irps/Worledge2310.1109/IRPS48203.2023.10117666https://doi.org/10.1109/IRPS48203.2023.10117666https://dblp.org/rec/conf/irps/Worledge23URL#400003Yishan WuPuyang CaiZhiwei LiuPengpeng RenZhigang JiTowards the understanding of ferroelectric-intrinsic variability and reliability issues on MCAM.IRPS1-62023Conference and Workshop Papersclosedconf/irps/WuCLRJ2310.1109/IRPS48203.2023.10118078https://doi.org/10.1109/IRPS48203.2023.10118078https://dblp.org/rec/conf/irps/WuCLRJ23URL#400004Yue XiXinyi LiJunhao ChenRuofei HuQingtian ZhangZhixing JiangFeng XuJianshi TangReliability of Memristive Devices for High-Performance Neuromorphic Computing: (Invited Paper).IRPS1-72023Conference and Workshop Papersclosedconf/irps/XiLCHZJXT2310.1109/IRPS48203.2023.10118214https://doi.org/10.1109/IRPS48203.2023.10118214https://dblp.org/rec/conf/irps/XiLCHZJXT23URL#400005Yoni XiongYueh ChiangNicholas J. PieperDennis R. BallBharat L. BhuvaSoft Error Rate Predictions for Terrestrial Neutrons at the 3-nm Bulk FinFET Technology.IRPS1-62023Conference and Workshop Papersclosedconf/irps/XiongCPBB2310.1109/IRPS48203.2023.10117896https://doi.org/10.1109/IRPS48203.2023.10117896https://dblp.org/rec/conf/irps/XiongCPBB23URL#400006Xinyi XuHongchao ZhangChuanpeng JiangJinhao LiShiyang LuYunpeng LiHonglei DuXueying ZhangZhaohao WangKaihua CaoWeisheng ZhaoShuqin LyuHao XuBonian JiangLe WangBowen ManCong ZhangDandan LiShuhui LiXiaofei FanGefei WangHong-xi LiuFull reliability characterization of three-terminal SOT-MTJ devices and corresponding arrays.IRPS1-62023Conference and Workshop Papersclosedconf/irps/XuZJLLLDZWCZLXJWMZLLFWL2310.1109/IRPS48203.2023.10117643https://doi.org/10.1109/IRPS48203.2023.10117643https://dblp.org/rec/conf/irps/XuZJLLLDZWCZLXJWMZLLFWL23URL#400007Ayumu YamadaNaoko MisawaChihiro MatsuiKen TakeuchiReRAM CiM Fluctuation Pattern Classification by CNN Trained on Artificially Created Dataset.IRPS1-62023Conference and Workshop Papersclosedconf/irps/YamadaMMT2310.1109/IRPS48203.2023.10118305https://doi.org/10.1109/IRPS48203.2023.10118305https://dblp.org/rec/conf/irps/YamadaMMT23URL#400008Weiman YanErnest WuAlexander G. SchwingElyse RosenbaumSemantic Autoencoder for Modeling BEOL and MOL Dielectric Lifetime Distributions.IRPS1-92023Conference and Workshop Papersclosedconf/irps/YanWSR2310.1109/IRPS48203.2023.10117878https://doi.org/10.1109/IRPS48203.2023.10117878https://dblp.org/rec/conf/irps/YanWSR23URL#400009Y. L. YangP. C. TsaoC. W. LinRoss LeeOlivia NiT. T. ChenY. J. TingC. T. LaiJason YehArnold YangWayne Huang 0003Peng ChenCharly TsaiRyan YangY. S. HuangB. C. HsuM. Z. LeeT. H. LeeMichael HuangComing ChenLiham ChuH. W. KaoN. S. TsaiPerforming Machine Learning Based Outlier Detection for Automotive Grade Products.IRPS1-52023Conference and Workshop Papersclosedconf/irps/YangTLLNCTLYYHCTYHHLLHCCKT2310.1109/IRPS48203.2023.10118207https://doi.org/10.1109/IRPS48203.2023.10118207https://dblp.org/rec/conf/irps/YangTLLNCTLYYHCTYHHLLHCCKT23URL#400010Kang YangSuhui YangYan OuyangShengwei YangKun HanYi HeStress Migration of Aluminum Backside Interconnect in Xtacking®.IRPS1-42023Conference and Workshop Papersclosedconf/irps/YangYOYHH2310.1109/IRPS48203.2023.10117817https://doi.org/10.1109/IRPS48203.2023.10117817https://dblp.org/rec/conf/irps/YangYOYHH23URL#400011Yong Hyeon YiChris H. KimChen ZhouArmen KteyanValeriy SukharevStudying the Impact of Temperature Gradient on Electromigration Lifetime Using a Power Grid Test Structure with On-Chip Heaters.IRPS1-52023Conference and Workshop Papersclosedconf/irps/YiKZKS2310.1109/IRPS48203.2023.10117811https://doi.org/10.1109/IRPS48203.2023.10117811https://dblp.org/rec/conf/irps/YiKZKS23URL#400012Jiyoung YoonBumgi LeeJaehee SongBokyoung KangSangho LeeDoh-Soon KwakHeonsang LimIlsang ParkJonghoon KimSangwoo PaeCustomized wafer level verification methodology: quality risk pre-diagnosis with enhanced screen-ability of stand-by stress-related deteriorations.IRPS1-62023Conference and Workshop Papersclosedconf/irps/YoonLSKLKLPKP2310.1109/IRPS48203.2023.10117800https://doi.org/10.1109/IRPS48203.2023.10117800https://dblp.org/rec/conf/irps/YoonLSKLKLPKP23URL#400013Xinwei YuChu YanYaru DingYiming QuYi ZhaoGHz AC to DC TDDB Modeling with Defect Accumulation Efficiency Model.IRPS1-62023Conference and Workshop Papersclosedconf/irps/YuYDQZ2310.1109/IRPS48203.2023.10117582https://doi.org/10.1109/IRPS48203.2023.10117582https://dblp.org/rec/conf/irps/YuYDQZ23URL#400014Houman ZahedmaneshPhilippe RousselIvan CiofiKristof CroesA pragmatic network-aware paradigm for system-level electromigration predictions at scale.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ZahedmaneshRCC2310.1109/IRPS48203.2023.10117698https://doi.org/10.1109/IRPS48203.2023.10117698https://dblp.org/rec/conf/irps/ZahedmaneshRCC23URL#400015Zijian ZhangQ. LiZuoyuan DongWanting WangS. T. LaiXin YangFang LiangChaolun WangC. LuoLiangjian LyuZ. LiJ. M. XuXing Wu 0005Microscopic Characterization of Failure Mechanisms in Long-Term Implanted Microwire Neural Electrodes.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ZhangLDWLYLWLLLXW2310.1109/IRPS48203.2023.10117971https://doi.org/10.1109/IRPS48203.2023.10117971https://dblp.org/rec/conf/irps/ZhangLDWLYLWLLLXW23URL#400016S. Q. ZhangY. S. SunD. GaoH. JiangZ. Q. YuH. ZhengJ. L. HuangInvestigation of Channel Dimension Dependence of BTI Degradation and Variation in Planar HKMG MOSFET.IRPS1-42023Conference and Workshop Papersclosedconf/irps/ZhangSGJYZH2310.1109/IRPS48203.2023.10117999https://doi.org/10.1109/IRPS48203.2023.10117999https://dblp.org/rec/conf/irps/ZhangSGJYZH23URL#400017Xinyi ZhangKewei WangFang WangJiangjiang LiZhicheng WuDuoli LiBo LiJianhui BuZhengsheng HanInfluence of Back Gate Bias on the Hot Carrier Reliability of DSOI nMOSFET.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ZhangWWLWLLBH2310.1109/IRPS48203.2023.10117718https://doi.org/10.1109/IRPS48203.2023.10117718https://dblp.org/rec/conf/irps/ZhangWWLWLLBH23URL#400018Ri-an ZhaoMatthew KoskinenYang LiuXinggong WanVoltage Ramp Stress Test Optimization for Wafer Level Hot Carrier Monitoring in FinFET.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ZhaoKLW2310.1109/IRPS48203.2023.10118309https://doi.org/10.1109/IRPS48203.2023.10118309https://dblp.org/rec/conf/irps/ZhaoKLW23URL#400019Longda ZhouJie LiZheng QiaoPengpeng RenZixuan SunJianping WangBlacksmith WuZhigang JiRunsheng WangKanyu CaoRu HuangDouble-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation.IRPS1-102023Conference and Workshop Papersclosedconf/irps/ZhouLQRSWWJWCH2310.1109/IRPS48203.2023.10117677https://doi.org/10.1109/IRPS48203.2023.10117677https://dblp.org/rec/conf/irps/ZhouLQRSWWJWCH23URL#400020Yujie ZhouDavid LaFonteeseElyse RosenbaumCollector Engineering of ESD PNP in BCD Technologies.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ZhouLR2310.1109/IRPS48203.2023.10117930https://doi.org/10.1109/IRPS48203.2023.10117930https://dblp.org/rec/conf/irps/ZhouLR23URL#400021Huimei ZhouMiaomiao Wang 0006Nicolas LoubetAndrew GaulYasir SulehriaImpact of Gate Stack Thermal Budget on NBTI Reliability in Gate-All-Around Nanosheet P-type Devices.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ZhouWLGS2310.1109/IRPS48203.2023.10117828https://doi.org/10.1109/IRPS48203.2023.10117828https://dblp.org/rec/conf/irps/ZhouWLGS23URL#400022Shengnan ZhuLimeng ShiMichael JinJiashu QianMonikuntala BhattacharyaHema Lata Rao MaddiMarvin H. WhiteAnant K. AgarwalTianshi LiuAtsushi ShimboriChingchi ChenReliability Comparison of Commercial Planar and Trench 4H-SiC Power MOSFETs.IRPS1-52023Conference and Workshop Papersclosedconf/irps/ZhuSJQBMWALSC2310.1109/IRPS48203.2023.10117998https://doi.org/10.1109/IRPS48203.2023.10117998https://dblp.org/rec/conf/irps/ZhuSJQBMWALSC23URL#400023Laura ZunarelliLuigi BalestraSusanna ReggianiRaj SankaralingamMariano DissegnaGianluca BoselliTCAD study of the Holding-Voltage Modulation in Irradiated SCR-LDMOS for HV ESD Protection.IRPS1-62023Conference and Workshop Papersclosedconf/irps/ZunarelliBRSDB2310.1109/IRPS48203.2023.10118271https://doi.org/10.1109/IRPS48203.2023.10118271https://dblp.org/rec/conf/irps/ZunarelliBRSDB23URL#400024IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023IRPSIEEE2023Editorshipconf/irps/202310.1109/IRPS48203.2023https://doi.org/10.1109/IRPS48203.2023https://dblp.org/rec/conf/irps/2023URL#462868Ravi AchantaV. McGahayS. BoffoliC. KothandaramanJ. GambinoHigh-k MIM dielectric reliability study in 65nm node.IRPS32-12022Conference and Workshop Papersclosedconf/irps/AchantaMBKG2210.1109/IRPS48227.2022.9764589https://doi.org/10.1109/IRPS48227.2022.9764589https://dblp.org/rec/conf/irps/AchantaMBKG22URL#890965R. AggarwalL. JiangS. PatraN. LajoEnamul KabirR. KasimA Novel Approach for Assessing Impact of Temperature Hot-Spots on Chip-Package Interaction Reliability.IRPS42022Conference and Workshop Papersclosedconf/irps/AggarwalJPLKK2210.1109/IRPS48227.2022.9764409https://doi.org/10.1109/IRPS48227.2022.9764409https://dblp.org/rec/conf/irps/AggarwalJPLKK22URL#890966Jae-Gyung AhnJim WesselkamperRyan S. W. BaekPing-Chin YehJonathan ChangJennifer WongXin WuReliability Analysis of Physically Unclonable Function by Using Aging Variability Simulation.IRPS12022Conference and Workshop Papersclosedconf/irps/AhnWBYCWW2210.1109/IRPS48227.2022.9764504https://doi.org/10.1109/IRPS48227.2022.9764504https://dblp.org/rec/conf/irps/AhnWBYCWW22URL#890967Mario Santo AlessandrinoBeatrice CarboneFrancesco CordianoBruna MazzaAlfio RussoW. CocoMassimo BoscagliaA. Di SalvoA. LombardoD. ScarcellaElisa VitanzaPatrick FiorenzaFailure analysis addressing method of optically undetected defectivity on 4H-SiC PowerMOSFET epitaxial layer.IRPS61-12022Conference and Workshop Papersclosedconf/irps/AlessandrinoCCM2210.1109/IRPS48227.2022.9764423https://doi.org/10.1109/IRPS48227.2022.9764423https://dblp.org/rec/conf/irps/AlessandrinoCCM22URL#890968Asifa AminAarti RathiSujit K. SinghAbhisek DixitOscar Huerta-GonzalezP. Srinivasan 0002Fernando GuarinDeep Cryogenic Temperature TDDB in 45-nm PDSOI N-channel FETs for Quantum Computing Applications.IRPS112022Conference and Workshop Papersclosedconf/irps/AminRSDGSG2210.1109/IRPS48227.2022.9764493https://doi.org/10.1109/IRPS48227.2022.9764493https://dblp.org/rec/conf/irps/AminRSDGSG22URL#890969M. ArabiX. FederspielFlorian CachoM. RafikS. BlonkowskiXavier GarrosG. GuibaudoFrequency dependant gate oxide TDDB model.IRPS25-12022Conference and Workshop Papersclosedconf/irps/ArabiFCRBGG2210.1109/IRPS48227.2022.9764503https://doi.org/10.1109/IRPS48227.2022.9764503https://dblp.org/rec/conf/irps/ArabiFCRBGG22URL#890970Peter M. AsbeckSravya AlluriNarek RostomyanJefy Alex JayamonReliability of CMOS-SOI power amplifiers for millimeter-wave 5G: the case for pMOS (Invited).IRPS42022Conference and Workshop Papersclosedconf/irps/AsbeckARJ2210.1109/IRPS48227.2022.9764417https://doi.org/10.1109/IRPS48227.2022.9764417https://dblp.org/rec/conf/irps/AsbeckARJ22URL#890971Emran K. AshikSundar Babu IsukapatiHua ZhangTianshi LiuUtsav GuptaAdam J. MorganVeena MisraWoongje SungAyman A. FayedAnant K. AgarwalBongmook LeeBias Temperature Instability on SiC n- and p-MOSFETs for High Temperature CMOS Applications.IRPS32022Conference and Workshop Papersclosedconf/irps/AshikIZLGMMSFAL2210.1109/IRPS48227.2022.9764565https://doi.org/10.1109/IRPS48227.2022.9764565https://dblp.org/rec/conf/irps/AshikIZLGMMSFAL22URL#890972Bassel AyoubStéphane MoreauS. LhostisP. LamontagneH. CombeauJ. G. MatteiHélène FrémontNew Method to Perform TDDB Tests for Hybrid Bonding Interconnects.IRPS42022Conference and Workshop Papersclosedconf/irps/AyoubMLLCMF2210.1109/IRPS48227.2022.9764446https://doi.org/10.1109/IRPS48227.2022.9764446https://dblp.org/rec/conf/irps/AyoubMLLCMF22URL#890973Navjeet BaggaKai Ni 0004Nitanshu ChauhanOm Prakash 0007X. Sharon HuHussam AmrouchCleaved-Gate Ferroelectric FET for Reliable Multi-Level Cell Storage.IRPS5-12022Conference and Workshop Papersclosedconf/irps/BaggaNCPHA2210.1109/IRPS48227.2022.9764553https://doi.org/10.1109/IRPS48227.2022.9764553https://dblp.org/rec/conf/irps/BaggaNCPHA22URL#890974J. P. BastosBarry J. O'SullivanJacopo FrancoStanislav TyaginovBrecht TruijenAdrian Vaisman ChasinRobin DegraeveBen KaczerRomain RitzenthalerElena CapogrecoE. Dentoni LittaAlessio SpessotYusuke HigashiY. YoonV. MachkaoutsanPierre FazanN. HoriguchiBias Temperature Instability (BTI) of High-Voltage Devices for Memory Periphery.IRPS1-62022Conference and Workshop Papersclosedconf/irps/BastosOFTTCDKRC2210.1109/IRPS48227.2022.9764547https://doi.org/10.1109/IRPS48227.2022.9764547https://dblp.org/rec/conf/irps/BastosOFTTCDKRC22URL#890975Daniel BeckmeierJifa HaoJake ChoiMatt RingRevealing stresses for plasma induced damage detection in thick oxides.IRPS1-62022Conference and Workshop Papersclosedconf/irps/BeckmeierHCR2210.1109/IRPS48227.2022.9764476https://doi.org/10.1109/IRPS48227.2022.9764476https://dblp.org/rec/conf/irps/BeckmeierHCR22URL#890976Simon Van BeekKaiming CaiSiddharth RaoGanesh JayakumarSebastien CouetNico JossartAdrian Vaisman ChasinGouri Sankar KarMTJ degradation in SOT-MRAM by self-heating-induced diffusion.IRPS42022Conference and Workshop Papersclosedconf/irps/BeekCRJCJCK2210.1109/IRPS48227.2022.9764459https://doi.org/10.1109/IRPS48227.2022.9764459https://dblp.org/rec/conf/irps/BeekCRJCJCK22URL#890977Majed Valad BeigiSudhanva GurumurthiVilas SridharanReliability, Availability, and Serviceability Challenges for Heterogeneous System Design.IRPS22022Conference and Workshop Papersclosedconf/irps/BeigiGS2210.1109/IRPS48227.2022.9764554https://doi.org/10.1109/IRPS48227.2022.9764554https://dblp.org/rec/conf/irps/BeigiGS22URL#890978Lorenzo BenattiPaolo PavanFrancesco Maria PuglisiCombining Experiments and a Novel Small Signal Model to Investigate the Degradation Mechanisms in Ferroelectric Tunnel Junctions.IRPS6-12022Conference and Workshop Papersclosedconf/irps/BenattiPP2210.1109/IRPS48227.2022.9764602https://doi.org/10.1109/IRPS48227.2022.9764602https://dblp.org/rec/conf/irps/BenattiPP22URL#890979Davide BisiBill CrusePhilip ZukPrimit ParikhUmesh K. MishraTsutomu HosodaMasamichi KamiyamaMasahito KanamuraShort-Circuit Capability with GaN HEMTs : Invited.IRPS1-72022Conference and Workshop Papersclosedconf/irps/BisiCZPMHKK2210.1109/IRPS48227.2022.9764492https://doi.org/10.1109/IRPS48227.2022.9764492https://dblp.org/rec/conf/irps/BisiCZPMHKK22URL#890980Christian BognerTibor GrasserMichael WaltlHans ReisingerChristian SchlünderEfficient Evaluation of the Time-Dependent Threshold Voltage Distribution Due to NBTI Stress Using Transistor Arrays.IRPS1-82022Conference and Workshop Papersclosedconf/irps/BognerGWRS2210.1109/IRPS48227.2022.9764496https://doi.org/10.1109/IRPS48227.2022.9764496https://dblp.org/rec/conf/irps/BognerGWRS22URL#890981Germain BossuShafi SyedS. EvseevJoris Angelo Sundaram JeromeWafa ArfaouiD. LippMahesh Siddabathula22FDX™ 5G 28GHz 20dBm Power Amplifier Constant Load and VSWR accelerated aging reliability.IRPS42022Conference and Workshop Papersclosedconf/irps/BossuSEJALS2210.1109/IRPS48227.2022.9764418https://doi.org/10.1109/IRPS48227.2022.9764418https://dblp.org/rec/conf/irps/BossuSEJALS22URL#890982Erik BuryAdrian Vaisman ChasinBen KaczerMichiel VandemaeleStanislav TyaginovJacopo FrancoRomain RitzenthalerHans MertensPieter WeckxN. HoriguchiDimitri LintenEvaluating Forksheet FET Reliability Concerns by Experimental Comparison with Co-integrated Nanosheets.IRPS52022Conference and Workshop Papersclosedconf/irps/BuryCKVTFRMWHL2210.1109/IRPS48227.2022.9764526https://doi.org/10.1109/IRPS48227.2022.9764526https://dblp.org/rec/conf/irps/BuryCKVTFRMWHL22URL#890983Ned CahoonP. Srinivasan 0002Fernando Guarin6G Roadmap for Semiconductor Technologies: Challenges and Advances.IRPS112022Conference and Workshop Papersclosedconf/irps/CahoonSG2210.1109/IRPS48227.2022.9764582https://doi.org/10.1109/IRPS48227.2022.9764582https://dblp.org/rec/conf/irps/CahoonSG22URL#890984P. C. ChangP. J. LiaoD. W. HehC. LeeD. H. HouElia AmbrosiC. H. WuH. Y. LeeJ. H. LeeXinyu BaoInvestigation of First Fire Effect on VTH Stability and Endurance in GeCTe Selector.IRPS42022Conference and Workshop Papersclosedconf/irps/ChangLHLHAWLLB2210.1109/IRPS48227.2022.9764489https://doi.org/10.1109/IRPS48227.2022.9764489https://dblp.org/rec/conf/irps/ChangLHLHAWLLB22URL#890985Y. K. ChangP. J. LiaoS. H. YeongY.-M. LinJ. H. LeeC. T. LinZ. YuWilman TsaiPaul C. McIntyreThe Field-dependence Endurance Model and Its Mutual Effect in Hf-based Ferroelectrics.IRPS32022Conference and Workshop Papersclosedconf/irps/ChangLYLLLYTM2210.1109/IRPS48227.2022.9764420https://doi.org/10.1109/IRPS48227.2022.9764420https://dblp.org/rec/conf/irps/ChangLYLLLYTM22URL#890986Nitanshu ChauhanChirag GargKai Ni 0004Amit Kumar BeheraSarita YadavShashank BanchhorNavjeet BaggaAvirup DasguptaArnab DattaSudeb DasguptaAnand BulusuImpact of Random Spatial Fluctuation in Non-Uniform Crystalline Phases on Multidomain MFIM Capacitor and Negative Capacitance FDSOI.IRPS23-12022Conference and Workshop Papersclosedconf/irps/ChauhanGNBYBBDD2210.1109/IRPS48227.2022.9764552https://doi.org/10.1109/IRPS48227.2022.9764552https://dblp.org/rec/conf/irps/ChauhanGNBYBBDD22URL#890987Xinqian ChenFei HouZuoyuan DongYuxin ZhangChaolun WangFang LiangFeibo DuZhiwei LiuXing Wu 0005Nanoscale Analysis of Breakdown Induced Crack Propagation in DTSCR Devices.IRPS48-12022Conference and Workshop Papersclosedconf/irps/ChenHDZWLDLW2210.1109/IRPS48227.2022.9764452https://doi.org/10.1109/IRPS48227.2022.9764452https://dblp.org/rec/conf/irps/ChenHDZWLDLW22URL#890988P. S. ChenY. W. LeeD. S. HuangS. C. ChenC. F. ChengJ. H. LeeJun HeAC TDDB Analysis for HK/IL Gate Stack Breakdown and Frequency-dependent Oxygen Vacancy Trap Generation in Advanced nodes FinFET Devices by SILC Spectrum Methodology.IRPS112022Conference and Workshop Papersclosedconf/irps/ChenLHCCLH2210.1109/IRPS48227.2022.9764512https://doi.org/10.1109/IRPS48227.2022.9764512https://dblp.org/rec/conf/irps/ChenLHCCLH22URL#890989Yu-Hsing ChengMichael Cook 0004Derryl D. J. AllmanNBTI Characterization with in Situ Poly Heater.IRPS43-12022Conference and Workshop Papersclosedconf/irps/ChengCA2210.1109/IRPS48227.2022.9764483https://doi.org/10.1109/IRPS48227.2022.9764483https://dblp.org/rec/conf/irps/ChengCA22URL#890990Wei-Chih ChienLynne M. GignacY. C. ChouC. H. YangN. GongH. Y. HoC. W. YehH. Y. ChengW. KimI. T. KuoE. K. LaiC. W. ChengL. BuziA. RayC. S. HsuRobert L. BruceMatthew BrightSkyH. L. LungEndurance Evaluation on OTS-PCM Device using Constant Current Stress Scheme.IRPS7-12022Conference and Workshop Papersclosedconf/irps/ChienGCYGHYCKKL2210.1109/IRPS48227.2022.9764481https://doi.org/10.1109/IRPS48227.2022.9764481https://dblp.org/rec/conf/irps/ChienGCYGHYCKKL22URL#890991Francesca ChiocchettaCarlo De SantiFabiana RampazzoKalparupa MukherjeeJan GrünenpüttDaniel SommerHervé BlanckBenoit LambertA. GerosaGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniGaN RF HEMT Reliability: Impact of Device Processing on I-V Curve Stability and Current Collapse.IRPS112022Conference and Workshop Papersclosedconf/irps/ChiocchettaSRMG2210.1109/IRPS48227.2022.9764510https://doi.org/10.1109/IRPS48227.2022.9764510https://dblp.org/rec/conf/irps/ChiocchettaSRMG22URL#890992Nilotpal ChoudhuryAyush RanjanSouvik MahapatraDecoupling of NBTI and Pure HCD Contributions in p-GAA SNS FETs Under Mixed VG/VD Stress.IRPS56-12022Conference and Workshop Papersclosedconf/irps/ChoudhuryRM2210.1109/IRPS48227.2022.9764529https://doi.org/10.1109/IRPS48227.2022.9764529https://dblp.org/rec/conf/irps/ChoudhuryRM22URL#890993Yu-Lin ChuHsi-Yu KuoHung-Da DaiKuan-Hung ChenPei-Jung LinChun-Ting LiaoTa-Chun LinMing FengSwercy ChiuVictor LiangNew RC-Imbalance Failure Mechanism of Well Charging Damage and The Implemented Rule.IRPS82022Conference and Workshop Papersclosedconf/irps/ChuKDCLLLFCL2210.1109/IRPS48227.2022.9764488https://doi.org/10.1109/IRPS48227.2022.9764488https://dblp.org/rec/conf/irps/ChuKDCLLLFCL22URL#890994Salvatore CiminoJ. SinghJ. B. JohnsonW. ZhengY. ChenW. LiuP. Srinivasan 0002O. GonzalesM. HauserMatthew KoskinenK. NagahiroY. LiuB. MinTanya NigamN. SquibOptimized LDMOS Offering for Power Management and RF Applications.IRPS57-12022Conference and Workshop Papersclosedconf/irps/CiminoSJZCLSGHK2210.1109/IRPS48227.2022.9764528https://doi.org/10.1109/IRPS48227.2022.9764528https://dblp.org/rec/conf/irps/CiminoSJZCLSGHK22URL#890995Marcello CioniPatrick FiorenzaFabrizio RoccaforteMario SaggioS. CascinoAngelo Alberto MessinaVincenzo VinciguerraMichele CalabrettaAlessandro ChiniIdentification of Interface States responsible for VTH Hysteresis in packaged SiC MOSFETs.IRPS52022Conference and Workshop Papersclosedconf/irps/CioniFRSCMVCC2210.1109/IRPS48227.2022.9764543https://doi.org/10.1109/IRPS48227.2022.9764543https://dblp.org/rec/conf/irps/CioniFRSCMVCC22URL#890996Marcello CioniNicolò ZagniAlessandro ChiniFe-Traps Influence on Time-dependent Breakdown Voltage in 0.1-μm GaN HEMTs for 5G Applications.IRPS112022Conference and Workshop Papersclosedconf/irps/CioniZC2210.1109/IRPS48227.2022.9764502https://doi.org/10.1109/IRPS48227.2022.9764502https://dblp.org/rec/conf/irps/CioniZC22URL#890997Lauriane ContaminMikaël CasséXavier GarrosFred GaillardMaud VinetPhilippe GalyAndré JugeEmmanuel Vincent 0004Silvano De FranceschiTristan MeunierFast Measurement of BTI on 28nm Fully Depleted Silicon-On-Insulator MOSFETs at Cryogenic Temperature down to 4K.IRPS72022Conference and Workshop Papersclosedconf/irps/ContaminCGGVGJV2210.1109/IRPS48227.2022.9764571https://doi.org/10.1109/IRPS48227.2022.9764571https://dblp.org/rec/conf/irps/ContaminCGGVGJV22URL#890998Javier Diaz-FortunyPablo Saraza-CanflancaErik BuryMichiel VandemaeleBen KaczerRobin DegraeveA Ring-Oscillator-Based Degradation Monitor Concept with Tamper Detection Capability.IRPS1-72022Conference and Workshop Papersclosedconf/irps/Diaz-FortunySBV2210.1109/IRPS48227.2022.9764609https://doi.org/10.1109/IRPS48227.2022.9764609https://dblp.org/rec/conf/irps/Diaz-FortunySBV22URL#890999Yaru DingWei LiuYiming QuLiang ZhaoYi ZhaoDegradation Behaviors of 22 nm FDSOI CMOS Inverter Under Gigahertz AC Stress.IRPS50-12022Conference and Workshop Papersclosedconf/irps/DingLQZZ2210.1109/IRPS48227.2022.9764559https://doi.org/10.1109/IRPS48227.2022.9764559https://dblp.org/rec/conf/irps/DingLQZZ22URL#891000Himanshu DiwakarKaransingh ThakorSouvik MahapatraModeling Time and Bias Dependence of Classical HCD Mechanism (Peak ISUB Stress) in n-MOSFETs.IRPS55-12022Conference and Workshop Papersclosedconf/irps/DiwakarTM2210.1109/IRPS48227.2022.9764505https://doi.org/10.1109/IRPS48227.2022.9764505https://dblp.org/rec/conf/irps/DiwakarTM22URL#891001Eduardo EsmanhottoTifenn HirtzlinNiccolo CastellaniS. MartinBastien GiraudFrançois AndrieuJean-François NodinDamien QuerliozJean-Michel PortalElisa VianelloExperimental demonstration of Single-Level and Multi-Level-Cell RRAM-based In-Memory Computing with up to 16 parallel operations.IRPS8-12022Conference and Workshop Papersclosedconf/irps/EsmanhottoHCMGA2210.1109/IRPS48227.2022.9764474https://doi.org/10.1109/IRPS48227.2022.9764474https://dblp.org/rec/conf/irps/EsmanhottoHCMGA22URL#891002Yunjie FanZhiqiang WangShengwei YangKun HanYi HeInvestigation of Retention Characteristics in a Triple-level Charge Trap 3D NAND Flash Memory.IRPS31-12022Conference and Workshop Papersclosedconf/irps/FanWYHH2210.1109/IRPS48227.2022.9764506https://doi.org/10.1109/IRPS48227.2022.9764506https://dblp.org/rec/conf/irps/FanWYHH22URL#891003James FarmerDmitry VekslerE. TangGennadi BersukerDavid Z. GaoAl-Moatasem El-SayedThomas DurrantAlexander L. ShlugerThomas RueckesLee ClevelandHarry LuanRahul SenCombining measurements and modeling/simulations analysis to assess carbon nanotube memory cell characteristics.IRPS36-12022Conference and Workshop Papersclosedconf/irps/FarmerVTBGEDSRC2210.1109/IRPS48227.2022.9764576https://doi.org/10.1109/IRPS48227.2022.9764576https://dblp.org/rec/conf/irps/FarmerVTBGEDSRC22URL#891004Davide FaveroCarlo De SantiKalparupa MukherjeeKaren GeensMatteo BorgaBenoit BakerootShuzhen YouStefaan DecoutereGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniInfluence of Drain and Gate Potential on Gate Failure in Semi-Vertical GaN-on-Si Trench MOSFETs.IRPS20-12022Conference and Workshop Papersclosedconf/irps/FaveroSMGBBYDMZ2210.1109/IRPS48227.2022.9764600https://doi.org/10.1109/IRPS48227.2022.9764600https://dblp.org/rec/conf/irps/FaveroSMGBBYDMZ22URL#891005Maximilian W. FeilHans ReisingerAndré KabakowThomas AichingerWolfgang GustinTibor GrasserOptical Emission Correlated to Bias Temperature Instability in SiC MOSFETs.IRPS32022Conference and Workshop Papersclosedconf/irps/FeilRKAGG2210.1109/IRPS48227.2022.9764584https://doi.org/10.1109/IRPS48227.2022.9764584https://dblp.org/rec/conf/irps/FeilRKAGG22URL#891006Patrick FiorenzaCorrado BongiornoAngelo Alberto MessinaMario SaggioFilippo GiannazzoFabrizio RoccaforteSiO2/4H-SiC interfacial chemistry as origin of the threshold voltage instability in power MOSFETs.IRPS32022Conference and Workshop Papersclosedconf/irps/FiorenzaBMSGR2210.1109/IRPS48227.2022.9764490https://doi.org/10.1109/IRPS48227.2022.9764490https://dblp.org/rec/conf/irps/FiorenzaBMSGR22URL#891007Tadeu Mota FrutuosoXavier GarrosJose Lugo-AlvarezRoméo Kom KammeugneL. D. M. ZouknakAbygaël VieyW. van den DaelePhilippe FerrariFred GaillardUltra-fast CV methods (< 10µs) for interface trap spectroscopy and BTI reliability characterization using MOS capacitors.IRPS32022Conference and Workshop Papersclosedconf/irps/FrutuosoGLKZVDF2210.1109/IRPS48227.2022.9764550https://doi.org/10.1109/IRPS48227.2022.9764550https://dblp.org/rec/conf/irps/FrutuosoGLKZVDF22URL#891008L. FursinP. LoseeAkin AkturkInvestigation of Terrestrial Neutron Induced Failure Rates in Silicon Carbide JFET Based Cascode FETs.IRPS82022Conference and Workshop Papersclosedconf/irps/FursinLA2210.1109/IRPS48227.2022.9764434https://doi.org/10.1109/IRPS48227.2022.9764434https://dblp.org/rec/conf/irps/FursinLA22URL#891009Satyaki GangulyKyle M. BotheAlexandre NiyonzimaThomas SmithYueying LiuJeremy FisherFabian RadulescuDonald A. GajewskiScott T. SheppardJim W. MilliganBasim NooriJohn W. PalmourDC and RF Reliability Assessment of 5G-MMW capable GaN HEMT Process (Invited).IRPS112022Conference and Workshop Papersclosedconf/irps/GangulyBNSLFRGS2210.1109/IRPS48227.2022.9764539https://doi.org/10.1109/IRPS48227.2022.9764539https://dblp.org/rec/conf/irps/GangulyBNSLFRGS22URL#891010Satyaki GangulyDaniel J. LichtenwalnerCaleb IsaacsonDonald A. GajewskiPhilipp SteinmannRyan FoardeBrett HullSei-Hyung RyuScott AllenJohn W. PalmourNegative Gate Bias TDDB evaluation of n-Channel SiC Vertical Power MOSFETs.IRPS82022Conference and Workshop Papersclosedconf/irps/GangulyLIGSFHRA2210.1109/IRPS48227.2022.9764608https://doi.org/10.1109/IRPS48227.2022.9764608https://dblp.org/rec/conf/irps/GangulyLIGSFHRA22URL#891011Zhan GaoFrancesca ChiocchettaCarlo De SantiNicola ModoloFabiana RampazzoMatteo MeneghiniGaudenzio MeneghessoEnrico ZanoniHervé BlanckH. StieglauerD. SommerBenoit LambertJan GrünenpüttO. KordinaJ.-T. ChenJ.-C. JacquetCedric LacamS. PiotrowiczDeep level effects and degradation of 0.15 μm RF AlGaN/GaN HEMTs with Mono-layer and Bi-layer AlGaN backbarrier.IRPS51-12022Conference and Workshop Papersclosedconf/irps/GaoCSMRMMZBSSLG2210.1109/IRPS48227.2022.9764531https://doi.org/10.1109/IRPS48227.2022.9764531https://dblp.org/rec/conf/irps/GaoCSMRMMZBSSLG22URL#891012Tidjani Garba-SeybouXavier FederspielAlain BravaixFlorian CachoNew Modelling Off-state TDDB for 130nm to 28nm CMOS nodes.IRPS112022Conference and Workshop Papersclosedconf/irps/Garba-SeybouFBC2210.1109/IRPS48227.2022.9764431https://doi.org/10.1109/IRPS48227.2022.9764431https://dblp.org/rec/conf/irps/Garba-SeybouFBC22URL#891013Amartya GhoshOsama O. AwadelkarimJifa HaoSamia A. SulimanXinyu WangComparison of AC and DC BTI in SiC Power MOSFETs.IRPS72022Conference and Workshop Papersclosedconf/irps/GhoshAHSW2210.1109/IRPS48227.2022.9764494https://doi.org/10.1109/IRPS48227.2022.9764494https://dblp.org/rec/conf/irps/GhoshAHSW22URL#891014Artem GlukhovValerio MiloAndrea BaroniNicola LepriCristian ZambelliPiero OlivoEduardo PérezChristian WengerDaniele IelminiStatistical model of program/verify algorithms in resistive-switching memories for in-memory neural network accelerators.IRPS32022Conference and Workshop Papersclosedconf/irps/GlukhovMBLZOPWI2210.1109/IRPS48227.2022.9764497https://doi.org/10.1109/IRPS48227.2022.9764497https://dblp.org/rec/conf/irps/GlukhovMBLZOPWI22URL#891015Alexander GrillV. JohnJakob MichlA. BeckersErik BuryStanislav TyaginovBertrand ParvaisAdrian Vaisman ChasinTibor GrasserMichael WaltlBen KaczerBogdan GovoreanuTemperature Dependent Mismatch and Variability in a Cryo-CMOS Array with 30k Transistors.IRPS102022Conference and Workshop Papersclosedconf/irps/GrillJMBBTPCGWK2210.1109/IRPS48227.2022.9764594https://doi.org/10.1109/IRPS48227.2022.9764594https://dblp.org/rec/conf/irps/GrillJMBBTPCGWK22URL#891016Joycelyn HaiFlorian CachoA. DivayEstelle Lauga-LarrozeJean-Daniel ArnouldJeremie ForestVincent KnopikXavier GarrosComprehensive Analysis of RF Hot-Carrier Reliability Sensitivity and Design Explorations for 28GHz Power Amplifier Applications.IRPS42022Conference and Workshop Papersclosedconf/irps/HaiCDLAFKG2210.1109/IRPS48227.2022.9764535https://doi.org/10.1109/IRPS48227.2022.9764535https://dblp.org/rec/conf/irps/HaiCDLAFKG22URL#891017M. HamidK. O'ConnellJ. BielickJ. BennettE. CampbellA. AlfoqahaNumerical Simulation and Characterization of PCB Warpage.IRPS16-12022Conference and Workshop Papersclosedconf/irps/HamidOBBCA2210.1109/IRPS48227.2022.9764416https://doi.org/10.1109/IRPS48227.2022.9764416https://dblp.org/rec/conf/irps/HamidOBBCA22URL#891018William HarrisAllen GuMasako TeradaPutting AI to Work: A Practical and Simple Application to Improve 3D X-ray FA.IRPS102022Conference and Workshop Papersclosedconf/irps/HarrisGT2210.1109/IRPS48227.2022.9764574https://doi.org/10.1109/IRPS48227.2022.9764574https://dblp.org/rec/conf/irps/HarrisGT22URL#891019M. HauserP. Srinivasan 0002A. VallettR. KrishnasamyFernando GuarinDave BrochuV. PhamByoung MinParasitic Drain Series Resistance Effects on Non-conducting Hot Carrier Reliability.IRPS52022Conference and Workshop Papersclosedconf/irps/HauserSVKGBPM2210.1109/IRPS48227.2022.9764575https://doi.org/10.1109/IRPS48227.2022.9764575https://dblp.org/rec/conf/irps/HauserSVKGBPM22URL#891020Alexander HirlerUlrich AbeleinM. BüttnerRicarda FischbachGöran JerkeAndreas KrinkeS. SimonMission Profile Clustering Using a Universal Quantile Criterion.IRPS1-92022Conference and Workshop Papersclosedconf/irps/HirlerABFJKS2210.1109/IRPS48227.2022.9764542https://doi.org/10.1109/IRPS48227.2022.9764542https://dblp.org/rec/conf/irps/HirlerABFJKS22URL#891021Kuan-Ting HoDaniel Monteiro Diniz ReisKarla HillerDefect-controlled Resistance Degradation of Sputtered Lead Zirconate Titanate Thin Films.IRPS102022Conference and Workshop Papersclosedconf/irps/HoRH2210.1109/IRPS48227.2022.9764566https://doi.org/10.1109/IRPS48227.2022.9764566https://dblp.org/rec/conf/irps/HoRH22URL#891022Lin HouEmmanuel CheryKristof CroesDavide TiernoSoon Aik ChewYangyin ChenPeter RakbinEric BeyneReliability Investigation of W2W Hybrid Bonding Interface: Breakdown Voltage and Leakage Mechanism.IRPS42022Conference and Workshop Papersclosedconf/irps/HouCCTCCRB2210.1109/IRPS48227.2022.9764478https://doi.org/10.1109/IRPS48227.2022.9764478https://dblp.org/rec/conf/irps/HouCCTCCRB22URL#891023K.-Y. HsiangC.-Y. LiaoY.-Y. LinZ.-F. LouC.-Y. LinJ.-Y. LeeF.-S. ChangZ.-X. LiH.-C. TsengC.-C. WangW.-C. RayT.-H. HouT.-C. ChenC.-S. ChangMin-Hung LeeCorrelation between Access Polarization and High Endurance (~ 1012 cycling) of Ferroelectric and Anti-Ferroelectric HfZrO2.IRPS9-12022Conference and Workshop Papersclosedconf/irps/HsiangLLLLLCLTW2210.1109/IRPS48227.2022.9764533https://doi.org/10.1109/IRPS48227.2022.9764533https://dblp.org/rec/conf/irps/HsiangLLLLLCLTW22URL#891024Ping-Yi HsiehArtemisia TsiaraBarry J. O'SullivanDidit YudistiraMarina BaryshnikovaGuido GroesenekenBernardette KunertMarianna PantouvakiJoris Van CampenhoutIngrid De WolfWafer-Level Aging of InGaAs/GaAs Nano-Ridge p-i-n Diodes Monolithically Integrated on Silicon.IRPS92022Conference and Workshop Papersclosedconf/irps/HsiehTOYBGKPCW2210.1109/IRPS48227.2022.9764597https://doi.org/10.1109/IRPS48227.2022.9764597https://dblp.org/rec/conf/irps/HsiehTOYBGKPCW22URL#891025Shudong HuangSrivatsan ParthasarathyYuanzhong Paul ZhouJean-Jacques HajjarElyse RosenbaumA High Voltage Tolerant Supply Clamp for ESD Protection in a 45-nm SOI Technology.IRPS52022Conference and Workshop Papersclosedconf/irps/HuangPZHR2210.1109/IRPS48227.2022.9764514https://doi.org/10.1109/IRPS48227.2022.9764514https://dblp.org/rec/conf/irps/HuangPZHR22URL#891026Vincent HuardFrancois JacquetSouhir MhiraLionel JureOlivier MontfortMathieu LouvatL. ZaiaF. BertrandE. AcaciaO. CaffinH. BelhadjO. DurandNils ExibardVincent BonnetA. CharvierPaolo BernardiRiccardo CantoroRuntime Test Solution for Adaptive Aging Compensation and Fail Operational Safety mode.IRPS82022Conference and Workshop Papersclosedconf/irps/HuardJMJMLZBACB2210.1109/IRPS48227.2022.9764590https://doi.org/10.1109/IRPS48227.2022.9764590https://dblp.org/rec/conf/irps/HuardJMJMLZBACB22URL#891027Tahmida IslamJunkyu KimChris H. KimDavid TippleMichael NelsonRobert JinAnis JarrarA Calibration-Free Synthesizable Odometer Featuring Automatic Frequency Dead Zone Escape and Start-up Glitch Removal.IRPS2-12022Conference and Workshop Papersclosedconf/irps/IslamKKTNJJ2210.1109/IRPS48227.2022.9764518https://doi.org/10.1109/IRPS48227.2022.9764518https://dblp.org/rec/conf/irps/IslamKKTNJJ22URL#891028Zheng KeSachin GoyalSolomon ArputharajWendy Wee Yee LauTan Tam LynLim Dau FattPandurangan MadhavanChandrasekar VenkataramaniPre-O2 treatment for LNA gate oxide leakage improvement.IRPS39-12022Conference and Workshop Papersclosedconf/irps/KeGALLFMV2210.1109/IRPS48227.2022.9764537https://doi.org/10.1109/IRPS48227.2022.9764537https://dblp.org/rec/conf/irps/KeGALLFMV22URL#891029Sourabh KhandelwalD. BaviASM-ESD - A comprehensive physics-based compact model for ESD Diodes.IRPS52022Conference and Workshop Papersclosedconf/irps/KhandelwalB2210.1109/IRPS48227.2022.9764453https://doi.org/10.1109/IRPS48227.2022.9764453https://dblp.org/rec/conf/irps/KhandelwalB22URL#891030Seongkyung KimUkjin JungSeungjin ChooKihyun ChoiTae-Jin ChungShin-Young ChungEuncheol LeeJuhun ParkDeokhan BaeMyungyoon UmMiddle-of-the-Line Reliability Characterization of Recessed-Diffusion-Contact Adopted sub-5nm Logic Technology.IRPS112022Conference and Workshop Papersclosedconf/irps/KimJCCCCLPBU2210.1109/IRPS48227.2022.9764599https://doi.org/10.1109/IRPS48227.2022.9764599https://dblp.org/rec/conf/irps/KimJCCCCLPBU22URL#891031Tsunenobu KimotoKeita TachikiA. IijimaMitsuaki KanekoPerformance Improvement and Reliability Physics in SiC MOSFETs.IRPS52022Conference and Workshop Papersclosedconf/irps/KimotoTIK2210.1109/IRPS48227.2022.9764560https://doi.org/10.1109/IRPS48227.2022.9764560https://dblp.org/rec/conf/irps/KimotoTIK22URL#891032Rishabh KishoreKavita VishwakarmaArnab DattaEffect of Non-identical Annealing on the Breakdown Characteristics of Sputtered IGZO Films.IRPS24-12022Conference and Workshop Papersclosedconf/irps/KishoreVD2210.1109/IRPS48227.2022.9764429https://doi.org/10.1109/IRPS48227.2022.9764429https://dblp.org/rec/conf/irps/KishoreVD22URL#891033Theresia KnoblochYury Yu. IllarionovTibor GrasserFinding Suitable Gate Insulators for Reliable 2D FETs.IRPS22022Conference and Workshop Papersclosedconf/irps/KnoblochIG2210.1109/IRPS48227.2022.9764499https://doi.org/10.1109/IRPS48227.2022.9764499https://dblp.org/rec/conf/irps/KnoblochIG22URL#891034Masaharu KobayashiMonolithic 3D Integration of Oxide Semiconductor FETs and Memory Devices for AI Acceleration (Invited).IRPS1-62022Conference and Workshop Papersclosedconf/irps/Kobayashi2210.1109/IRPS48227.2022.9764534https://doi.org/10.1109/IRPS48227.2022.9764534https://dblp.org/rec/conf/irps/Kobayashi22URL#891035Ivana Kovacevic-BadstuebnerSalvatore RaceThomas ZiemannShweta TiwariUlrike GrossnerElena MengottiEnea BiandaJoni P. A. JormanainenPower Cycling Reliability of SiC MOSFETs in Discrete and Module Packages.IRPS102022Conference and Workshop Papersclosedconf/irps/Kovacevic-Badstuebner2210.1109/IRPS48227.2022.9764498https://doi.org/10.1109/IRPS48227.2022.9764498https://dblp.org/rec/conf/irps/Kovacevic-Badstuebner22URL#891036Joseph P. KozakQihao SongJingcun LiuRuizhe Zhang 0003Qiang LiWataru SaitoYuhao ZhangAccelerating the Recovery of p-Gate GaN HEMTs after Overvoltage Stresses.IRPS22-12022Conference and Workshop Papersclosedconf/irps/KozakSLZLSZ2210.1109/IRPS48227.2022.9764463https://doi.org/10.1109/IRPS48227.2022.9764463https://dblp.org/rec/conf/irps/KozakSLZLSZ22URL#891037Armen KteyanValeriy SukharevY. YiChris H. KimNovel methodology for temperature-aware electromigration assessment in on-chip power grid: simulations and experimental validation (Invited).IRPS82022Conference and Workshop Papersclosedconf/irps/KteyanSYK2210.1109/IRPS48227.2022.9764415https://doi.org/10.1109/IRPS48227.2022.9764415https://dblp.org/rec/conf/irps/KteyanSYK22URL#891038Sushil KumarDhairya Singh AryaManu GargPushpapraj SinghAdhesion-Limit in Refractory Transition Metal (Mo) Contact Relay Operation at 300 °C - Avoiding Overestimation for Modern ICs.IRPS17-12022Conference and Workshop Papersclosedconf/irps/KumarAGS2210.1109/IRPS48227.2022.9764557https://doi.org/10.1109/IRPS48227.2022.9764557https://dblp.org/rec/conf/irps/KumarAGS22URL#891039Evelyn LandmanAlex BurlakC. Nir SeverMarc HutnerApplying Universal Chip Telemetry to Detect Latent Defects and Aging in Advanced Electronics.IRPS38-12022Conference and Workshop Papersclosedconf/irps/LandmanBSH2210.1109/IRPS48227.2022.9764449https://doi.org/10.1109/IRPS48227.2022.9764449https://dblp.org/rec/conf/irps/LandmanBSH22URL#891040Jian-Hsing LeeYeh-Jen HuangLi-Yang HongLi-Fan ChenYeh-Ning JouShin-Cheng LinWalter WohlmuthChih-Cherng LiaoChing-Ho LiShoa-Chang HuangKe-Horng ChenIncorporation of a Simple ESD Circuit in a 650V E-Mode GaN HEMT for All-Terminal ESD Protection.IRPS22022Conference and Workshop Papersclosedconf/irps/LeeHHCJLWLLHC2210.1109/IRPS48227.2022.9764596https://doi.org/10.1109/IRPS48227.2022.9764596https://dblp.org/rec/conf/irps/LeeHHCJLWLLHC22URL#891041Ethan S. LeeJungwoo JohDong-Seup LeeJesús A. del AlamoImpact of Gate Offset on PBTI of p-GaN Gate HEMTs.IRPS21-12022Conference and Workshop Papersclosedconf/irps/LeeJLA2210.1109/IRPS48227.2022.9764442https://doi.org/10.1109/IRPS48227.2022.9764442https://dblp.org/rec/conf/irps/LeeJLA22URL#891042Kookjin LeeBen KaczerAnastasiia KruvMario GonzalezGeert EnemanOguzhan O. OkudurAlexander GrillJacopo FrancoAndrea ViciRobin DegraeveIngrid De WolfSignificant Enhancement of HCD and TDDB in CMOS FETs by Mechanical Stress.IRPS102022Conference and Workshop Papersclosedconf/irps/LeeKKGEOGFVDW2210.1109/IRPS48227.2022.9764540https://doi.org/10.1109/IRPS48227.2022.9764540https://dblp.org/rec/conf/irps/LeeKKGEOGFVDW22URL#891043Hyeokjae LeeSanggi KoHo-Joon SuhGina JeongJung-Han YeoHye-Min ParkHee-Kyeong KimJong-Kwan KimSung S. ChungYoungboo KimJisun ParkHyungsoon ShinProgressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound HBT for RF, Mobile, and Automotive Applications.IRPS102022Conference and Workshop Papersclosedconf/irps/LeeKSJYPKKCKPS2210.1109/IRPS48227.2022.9764410https://doi.org/10.1109/IRPS48227.2022.9764410https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22URL#891044Nam-Hyun LeeS. LeeS.-H. KimG.-J. KimK. W. LeeY. S. LeeY. C. HwangH. S. KimS. PaeTransistor Reliability Characterization for Advanced DRAM with HK+MG & EUV process technology.IRPS62022Conference and Workshop Papersclosedconf/irps/LeeLKKLLHKP2210.1109/IRPS48227.2022.9764439https://doi.org/10.1109/IRPS48227.2022.9764439https://dblp.org/rec/conf/irps/LeeLKKLLHKP22URL#891045Juwon LeeJunho SeoJeonghun NamYongLae KimKi-Whan SongJai Hyuk SongWoo Young ChoiElectric Field Impact on Lateral Charge Diffusivity in Charge Trapping 3D NAND Flash Memory.IRPS29-12022Conference and Workshop Papersclosedconf/irps/LeeSNKSSC2210.1109/IRPS48227.2022.9764447https://doi.org/10.1109/IRPS48227.2022.9764447https://dblp.org/rec/conf/irps/LeeSNKSSC22URL#891046Nicola LepriArtem GlukhovDaniele IelminiMitigating read-program variation and IR drop by circuit architecture in RRAM-based neural network accelerators.IRPS32022Conference and Workshop Papersclosedconf/irps/LepriGI2210.1109/IRPS48227.2022.9764486https://doi.org/10.1109/IRPS48227.2022.9764486https://dblp.org/rec/conf/irps/LepriGI22URL#891047Camille LeurquinWilliam VandendaeleAby-Gaël VieyRomain GwozieckiRené EscoffierR. SalotG. DespesseFerdinando IucolanoRoberto ModicaA. ConstantNovel High Voltage Bias Temperature Instabilities (HV-BTI) setup to monitor RON/VTH drift on GaN-on-Si E-mode MOSc-HEMTs under drain voltage.IRPS102022Conference and Workshop Papersclosedconf/irps/LeurquinVVGESDI2210.1109/IRPS48227.2022.9764482https://doi.org/10.1109/IRPS48227.2022.9764482https://dblp.org/rec/conf/irps/LeurquinVVGESDI22URL#891048M. H. LinC. I. LinY. C. WangAaron WangRedundancy Effect on Electromigration Failure Time in Power Grid Networks.IRPS1-72022Conference and Workshop Papersclosedconf/irps/LinLWW2210.1109/IRPS48227.2022.9764495https://doi.org/10.1109/IRPS48227.2022.9764495https://dblp.org/rec/conf/irps/LinLWW22URL#891049Jian LiuNathaniel CarelsNathaniel PeacheyCharacterization and Analysis of RF Switches in SOI Technology for ESD Protection.IRPS13-12022Conference and Workshop Papersclosedconf/irps/LiuCP2210.1109/IRPS48227.2022.9764421https://doi.org/10.1109/IRPS48227.2022.9764421https://dblp.org/rec/conf/irps/LiuCP22URL#891050Wen LiuDimitris P. IoannouJohnatan KantarovskyByoung MinTanya NigamRobust Off-State TDDB Reliability of n-LDMOS.IRPS26-12022Conference and Workshop Papersclosedconf/irps/LiuIKMN2210.1109/IRPS48227.2022.9764573https://doi.org/10.1109/IRPS48227.2022.9764573https://dblp.org/rec/conf/irps/LiuIKMN22URL#891051Shou-En LiuJian LiDeepak NayakAmit MaratheKaushik BalamukundhanVishal GosaviAjaykumar PrajapatiBaha KilicMengzhi PangArpit MittalReliability Qualification Challenges of SOCs in Advanced CMOS Process Nodes (Invited).IRPS82022Conference and Workshop Papersclosedconf/irps/LiuLNMBGPKPM2210.1109/IRPS48227.2022.9764426https://doi.org/10.1109/IRPS48227.2022.9764426https://dblp.org/rec/conf/irps/LiuLNMBGPKPM22URL#891052Yong LiuPengpeng RenDa WangLongda ZhouZhigang JiJunhua LiuRunsheng WangRu HuangNew Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology.IRPS62022Conference and Workshop Papersclosedconf/irps/LiuRWZJLWH2210.1109/IRPS48227.2022.9764508https://doi.org/10.1109/IRPS48227.2022.9764508https://dblp.org/rec/conf/irps/LiuRWZJLWH22URL#891053Y. H. LiuY. S. YangT. C. ZhanM. HuZ. J. LiuW. LinA. C. LiuY. C. HsuAn Abnormal Negative Temperature Dependence of Erasestate Vt Retention Shift in 3-D NAND Flash Memories.IRPS30-12022Conference and Workshop Papersclosedconf/irps/LiuYZHLLLH2210.1109/IRPS48227.2022.9764444https://doi.org/10.1109/IRPS48227.2022.9764444https://dblp.org/rec/conf/irps/LiuYZHLLLH22URL#891054Wen MaTung Thanh HoangBrian HoskinsMatthew W. DanielsJabez J. McClellandYutong GaoGina C. AdamMartin Lueker-BodenEffect of OTS Selector Reliabilities on NVM Crossbar-based Neuromorphic Training.IRPS35-12022Conference and Workshop Papersclosedconf/irps/MaHHDMGAL2210.1109/IRPS48227.2022.9764456https://doi.org/10.1109/IRPS48227.2022.9764456https://dblp.org/rec/conf/irps/MaHHDMGAL22URL#891055Bikram Kishore MahajanYen-Pu ChenMuhammad Ashraful AlamDhanoop VargheseSrikanth KrishnanVijay ReddyA Critical Examination of the TCAD Modeling of Hot Carrier Degradation for LDMOS Transistors.IRPS102022Conference and Workshop Papersclosedconf/irps/MahajanCAVKR2210.1109/IRPS48227.2022.9764435https://doi.org/10.1109/IRPS48227.2022.9764435https://dblp.org/rec/conf/irps/MahajanCAVKR22URL#891056Bikram Kishore MahajanYen-Pu ChenUlisses Alberto Heredia RiveraRahim RahimiMuhammad Ashraful AlamCorrelated Effects of Radiation and Hot Carrier Degradation on the Performance of LDMOS Transistors.IRPS52-12022Conference and Workshop Papersclosedconf/irps/MahajanCRRA2210.1109/IRPS48227.2022.9764450https://doi.org/10.1109/IRPS48227.2022.9764450https://dblp.org/rec/conf/irps/MahajanCRRA22URL#891057Md Iqbal MahmudRakesh RanjanKi-Don LeePavitra Ramadevi PerepaCaleb Dongkyun KwonSeungjin ChooKihyun ChoiReverse Body Bias Dependence of HCI Reliability in Advanced FinFET.IRPS58-12022Conference and Workshop Papersclosedconf/irps/MahmudRLPKCC2210.1109/IRPS48227.2022.9764601https://doi.org/10.1109/IRPS48227.2022.9764601https://dblp.org/rec/conf/irps/MahmudRLPKCC22URL#891058Md. Asaduz Zaman MamunMuhammad Ashraful AlamReduced Relative Humidity (RH) Enhances the Corrosion-Limited Lifetime of Self-Heated IC: Peck's equation Generalized.IRPS82022Conference and Workshop Papersclosedconf/irps/MamunA2210.1109/IRPS48227.2022.9764577https://doi.org/10.1109/IRPS48227.2022.9764577https://dblp.org/rec/conf/irps/MamunA22URL#891059Stephen A. ManciniSeung Yup JangZeyu ChenDongyoung KimJustin LynchYafei LiuBalaji RaghothamacharMinseok KangAnant AgarwalNadeemullah MahadikRobert StahlbushMichael DudleyWoongje SungStatic Performance and Reliability of 4H-SiC Diodes with P+ Regions Formed by Various Profiles and Temperatures.IRPS62-12022Conference and Workshop Papersclosedconf/irps/ManciniJCKLLRKA2210.1109/IRPS48227.2022.9764538https://doi.org/10.1109/IRPS48227.2022.9764538https://dblp.org/rec/conf/irps/ManciniJCKLLRKA22URL#891060S. J. MaoJ. B. LiuY. WangW. B. LiuY. P. HuH. W. CuiR. ZhangH. C. LiuZ. X. WangN. ZhouY. K. ZhangHong YangZhenhua WuYongliang LiJ. F. GaoAnyun DuJunfeng LiJun LuoWenwu Wang 0006Huaxiang YinInvestigation on Contacts Thermal Stability for 3D Sequential Integration.IRPS37-12022Conference and Workshop Papersclosedconf/irps/MaoLWLHCZLWZZYW2210.1109/IRPS48227.2022.9764471https://doi.org/10.1109/IRPS48227.2022.9764471https://dblp.org/rec/conf/irps/MaoLWLHCZLWZZYW22URL#891061Riccardo MarianiKarl GrebRecent Advances and Trends on Automotive Safety : (invited).IRPS72022Conference and Workshop Papersclosedconf/irps/MarianiG2210.1109/IRPS48227.2022.9764484https://doi.org/10.1109/IRPS48227.2022.9764484https://dblp.org/rec/conf/irps/MarianiG22URL#891062Andreas Martin 0002Plasma processing induced charging damage (PID) assessment with appropriate fWLR stress methods ensuring expected MOS reliability and lifetimes for automotive products (Invited).IRPS52022Conference and Workshop Papersclosedconf/irps/Martin2210.1109/IRPS48227.2022.9764556https://doi.org/10.1109/IRPS48227.2022.9764556https://dblp.org/rec/conf/irps/Martin22URL#891063Fabrizio MasinCarlo De SantiArno StockmanJ. LettensF. GeenenGaudenzio MeneghessoEnrico ZanoniPeter MoensMatteo MeneghiniAnalysis and Modeling of Vth Shift in 4H-SiC MOSFETs at Room and Cryogenic-Temperature.IRPS52022Conference and Workshop Papersclosedconf/irps/MasinSSLGMZMM2210.1109/IRPS48227.2022.9764558https://doi.org/10.1109/IRPS48227.2022.9764558https://dblp.org/rec/conf/irps/MasinSSLGMZMM22URL#891064Jian MengInjune YeoWonbo ShimLi Yang 0009Deliang FanShimeng YuJae-Sun SeoSparse and Robust RRAM-based Efficient In-memory Computing for DNN Inference.IRPS32022Conference and Workshop Papersclosedconf/irps/MengYSYFYS2210.1109/IRPS48227.2022.9764480https://doi.org/10.1109/IRPS48227.2022.9764480https://dblp.org/rec/conf/irps/MengYSYFYS22URL#891065Hiroshi MikiM. SagawaY. MoriT. MurataK. KinoshitaK. AsakaT. OdaAccurate screening of defective oxide on SiC using consecutive multiple threshold-voltage measurements.IRPS82022Conference and Workshop Papersclosedconf/irps/MikiSMMKAO2210.1109/IRPS48227.2022.9764583https://doi.org/10.1109/IRPS48227.2022.9764583https://dblp.org/rec/conf/irps/MikiSMMKAO22URL#891066M. MillesimoBenoit BakerootMatteo BorgaNiels PosthumaStefaan DecoutereEnrico SangiorgiClaudio FiegnaAndrea Natale TallaricoGate Reliability of p-GaN Power HEMTs Under Pulsed Stress Condition.IRPS102022Conference and Workshop Papersclosedconf/irps/MillesimoBBPDSF2210.1109/IRPS48227.2022.9764592https://doi.org/10.1109/IRPS48227.2022.9764592https://dblp.org/rec/conf/irps/MillesimoBBPDSF22URL#891067Nicola ModoloCarlo De SantiAndrea MinettoLuca SayadiSebastien SicreGerhard PrechtlGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniModeling Hot-Electron Trapping in GaN-based HEMTs.IRPS102022Conference and Workshop Papersclosedconf/irps/ModoloSMSSPMZM2210.1109/IRPS48227.2022.9764414https://doi.org/10.1109/IRPS48227.2022.9764414https://dblp.org/rec/conf/irps/ModoloSMSSPMZM22URL#891068M. MonishmuraliNagothu Karmel KranthiGianluca BoselliMayank ShrivastavaEffect of Source & Drain Side Abutting on the Low Current Filamentation in LDMOS-SCR Devices.IRPS62022Conference and Workshop Papersclosedconf/irps/MonishmuraliKBS2210.1109/IRPS48227.2022.9764606https://doi.org/10.1109/IRPS48227.2022.9764606https://dblp.org/rec/conf/irps/MonishmuraliKBS22URL#891069Makoto NagataExploring Fault Injection Attack Resilience of Secure IC Chips : Invited Paper.IRPS112022Conference and Workshop Papersclosedconf/irps/Nagata2210.1109/IRPS48227.2022.9764485https://doi.org/10.1109/IRPS48227.2022.9764485https://dblp.org/rec/conf/irps/Nagata22URL#891070Vinayak Bharat NaikJ. H. LimKazutaka YamaneJ. KwonBehin-Aein B.N. L. ChungS. K 0001R. ChaoC. ChiangY. HuangL. PuYuichi OtaniSuk Hee JangNivetha BalasankaranWah-Peng NeoT. LingJia Wen TingHongsik YoonJohannes MüllerBert PfefferlingOliver KallenseeThomas MerbethChim Seng SeetJ. WongY. S. YouSteven SossT. H. ChanS. Y. SiahExtended MTJ TDDB Model, and Improved STT-MRAM Reliability With Reduced Circuit and Process Variabilities.IRPS62022Conference and Workshop Papersclosedconf/irps/NaikLYKBCKHCCHP2210.1109/IRPS48227.2022.9764563https://doi.org/10.1109/IRPS48227.2022.9764563https://dblp.org/rec/conf/irps/NaikLYKBCKHCCHP22URL#891071Takato NakanumaAsato SuzukiYu IwakataTakuma KobayashiMitsuru SometaniMitsuo OkamotoTakuji HosoiTakayoshi ShimuraHeiji WatanabeInvestigation of reliability of NO nitrided SiC(1100) MOS devices.IRPS32022Conference and Workshop Papersclosedconf/irps/NakanumaSIKSOHS2210.1109/IRPS48227.2022.9764595https://doi.org/10.1109/IRPS48227.2022.9764595https://dblp.org/rec/conf/irps/NakanumaSIKSOHS22URL#891072Bu-Il NamYoung-Ha ChoiSungki HongKi-Young DongWontaeck JungSang-Won ParkSoon-Yong LeeDooyeun JungByoung-Hee KimEun-Kyoung KimKi-Whan SongJai Hyuk SongWoo Young ChoiNovel Electrical Detection Method for Random Defects on Peripheral Circuits in NAND Flash Memory.IRPS40-12022Conference and Workshop Papersclosedconf/irps/NamCHDJPLJKKSSC2210.1109/IRPS48227.2022.9764437https://doi.org/10.1109/IRPS48227.2022.9764437https://dblp.org/rec/conf/irps/NamCHDJPLJKKSSC22URL#891073Kai Ni 0004Om Prakash 0007Simon ThomannZijian ZhaoShan DengHussam AmrouchSuppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications.IRPS1-82022Conference and Workshop Papersclosedconf/irps/NiPTZDA2210.1109/IRPS48227.2022.9764521https://doi.org/10.1109/IRPS48227.2022.9764521https://dblp.org/rec/conf/irps/NiPTZDA22URL#891074D. NminibapielK. JoshiR. RamamurthyL. PantisanoInanc MericStephen RameyMethod to evaluate off-state breakdown in scaled Tri-gate technologies.IRPS1-62022Conference and Workshop Papersclosedconf/irps/NminibapielJRPM2210.1109/IRPS48227.2022.9764519https://doi.org/10.1109/IRPS48227.2022.9764519https://dblp.org/rec/conf/irps/NminibapielJRPM22URL#891075Barry J. O'SullivanBrecht TruijenVamsi PutchaAlexander GrillAdrian Vaisman ChasinGeert Van den BoschBen KaczerM. N. K. AlamJan Van HoudtModelling ultra-fast threshold voltage instabilities in Hf-based ferroelectrics.IRPS42022Conference and Workshop Papersclosedconf/irps/OSullivanTPGCBK2210.1109/IRPS48227.2022.9764588https://doi.org/10.1109/IRPS48227.2022.9764588https://dblp.org/rec/conf/irps/OSullivanTPGCBK22URL#891076Andrea PadovaniMilan PesicFederico NardiValerio MiloLuca LarcherMondol Anik KumarZunaid BatenReliability of Non-Volatile Memory Devices for Neuromorphic Applications: A Modeling Perspective (Invited).IRPS32022Conference and Workshop Papersclosedconf/irps/PadovaniPNMLKB2210.1109/IRPS48227.2022.9764451https://doi.org/10.1109/IRPS48227.2022.9764451https://dblp.org/rec/conf/irps/PadovaniPNMLKB22URL#891077O. Varela PedreiraMelina LofranoHouman ZahedmaneshPhilippe J. RousselMarleen H. van der VeenVeerle SimonsEmmanuel CheryIvan CiofiKris CroesAssessment of critical Co electromigration parameters.IRPS82022Conference and Workshop Papersclosedconf/irps/PedreiraLZRVSCC2210.1109/IRPS48227.2022.9764427https://doi.org/10.1109/IRPS48227.2022.9764427https://dblp.org/rec/conf/irps/PedreiraLZRVSCC22URL#891078Tianfang PengZheng YouAn Analytical Model of Transient Response of MEMS under High-G shock for Reliability Assessment.IRPS41-12022Conference and Workshop Papersclosedconf/irps/PengY2210.1109/IRPS48227.2022.9764430https://doi.org/10.1109/IRPS48227.2022.9764430https://dblp.org/rec/conf/irps/PengY22URL#891079Tianfang PengZheng YouThe Optimal Shape of MEMS Beam Under High-G Shock Based on a Probabilistic Fracture Model.IRPS42-12022Conference and Workshop Papersclosedconf/irps/PengY22a10.1109/IRPS48227.2022.9764507https://doi.org/10.1109/IRPS48227.2022.9764507https://dblp.org/rec/conf/irps/PengY22aURL#891080Milan PesicBastien BeltrandoAndrea PadovaniToshihiko MiyashitaNam-Sung KimLuca LarcherElectron-assisted switching in FeFETs: Memory window dynamics - retention - trapping mechanisms and correlation.IRPS42022Conference and Workshop Papersclosedconf/irps/PesicBPMKL2210.1109/IRPS48227.2022.9764520https://doi.org/10.1109/IRPS48227.2022.9764520https://dblp.org/rec/conf/irps/PesicBPMKL22URL#891081Nicholas J. PieperYoni XiongAlexandra FeeleyDennis R. BallBharat L. BhuvaSingle-Event Latchup Vulnerability at the 7-nm FinFET Node.IRPS52022Conference and Workshop Papersclosedconf/irps/PieperXFBB2210.1109/IRPS48227.2022.9764419https://doi.org/10.1109/IRPS48227.2022.9764419https://dblp.org/rec/conf/irps/PieperXFBB22URL#891082Luca PirroP. LiebscherC. BrantzM. KesslerH. HerzogOlaf ZimmerhacklR. JainE. EbrandK. GebauerMichael OttoAlban ZakaJan HoentschelImpact of Electrical Defects located at Transistor Periphery on Analog and RTN Device Performance.IRPS59-12022Conference and Workshop Papersclosedconf/irps/PirroLBKHZJEGOZ2210.1109/IRPS48227.2022.9764532https://doi.org/10.1109/IRPS48227.2022.9764532https://dblp.org/rec/conf/irps/PirroLBKHZJEGOZ22URL#891083Om Prakash 0007Kai Ni 0004Hussam AmrouchFerroelectric FET Threshold Voltage Optimization for Reliable In-Memory Computing.IRPS1-102022Conference and Workshop Papersclosedconf/irps/PrakashNA2210.1109/IRPS48227.2022.9764551https://doi.org/10.1109/IRPS48227.2022.9764551https://dblp.org/rec/conf/irps/PrakashNA22URL#891084Vamsi PutchaHao YuJacopo FrancoSachin YadavAliReza AlianUthayasankaran PeralaguBertrand ParvaisNadine CollaertInterpretation and modelling of dynamic-RON kinetics in GaN-on-Si HEMTs for mm-wave applications.IRPS112022Conference and Workshop Papersclosedconf/irps/PutchaYFYAPPC2210.1109/IRPS48227.2022.9764522https://doi.org/10.1109/IRPS48227.2022.9764522https://dblp.org/rec/conf/irps/PutchaYFYAPPC22URL#891085Yiming QuYang ShenMingji SuJiwu LuYi ZhaoGHz C-V Characterization Methodology and Its Application for Understanding Polarization Behaviors in High-k Dielectric Films.IRPS32022Conference and Workshop Papersclosedconf/irps/QuSSLZ2210.1109/IRPS48227.2022.9764436https://doi.org/10.1109/IRPS48227.2022.9764436https://dblp.org/rec/conf/irps/QuSSLZ22URL#891086Md RaquibuzzamanMd. Mehedi HasanAleksandar MilenkovicBiswajit RayLayer-to-Layer Endurance Variation of 3D NAND Flash Memory.IRPS1-52022Conference and Workshop Papersclosedconf/irps/RaquibuzzamanHM2210.1109/IRPS48227.2022.9764441https://doi.org/10.1109/IRPS48227.2022.9764441https://dblp.org/rec/conf/irps/RaquibuzzamanHM22URL#891087Aarti RathiAbhisek DixitP. Srinivasan 0002Oscar Huerta-GonzalezFernando GuarinRF Reliability of CMOS-Based Power Amplifier Cell for 5G mmWave Applications.IRPS42022Conference and Workshop Papersclosedconf/irps/RathiDSGG2210.1109/IRPS48227.2022.9764465https://doi.org/10.1109/IRPS48227.2022.9764465https://dblp.org/rec/conf/irps/RathiDSGG22URL#891088Taras RavsherAndrea FantiniAdrian Vaisman ChasinShamin H. SharifiHubert HodyHarold DekkersThomas WittersJan Van HoudtValeri Afanas'evSebastien CouetGouri Sankar KarDegradation mechanism of amorphous IGZO-based bipolar metal-semiconductor-metal selectors.IRPS10-12022Conference and Workshop Papersclosedconf/irps/RavsherFCSHDWHA2210.1109/IRPS48227.2022.9764424https://doi.org/10.1109/IRPS48227.2022.9764424https://dblp.org/rec/conf/irps/RavsherFCSHDWHA22URL#891089Pengpeng RenXinfa ZhangJunhua LiuRunsheng WangZhigang JiRu HuangTowards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications.IRPS32022Conference and Workshop Papersclosedconf/irps/RenZLWJH2210.1109/IRPS48227.2022.9764579https://doi.org/10.1109/IRPS48227.2022.9764579https://dblp.org/rec/conf/irps/RenZLWJH22URL#891090Pablo Saraza-CanflancaHéctor Carrasco-LopezAndrés Santana-AndreoJavier Diaz-FortunyRafael Castro-LópezElisenda RocaFrancisco V. Fernández 0001A Smart SRAM-Cell Array for the Experimental Study of Variability Phenomena in CMOS Technologies.IRPS3-12022Conference and Workshop Papersclosedconf/irps/Saraza-Canflanca2210.1109/IRPS48227.2022.9764587https://doi.org/10.1109/IRPS48227.2022.9764587https://dblp.org/rec/conf/irps/Saraza-Canflanca22URL#891091Stefan SaroiuAlec WolmanLucian CojocarThe Price of Secrecy: How Hiding Internal DRAM Topologies Hurts Rowhammer Defenses.IRPS22022Conference and Workshop Papersclosedconf/irps/SaroiuWC2210.1109/IRPS48227.2022.9764591https://doi.org/10.1109/IRPS48227.2022.9764591https://dblp.org/rec/conf/irps/SaroiuWC22URL#891092Junji SenzakiRyoji KosugiKeiko MasumotoTakeshi MitaniTakeharu KuroiwaHiroshi YamaguchiInfluence of SiC epitaxial wafer quality on yield of 1.2kV SiC-DMOSFETs.IRPS63-12022Conference and Workshop Papersclosedconf/irps/SenzakiKMMKY2210.1109/IRPS48227.2022.9764475https://doi.org/10.1109/IRPS48227.2022.9764475https://dblp.org/rec/conf/irps/SenzakiKMMKY22URL#891093Bhawani ShankarZhengliang BianKe ZengChuanzhe MengRafael Perez MartinezSrabanti ChowdhuryBrendan GunningJack FlickerAndrew BinderJeramy Ray DickersonRobert KaplarStudy of Avalanche Behavior in 3 kV GaN Vertical P-N Diode Under UIS Stress for Edge-termination Optimization.IRPS22022Conference and Workshop Papersclosedconf/irps/ShankarBZMMCGFB2210.1109/IRPS48227.2022.9764525https://doi.org/10.1109/IRPS48227.2022.9764525https://dblp.org/rec/conf/irps/ShankarBZMMCGFB22URL#891094Masato ShiozakiTakashi SatoCharacteristic Degradation of Power MOSFETs by X-Ray Irradiation and Their Recovery.IRPS64-12022Conference and Workshop Papersclosedconf/irps/ShiozakiS2210.1109/IRPS48227.2022.9764536https://doi.org/10.1109/IRPS48227.2022.9764536https://dblp.org/rec/conf/irps/ShiozakiS22URL#891095Qihao SongJoseph P. KozakYunwei MaJingcun LiuRuizhe Zhang 0003Roman VolkovDaniel ShermanKurt V. SmithWataru SaitoYuhao ZhangGaN MIS-HEMTs in Repetitive Overvoltage Switching: Parametric Shift and Recovery.IRPS102022Conference and Workshop Papersclosedconf/irps/SongKMLZVSSSZ2210.1109/IRPS48227.2022.9764548https://doi.org/10.1109/IRPS48227.2022.9764548https://dblp.org/rec/conf/irps/SongKMLZVSSSZ22URL#891096P. Srinivasan 0002Fernando GuarinEnkhbayasgalan GantsogHarish KrishnaswamyArun Natarajan 0001Excellent RF Product HTOL reliability of 5G mmWave beamformer chip fabricated using GF 45RFSOI technologies.IRPS42022Conference and Workshop Papersclosedconf/irps/SrinivasanGGKN2210.1109/IRPS48227.2022.9764477https://doi.org/10.1109/IRPS48227.2022.9764477https://dblp.org/rec/conf/irps/SrinivasanGGKN22URL#891097Robert StahlbushNadeemullah A. MahadikPeter BonannoJake SotoBruce OdekirkWoongje SungAnant K. AgarwalDefects in 4H-SiC epilayers affecting device yield and reliability.IRPS65-12022Conference and Workshop Papersclosedconf/irps/StahlbushMBSOSA2210.1109/IRPS48227.2022.9764473https://doi.org/10.1109/IRPS48227.2022.9764473https://dblp.org/rec/conf/irps/StahlbushMBSOSA22URL#891098Ikuo SudaRyo KishidaKazutoshi KobayashiAn Aging Degradation Suppression Scheme at Constant Performance by Controlling Supply Voltage and Body Bias in a 65 nm Fully-Depleted Silicon-On-Insulator Process.IRPS4-12022Conference and Workshop Papersclosedconf/irps/SudaKK2210.1109/IRPS48227.2022.9764544https://doi.org/10.1109/IRPS48227.2022.9764544https://dblp.org/rec/conf/irps/SudaKK22URL#891099Ayse SünbülTarek AliRaik HoffmannRicardo RevelloYannick RaffelPardeep DuhanDavid LehningerKati KühnelMatthias RudolphSebastian OehlerPhilipp SchrammMalte CzernohorskyKonrad SeidelThomas KämpfeLukas M. EngImpact of Temperature on Reliability of MFIS HZO-based Ferroelectric Tunnel Junctions.IRPS11-12022Conference and Workshop Papersclosedconf/irps/SunbulAHRRDLKRO2210.1109/IRPS48227.2022.9764585https://doi.org/10.1109/IRPS48227.2022.9764585https://dblp.org/rec/conf/irps/SunbulAHRRDLKRO22URL#891100Cheng-Lin SungSheng-Ting FanHang-Ting LueWei-Chen ChenPei-Ying DuTeng-Hao YehKeh-Chung WangChih-Yuan LuFirst Experimental Study of Floating-Body Cell Transient Reliability Characteristics of Both N- and P-Channel Vertical Gate-All-Around Devices with Split-Gate Structures.IRPS72022Conference and Workshop Papersclosedconf/irps/SungFLCDYWL2210.1109/IRPS48227.2022.9764454https://doi.org/10.1109/IRPS48227.2022.9764454https://dblp.org/rec/conf/irps/SungFLCDYWL22URL#891101Ketul B. SutariaMinki ChoAnisur RahmanJihan StandfestRahul SharmaSwaroop Kumar NamalapuriShiv GuptaBahar AjdariRicardo AscázubiBalkaran GillQ&R On-Chip (QROC): A Unified, Oven-less and Scalable Circuit Reliability Platform.IRPS1-62022Conference and Workshop Papersclosedconf/irps/SutariaCRSSNGAA2210.1109/IRPS48227.2022.9764598https://doi.org/10.1109/IRPS48227.2022.9764598https://dblp.org/rec/conf/irps/SutariaCRSSNGAA22URL#891102Munehiro TadaNanoBridge Technology for Novoaltile FPGA and Memory Applications : (Invited).IRPS62022Conference and Workshop Papersclosedconf/irps/Tada2210.1109/IRPS48227.2022.9764546https://doi.org/10.1109/IRPS48227.2022.9764546https://dblp.org/rec/conf/irps/Tada22URL#891103T. L. TanC. W. EngH. XuJ. M. SoonE. EbardMahesh SiddabathulaB. F. PhoongK. H. PohM. PrabhuX.-L. ZhaoJ. M. KooK. ChoG.-W. ZhangA Deeper Understanding of Well Charging Reliability with Circuit Relevant Test Structures.IRPS45-12022Conference and Workshop Papersclosedconf/irps/TanEXSESPPPZKCZ2210.1109/IRPS48227.2022.9764564https://doi.org/10.1109/IRPS48227.2022.9764564https://dblp.org/rec/conf/irps/TanEXSESPPPZKCZ22URL#891104Yutaka TeraoTakuji HosoiTakuma KobayashiTakayoshi ShimuraHeiji WatanabeCharacterization of Electron Traps in Gate Oxide of m-plane SiC MOS Capacitors.IRPS66-12022Conference and Workshop Papersclosedconf/irps/TeraoHKSW2210.1109/IRPS48227.2022.9764433https://doi.org/10.1109/IRPS48227.2022.9764433https://dblp.org/rec/conf/irps/TeraoHKSW22URL#891105Alexander TeverovskyInfant Mortality and Wear-Out Failures in Polymer and MnO2 Tantalum Capacitors.IRPS46-12022Conference and Workshop Papersclosedconf/irps/Teverovsky2210.1109/IRPS48227.2022.9764479https://doi.org/10.1109/IRPS48227.2022.9764479https://dblp.org/rec/conf/irps/Teverovsky22URL#891106R. L. TorrisiSalvatore AdamoMario Santo AlessandrinoCettina BottariBeatrice CarboneM. PalmiscianoElisa VitanzaFailure Analysis of AlGaN/GaN Power HEMTs through an innovative sample preparation approach.IRPS19-12022Conference and Workshop Papersclosedconf/irps/TorrisiAABCPV2210.1109/IRPS48227.2022.9764513https://doi.org/10.1109/IRPS48227.2022.9764513https://dblp.org/rec/conf/irps/TorrisiAABCPV22URL#891107Quan TranRonald GayhardtTin Nguyen 0003Arif ZamanRecent US West Coast Wildfire Disasters: Impact on the Reliability Assessment of Optical Transceivers.IRPS1-42022Conference and Workshop Papersclosedconf/irps/TranGNZ2210.1109/IRPS48227.2022.9764604https://doi.org/10.1109/IRPS48227.2022.9764604https://dblp.org/rec/conf/irps/TranGNZ22URL#891108Brecht TruijenBarry J. O'SullivanMd. Nurul AlamDieter ClaesM. ThesbergPhilippe RousselAdrian Vaisman ChasinGeert Van den BoschBen KaczerJan Van HoudtTrap-polarization interaction during low-field trap characterization on hafnia-based ferroelectric gatestacks.IRPS12-12022Conference and Workshop Papersclosedconf/irps/TruijenOACTRCBK2210.1109/IRPS48227.2022.9764603https://doi.org/10.1109/IRPS48227.2022.9764603https://dblp.org/rec/conf/irps/TruijenOACTRCBK22URL#891109Artemisia TsiaraAlicja LesniewskaPhilippe RousselSrinivasan Ashwyn SrinivasanMathias BercianoMarko SimicicMarianna PantouvakiJoris Van CampenhoutKristof CroesDegradation mechanisms in Germanium Electro-Absorption Modulators.IRPS92022Conference and Workshop Papersclosedconf/irps/TsiaraLRSBSPCC2210.1109/IRPS48227.2022.9764469https://doi.org/10.1109/IRPS48227.2022.9764469https://dblp.org/rec/conf/irps/TsiaraLRSBSPCC22URL#891110Stanislav TyaginovAryan AfzalianAlexander MakarovAlexander GrillMichiel VandemaeleMaksim CherenevMikhail I. VexlerGeert HellingsBen KaczerOn Superior Hot Carrier Robustness of Dynamically-Doped Field-Effect-Transistors.IRPS112022Conference and Workshop Papersclosedconf/irps/TyaginovAMGVCVH2210.1109/IRPS48227.2022.9764568https://doi.org/10.1109/IRPS48227.2022.9764568https://dblp.org/rec/conf/irps/TyaginovAMGVCVH22URL#891111Stanislav TyaginovAlexander MakarovAl-Moatasem Bellah El-SayedAdrian Vaisman ChasinErik BuryMarkus JechMichiel VandemaeleAlexander GrillAn De KeersgieterMikhail I. VexlerGeert EnemanBen KaczerUnderstanding and Modeling Opposite Impacts of Self-Heating on Hot-Carrier Degradation in n- and p-Channel Transistors.IRPS62022Conference and Workshop Papersclosedconf/irps/TyaginovMECBJVG2210.1109/IRPS48227.2022.9764515https://doi.org/10.1109/IRPS48227.2022.9764515https://dblp.org/rec/conf/irps/TyaginovMECBJVG22URL#891112Taiki UemuraByungjin ChungJegon KimHyewon ShimShin-Young ChungBrandon LeeJaehee ChoiShota OhnishiKen MachidaThermal-Neutron SER Mitigation by Cobalt-Contact in 7 nm Bulk-FinFET Technology.IRPS72022Conference and Workshop Papersclosedconf/irps/UemuraCKSCLCOM2210.1109/IRPS48227.2022.9764581https://doi.org/10.1109/IRPS48227.2022.9764581https://dblp.org/rec/conf/irps/UemuraCKSCLCOM22URL#891113Taiki UemuraByungjin ChungJegon KimHyewon ShimShin-Young ChungBrandon LeeJaehee ChoiShota OhnishiKen MachidaAccelerator-Based Thermal-Neutron Beam by Compact and Low-Cost Moderator for Soft-Error Evaluation in Semiconductor Devices.IRPS53-12022Conference and Workshop Papersclosedconf/irps/UemuraCKSCLCOM22a10.1109/IRPS48227.2022.9764438https://doi.org/10.1109/IRPS48227.2022.9764438https://dblp.org/rec/conf/irps/UemuraCKSCLCOM22aURL#891114Michiel VandemaeleBen KaczerStanislav TyaginovErik BuryAdrian Vaisman ChasinJacopo FrancoAlexander MakarovHans MertensGeert HellingsGuido GroesenekenSimulation Comparison of Hot-Carrier Degradation in Nanowire, Nanosheet and Forksheet FETs.IRPS62022Conference and Workshop Papersclosedconf/irps/VandemaeleKTBCF2210.1109/IRPS48227.2022.9764470https://doi.org/10.1109/IRPS48227.2022.9764470https://dblp.org/rec/conf/irps/VandemaeleKTBCF22URL#891115Sara VecchiPaolo PavanFrancesco Maria PuglisiThe Relevance of Trapped Charge for Leakage and Random Telegraph Noise Phenomena.IRPS1-62022Conference and Workshop Papersclosedconf/irps/VecchiPP2210.1109/IRPS48227.2022.9764472https://doi.org/10.1109/IRPS48227.2022.9764472https://dblp.org/rec/conf/irps/VecchiPP22URL#891116Andrea ViciRobin DegraeveJoão Pedro BastosPhilippe RousselIngrid De WolfCombining SILC and BD statistics for low-voltage lifetime projection in HK/MG stacks.IRPS27-12022Conference and Workshop Papersclosedconf/irps/ViciDBRW2210.1109/IRPS48227.2022.9764555https://doi.org/10.1109/IRPS48227.2022.9764555https://dblp.org/rec/conf/irps/ViciDBRW22URL#891117A. ViegasK. FalidasT. AliKati KühnelR. HoffmannClemens MartM. CzernohorskyJ. HeitmannReliability of Ferroelectric and Antiferroelectric Si: HfO2 materials in 3D capacitors by TDDB studies.IRPS47-12022Conference and Workshop Papersclosedconf/irps/ViegasFAKHMCH2210.1109/IRPS48227.2022.9764517https://doi.org/10.1109/IRPS48227.2022.9764517https://dblp.org/rec/conf/irps/ViegasFAKHMCH22URL#891118Takuya WadatsumiKohei KawaiRikuu HasegawaTakuji MikiMakoto NagataKikuo MuramatsuHiromu HasegawaTakuya SawadaTakahito FukushimaHisashi KondoVoltage Surges by Backside ESD Impacts on IC Chip in Flip Chip Packaging.IRPS14-12022Conference and Workshop Papersclosedconf/irps/WadatsumiKHMNMH2210.1109/IRPS48227.2022.9764457https://doi.org/10.1109/IRPS48227.2022.9764457https://dblp.org/rec/conf/irps/WadatsumiKHMNMH22URL#891119Da WangYong LiuPengpeng RenLongda ZhouZhigang JiJunhua LiuRunsheng WangRu HuangCharacterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies.IRPS72022Conference and Workshop Papersclosedconf/irps/WangLRZJLWH2210.1109/IRPS48227.2022.9764561https://doi.org/10.1109/IRPS48227.2022.9764561https://dblp.org/rec/conf/irps/WangLRZJLWH22URL#891120K. WatanabeT. ShimadaK. HiroseH. ShindoD. KobayashiTakaho TanigawaShoji IkedaTakamitsu ShinadaHiroki KoikeTetsuo EndohT. MakinoTakeshi OhshimaDesign and Heavy-Ion Testing of MTJ/CMOS Hybrid LSIs for Space-Grade Soft-Error Reliability.IRPS54-12022Conference and Workshop Papersclosedconf/irps/WatanabeSHSKTIS2210.1109/IRPS48227.2022.9764491https://doi.org/10.1109/IRPS48227.2022.9764491https://dblp.org/rec/conf/irps/WatanabeSHSKTIS22URL#891121Ernest Y. WuRon BolamBaozhen LiTian ShenBarry P. LinderGriselda BonillaMiaomiao Wang 0006Dechao GuoA Flexible and Inherently Self-Consistent Methodology for MOL/BEOL/MIMCAP TDDB Applications with Excessive Variability-Induced Degradation.IRPS22022Conference and Workshop Papersclosedconf/irps/WuBLSLBWG2210.1109/IRPS48227.2022.9764541https://doi.org/10.1109/IRPS48227.2022.9764541https://dblp.org/rec/conf/irps/WuBLSLBWG22URL#891122Ernest Y. WuBaozhen LiQuantum Mechanical Connection of Schottky Emission Process and Its implications on Breakdown Methodology and Conduction Modeling for BEOL Low-k Dielectrics.IRPS102022Conference and Workshop Papersclosedconf/irps/WuL2210.1109/IRPS48227.2022.9764501https://doi.org/10.1109/IRPS48227.2022.9764501https://dblp.org/rec/conf/irps/WuL22URL#891123Yoni XiongAlexandra FeeleyNicholas J. PieperDennis R. BallBalaji NarasimhamJohn BrockmanN. A. DoddsS. A. WenderShi-Jie WenRita FungBharat L. BhuvaSoft Error Characterization of D-FFs at the 5-nm Bulk FinFET Technology for the Terrestrial Environment.IRPS72022Conference and Workshop Papersclosedconf/irps/XiongFPBNBDWWFB2210.1109/IRPS48227.2022.9764523https://doi.org/10.1109/IRPS48227.2022.9764523https://dblp.org/rec/conf/irps/XiongFPBNBDWWFB22URL#891124Chu YanYaru DingYiming QuLiang ZhaoYi ZhaoUniversal Hot Carrier Degradation Model under DC and AC Stresses.IRPS72022Conference and Workshop Papersclosedconf/irps/YanDQZZ2210.1109/IRPS48227.2022.9764580https://doi.org/10.1109/IRPS48227.2022.9764580https://dblp.org/rec/conf/irps/YanDQZZ22URL#891125Kathy Wei YanPo-Yao LinSheng-Liang KuoThermal Challenges for HPC 3DFabricTM Packages and Systems.IRPS42022Conference and Workshop Papersclosedconf/irps/YanLK2210.1109/IRPS48227.2022.9764572https://doi.org/10.1109/IRPS48227.2022.9764572https://dblp.org/rec/conf/irps/YanLK22URL#891126C. H. YangP. S. ChienY. S. ChoW. S. HungA Realistic Modeling Approach To Explain the Physical Mechanism of TDDB For Automotive Grade-Zero Applications.IRPS28-12022Conference and Workshop Papersclosedconf/irps/YangCCH2210.1109/IRPS48227.2022.9764607https://doi.org/10.1109/IRPS48227.2022.9764607https://dblp.org/rec/conf/irps/YangCCH22URL#891127Kwang Sing YewRan Xing OngHin Kiong YapWanbing YiJacquelyn PhangR. ChockalingamJuan Boon TanInsights on Inter-metal Reliability Assessment of High Voltage Interconnects.IRPS49-12022Conference and Workshop Papersclosedconf/irps/YewOYYPCT2210.1109/IRPS48227.2022.9764428https://doi.org/10.1109/IRPS48227.2022.9764428https://dblp.org/rec/conf/irps/YewOYYPCT22URL#891128Houman ZahedmaneshIvan CiofiOdysseas ZografosKristof CroesMustafa BadarogluSystem-Level Simulation of Electromigration in a 3 nm CMOS Power Delivery Network: The Effect of Grid Redundancy, Metallization Stack and Standard-Cell Currents.IRPS1-72022Conference and Workshop Papersclosedconf/irps/ZahedmaneshCZCB2210.1109/IRPS48227.2022.9764511https://doi.org/10.1109/IRPS48227.2022.9764511https://dblp.org/rec/conf/irps/ZahedmaneshCZCB22URL#891129Junjun ZhangFanyu LiuBo Li 0051Yang HuangSiyuan ChenYuchong WangJiajun LuoJing WanSingle Event Induced Crosstalk of Monolithic 3D Circuits Based on a 22 nm FD-SOI Technology.IRPS18-12022Conference and Workshop Papersclosedconf/irps/ZhangLLHCWLW2210.1109/IRPS48227.2022.9764422https://doi.org/10.1109/IRPS48227.2022.9764422https://dblp.org/rec/conf/irps/ZhangLLHCWLW22URL#891130R. ZhangJ. LiuQ. LiS. PidaparthiA. EdwardsC. DrowleyY. ZhangVertical GaN Fin JFET: A Power Device with Short Circuit Robustness at Avalanche Breakdown Voltage.IRPS1-82022Conference and Workshop Papersclosedconf/irps/ZhangLLPEDZ2210.1109/IRPS48227.2022.9764569https://doi.org/10.1109/IRPS48227.2022.9764569https://dblp.org/rec/conf/irps/ZhangLLPEDZ22URL#891131Jeffrey Zhang 0008Antai XuDaniel GitlinA Method of Developing Qualification Plans for Board Products.IRPS82022Conference and Workshop Papersclosedconf/irps/ZhangXG2210.1109/IRPS48227.2022.9764500https://doi.org/10.1109/IRPS48227.2022.9764500https://dblp.org/rec/conf/irps/ZhangXG22URL#891132Yinghong ZhaoKi-Don LeeManisha SharmaJoonah YoonRakesh RanjanMd Iqbal MahmudCaleb Dongkyan KwonMyungsoo YeoPolarity Dependence and Metal Density Impact on Multi-Layer Inter-Level TDDB for High Voltage Application.IRPS1-42022Conference and Workshop Papersclosedconf/irps/ZhaoLSYRMKY2210.1109/IRPS48227.2022.9764461https://doi.org/10.1109/IRPS48227.2022.9764461https://dblp.org/rec/conf/irps/ZhaoLSYRMKY22URL#891133H. ZhengY. S. SunJ. L. HuangImpact of TSV on TDDB Performance of Neighboring FinFET with HK/IL Gate Stacking.IRPS42022Conference and Workshop Papersclosedconf/irps/ZhengSH2210.1109/IRPS48227.2022.9764467https://doi.org/10.1109/IRPS48227.2022.9764467https://dblp.org/rec/conf/irps/ZhengSH22URL#891134Huimei ZhouMiaomiao Wang 0006Ruqiang BaoCurtis DurfeeLiqiao QinJingyun ZhangSiGe Gate-All-around Nanosheet Reliability.IRPS60-12022Conference and Workshop Papersclosedconf/irps/ZhouWBDQZ2210.1109/IRPS48227.2022.9764509https://doi.org/10.1109/IRPS48227.2022.9764509https://dblp.org/rec/conf/irps/ZhouWBDQZ22URL#891135Zhihua ZhuSongyan WangXiaomei FanA Novel Latch-Up-Immune DDSCR Used for 12 V Applications.IRPS15-12022Conference and Workshop Papersclosedconf/irps/ZhuWF2210.1109/IRPS48227.2022.9764527https://doi.org/10.1109/IRPS48227.2022.9764527https://dblp.org/rec/conf/irps/ZhuWF22URL#891136Laura ZunarelliSusanna ReggianiElena GnaniRaj SankaralingamMariano DissegnaGianluca BoselliTCAD Investigation of Power-to-Failure Evaluation for Ultrafast Events in BJT-based ESD Protection Cells.IRPS62022Conference and Workshop Papersclosedconf/irps/ZunarelliRGSDB2210.1109/IRPS48227.2022.9764432https://doi.org/10.1109/IRPS48227.2022.9764432https://dblp.org/rec/conf/irps/ZunarelliRGSDB22URL#891137IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022IRPSIEEE2022Editorshipconf/irps/202210.1109/IRPS48227.2022https://doi.org/10.1109/IRPS48227.2022https://dblp.org/rec/conf/irps/2022URL#955981Hai Jiang 0005Jinju KimKihyun ChoiHyewon ShimHyunchul SagongJunekyun ParkHwasung RheeEuncheol LeeTime Dependent Variability in Advanced FinFET Technology for End-of-Lifetime Reliability Prediction.IRPS1-62021Conference and Workshop Papersclosedconf/irps/0005KCSSPRL2110.1109/IRPS46558.2021.9405129https://doi.org/10.1109/IRPS46558.2021.9405129https://dblp.org/rec/conf/irps/0005KCSSPRL21URL#1358305Eliana AcurioLionel TrojmanBrice De JaegerBenoit BakerootStefaan DecoutereON-state reliability of GaN-on-Si Schottky Barrier Diodes: Si3N4 vs. Al2O3/SiO2 GET dielectric.IRPS1-62021Conference and Workshop Papersclosedconf/irps/AcurioTJBD2110.1109/IRPS46558.2021.9405163https://doi.org/10.1109/IRPS46558.2021.9405163https://dblp.org/rec/conf/irps/AcurioTJBD21URL#1358306Jae-Gyung AhnRhesa NathanaelI-Ru ChenPing-Chin YehJonathan ChangProduct Lifetime Estimation in 7nm with Large data of Failure Rate and Si-Based Thermal Coupling Model.IRPS1-62021Conference and Workshop Papersclosedconf/irps/AhnNCYC2110.1109/IRPS46558.2021.9405193https://doi.org/10.1109/IRPS46558.2021.9405193https://dblp.org/rec/conf/irps/AhnNCYC21URL#1358307Balraj ArunachalamJean-Emmanuel BroquinQuentin RafhayDavid RoyAnne KaminskiSimulation Study of the Origin of Ge High Speed Photodetector Degradation.IRPS1-42021Conference and Workshop Papersclosedconf/irps/ArunachalamBRRK2110.1109/IRPS46558.2021.9405211https://doi.org/10.1109/IRPS46558.2021.9405211https://dblp.org/rec/conf/irps/ArunachalamBRRK21URL#1358308Simon Van BeekSiddharth RaoShreya KunduWoojin KimBarry J. O'SullivanStefan CosemansFarrukh YasinRobert CarpenterSebastien CouetShamin H. SharifiNico JossartDavide CrottiGouri Sankar KarEdge-induced reliability & performance degradation in STT-MRAM: an etch engineering solution.IRPS1-52021Conference and Workshop Papersclosedconf/irps/BeekRKKOCYCCSJC2110.1109/IRPS46558.2021.9405209https://doi.org/10.1109/IRPS46558.2021.9405209https://dblp.org/rec/conf/irps/BeekRKKOCYCCSJC21URL#1358309Judith BerensThomas AichingerA straightforward electrical method to determine screening capability of GOX extrinsics in arbitrary, commercially available SiC MOSFETs.IRPS1-52021Conference and Workshop Papersclosedconf/irps/BerensA2110.1109/IRPS46558.2021.9405152https://doi.org/10.1109/IRPS46558.2021.9405152https://dblp.org/rec/conf/irps/BerensA21URL#1358310Robert L. BruceSyed Ghazi SarwatIrem BoybatCheng-Wei ChengWanki KimS. R. NandakumarCharles MackinTimothy PhilipZuoguang LiuKevin BrewNanbo GongInjo OkPraneet AdusumilliKatie SpoonStefano AmbrogioBenedikt KerstingThomas BohnstinglManuel Le GalloAndrew SimonNing LiIqbal SarafJin-Ping HanLynne M. GignacJohn M. PapaliaTenko YamashitaNicole SaulnierGeoffrey W. BurrHsinyu TsaiAbu SebastianVijay NarayananMatthew BrightSkyMushroom-Type phase change memory with projection liner: An array-level demonstration of conductance drift and noise mitigation.IRPS1-62021Conference and Workshop Papersclosedconf/irps/BruceSBCKNMPLBG2110.1109/IRPS46558.2021.9405191https://doi.org/10.1109/IRPS46558.2021.9405191https://dblp.org/rec/conf/irps/BruceSBCKNMPLBG21URL#1358311Matchima BuddhanoySadman SakibBiswajit RayRuntime Variability Monitor for Data Retention Characteristics of Commercial NAND Flash Memory.IRPS1-52021Conference and Workshop Papersclosedconf/irps/BuddhanoySR2110.1109/IRPS46558.2021.9405143https://doi.org/10.1109/IRPS46558.2021.9405143https://dblp.org/rec/conf/irps/BuddhanoySR21URL#1358312Florian CachoLorena AnghelXavier FederspielMonitoring Setup and Hold Timing Limits.IRPS1-62021Conference and Workshop Papersclosedconf/irps/CachoAF2110.1109/IRPS46558.2021.9405175https://doi.org/10.1109/IRPS46558.2021.9405175https://dblp.org/rec/conf/irps/CachoAF21URL#1358313Yao-Feng ChangIlya KarpovReed HopkinsDavid JanoskyJacob MedeirosBenjamin SherrillJiahan ZhangYifu HuangTanmoy PramanikAlbert B. ChenTony AcostaAbdullah GulerJames A. O'DonnellPedro A. QuinteroNathan StruttOleg GolonzkaChris ConnorJack C. LeeJeffrey HicksEmbedded emerging memory technologies for neuromorphic computing: temperature instability and reliability.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ChangKHJMSZHPCA2110.1109/IRPS46558.2021.9405120https://doi.org/10.1109/IRPS46558.2021.9405120https://dblp.org/rec/conf/irps/ChangKHJMSZHPCA21URL#1358314Hao ChangLongda ZhouHong YangZhigang JiQianqian LiuEddy SimoenHuaxiang YinWenwu Wang 0006Comparative Study on the Energy Distribution of Defects under HCD and NBTI in Short Channel p-FinFETs.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ChangZYJLSYW2110.1109/IRPS46558.2021.9405162https://doi.org/10.1109/IRPS46558.2021.9405162https://dblp.org/rec/conf/irps/ChangZYJLSYW21URL#1358315Neel ChatterjeeJohn Ortega 0002Inanc MericPeng XiaoIlan TsameretMachine Learning On Transistor Aging Data: Test Time Reduction and Modeling for Novel Devices.IRPS1-92021Conference and Workshop Papersclosedconf/irps/ChatterjeeOMXT2110.1109/IRPS46558.2021.9405188https://doi.org/10.1109/IRPS46558.2021.9405188https://dblp.org/rec/conf/irps/ChatterjeeOMXT21URL#1358316Zhwen ChenYoung-Suk KimTadashi FukudaKoji SakuiTakayuki OhbaTatsuji KobayashiTakashi ObaraReliability of Wafer-Level Ultra-Thinning down to 3 µm using 20 nm-Node DRAMs.IRPS1-62021Conference and Workshop Papersclosedconf/irps/ChenKFSOKO2110.1109/IRPS46558.2021.9405125https://doi.org/10.1109/IRPS46558.2021.9405125https://dblp.org/rec/conf/irps/ChenKFSOKO21URL#1358317Chao-Yang ChenJian-Hsing LeeKaruna NidhiTzer-Yaa BinGeeng-Lih LinMing-Dou KerStudy on the Guard Rings for Latchup Prevention between HV-PMOS and LV-PMOS in a 0.15-µm BCD Process.IRPS1-42021Conference and Workshop Papersclosedconf/irps/ChenLNBLK2110.1109/IRPS46558.2021.9405113https://doi.org/10.1109/IRPS46558.2021.9405113https://dblp.org/rec/conf/irps/ChenLNBLK21URL#1358318Francesca ChiocchettaClaudia CalascioneCarlo De SantiChandan SharmaFabiana RampazzoXun ZhengBrian RomanczykMatthew GuidryHaoran LiStacia KellerUmesh K. MishraGaudenzio MeneghessoMatteo MeneghiniEnrico ZanoniRole of the AlGaN Cap Layer on the Trapping Behaviour of N-Polar GaN MISHEMTs.IRPS1-22021Conference and Workshop Papersclosedconf/irps/ChiocchettaCSSR2110.1109/IRPS46558.2021.9405111https://doi.org/10.1109/IRPS46558.2021.9405111https://dblp.org/rec/conf/irps/ChiocchettaCSSR21URL#1358319Nilotpal ChoudhuryTarun SamadderRavi TiwariHuimei ZhouRichard G. SouthwickMiaomiao Wang 0006Souvik MahapatraAnalysis of Sheet Dimension (W, L) Dependence of NBTI in GAA-SNS FETs.IRPS1-82021Conference and Workshop Papersclosedconf/irps/ChoudhurySTZSWM2110.1109/IRPS46558.2021.9405137https://doi.org/10.1109/IRPS46558.2021.9405137https://dblp.org/rec/conf/irps/ChoudhurySTZSWM21URL#1358320Mauro CiappaMarco PocaterraAssessing the pre-breakdown carriers' multiplication in SiC power MOSFETs by soft gamma radiation and its correlation to the Terrestrial Cosmic Rays failure rate data as measured by neutron irradiation.IRPS1-82021Conference and Workshop Papersclosedconf/irps/CiappaP2110.1109/IRPS46558.2021.9405205https://doi.org/10.1109/IRPS46558.2021.9405205https://dblp.org/rec/conf/irps/CiappaP21URL#1358321Marcello CioniAlessandro BertacchiniAlessandro MucciGiovanni VerzellesiPaolo PavanAlessandro ChiniInvestigation on VTH and RON Slow/Fast Drifts in SiC MOSFETs.IRPS1-52021Conference and Workshop Papersclosedconf/irps/CioniBMVPC2110.1109/IRPS46558.2021.9405231https://doi.org/10.1109/IRPS46558.2021.9405231https://dblp.org/rec/conf/irps/CioniBMVPC21URL#1358322John D. CresslerNew Developments in SiGe HBT Reliability for RF Through mmW Circuits.IRPS1-62021Conference and Workshop Papersclosedconf/irps/Cressler2110.1109/IRPS46558.2021.9405171https://doi.org/10.1109/IRPS46558.2021.9405171https://dblp.org/rec/conf/irps/Cressler21URL#1358323Maximilian DammannMartina BaeumlerTobias KemmerHelmer KonstanzerPeter BrücknerSebastian KrauseAndreas GraffMichél Simon-NajasekReliability and Failure Analysis of 100 nm AlGaN/GaN HEMTs under DC and RF Stress.IRPS1-72021Conference and Workshop Papersclosedconf/irps/DammannBKKBKGS2110.1109/IRPS46558.2021.9405227https://doi.org/10.1109/IRPS46558.2021.9405227https://dblp.org/rec/conf/irps/DammannBKKBKGS21URL#1358324Robin DegraeveTaras RavsherShoichi KabuyanagiAndrea FantiniSergiu ClimaDaniele GarbinGouri Sankar KarModeling and spectroscopy of ovonic threshold switching defects.IRPS1-52021Conference and Workshop Papersclosedconf/irps/DegraeveRKFCGK2110.1109/IRPS46558.2021.9405114https://doi.org/10.1109/IRPS46558.2021.9405114https://dblp.org/rec/conf/irps/DegraeveRKFCGK21URL#1358325Tonmoy DharJitesh PoojaryRamesh HarjaniSachin S. SapatnekarAging of Current DACs and its Impact in Equalizer Circuits.IRPS1-62021Conference and Workshop Papersclosedconf/irps/DharPHS2110.1109/IRPS46558.2021.9405160https://doi.org/10.1109/IRPS46558.2021.9405160https://dblp.org/rec/conf/irps/DharPHS21URL#1358326Ning DuanVignesh SubramanianEdgar OlthofPaul EggenkampMichiel van SoestbergenRichard BraspenningMoisture diffusion rate in an ultra-low-k dielectric and its effect on the dielectric reliability.IRPS1-72021Conference and Workshop Papersclosedconf/irps/DuanSOESB2110.1109/IRPS46558.2021.9405157https://doi.org/10.1109/IRPS46558.2021.9405157https://dblp.org/rec/conf/irps/DuanSOESB21URL#1358327Elena FabrisMatteo BorgaNiels PosthumaMing ZhaoBrice De JaegerShuzhen YouStefaan DecoutereMatteo MeneghiniGaudenzio MeneghessoEnrico ZanoniVertical stack reliability of GaN-on-Si buffers for low-voltage applications.IRPS1-82021Conference and Workshop Papersclosedconf/irps/FabrisBPZJYDMMZ2110.1109/IRPS46558.2021.9405097https://doi.org/10.1109/IRPS46558.2021.9405097https://dblp.org/rec/conf/irps/FabrisBPZJYDMMZ21URL#1358328James FarmerWilliam WhiteheadAndrew HallDmitry VekslerGennadi BersukerDavid Z. GaoAl-Moatasem El-SayedThomas DurrantAlexander L. ShlugerThomas RueckesLee ClevelandHarry LuanRahul SenMitigating switching variability in carbon nanotube memristors.IRPS1-42021Conference and Workshop Papersclosedconf/irps/FarmerWHVBGEDSR2110.1109/IRPS46558.2021.9405123https://doi.org/10.1109/IRPS46558.2021.9405123https://dblp.org/rec/conf/irps/FarmerWHVBGEDSR21URL#1358329Xavier FederspielAbdourahmane CamaraAudrey MichardCheikh DioufFlorian CachoHCI Temperature sense effect from 180nm to 28nm nodes.IRPS1-52021Conference and Workshop Papersclosedconf/irps/FederspielCMDC2110.1109/IRPS46558.2021.9405146https://doi.org/10.1109/IRPS46558.2021.9405146https://dblp.org/rec/conf/irps/FederspielCMDC21URL#1358330Alexandra FeeleyYoni XiongBharat L. BhuvaBalaji NarasimhamShi-Ji WenRita FungEffects of Temperature and Supply Voltage on Soft Errors for 7-nm Bulk FinFET Technology.IRPS1-52021Conference and Workshop Papersclosedconf/irps/FeeleyXBNWF2110.1109/IRPS46558.2021.9405124https://doi.org/10.1109/IRPS46558.2021.9405124https://dblp.org/rec/conf/irps/FeeleyXBNWF21URL#1358331Patrick FiorenzaSalvatore AdamoMario Santo AlessandrinoCettina BottariBeatrice CarboneClarice Di MartinoAlfio RussoMario SaggioCarlo VenutoElisa VitanzaEdoardo ZanettiFilippo GiannazzoFabrizio RoccaforteCorrelation between MOSFETs breakdown and 4H-SiC epitaxial defects.IRPS1-62021Conference and Workshop Papersclosedconf/irps/FiorenzaAABCMRS2110.1109/IRPS46558.2021.9405148https://doi.org/10.1109/IRPS46558.2021.9405148https://dblp.org/rec/conf/irps/FiorenzaAABCMRS21URL#1358332Tadeu Mota FrutuosoJose Lugo-AlvarezXavier GarrosLaurent BrunetJoris LacordLouis GerrerMikaël CasséEdoardo CatapanoClaire Fenouillet-BérangerFrançois AndrieuFred GaillardPhilippe FerrariImpact of spacer interface charges on performance and reliability of low temperature transistors for 3D sequential integration.IRPS1-52021Conference and Workshop Papersclosedconf/irps/FrutuosoLGBLGCC2110.1109/IRPS46558.2021.9405107https://doi.org/10.1109/IRPS46558.2021.9405107https://dblp.org/rec/conf/irps/FrutuosoLGBLGCC21URL#1358333Shuntaro FujiiShohei HamadaTatsushi YagiIsao MaruShogo KatsukiToshiro SakamotoAtsushi OkamotoSoichi MoritaTsutomu MiyazakiImpacts of Depth and Lateral Profiles of Fluorine Atoms in Gate Oxide Films on Reliability.IRPS1-52021Conference and Workshop Papersclosedconf/irps/FujiiHYMKSOMM2110.1109/IRPS46558.2021.9405217https://doi.org/10.1109/IRPS46558.2021.9405217https://dblp.org/rec/conf/irps/FujiiHYMKSOMM21URL#1358334Tidjani Garba-SeybouXavier FederspielAlain BravaixFlorian CachoAnalysis of the interactions of HCD under "On" and "Off" state modes for 28nm FDSOI AC RF modelling.IRPS1-52021Conference and Workshop Papersclosedconf/irps/Garba-SeybouFBC2110.1109/IRPS46558.2021.9405214https://doi.org/10.1109/IRPS46558.2021.9405214https://dblp.org/rec/conf/irps/Garba-SeybouFBC21URL#1358335Louis GerrerJacques CluzelFred GaillardXavier GarrosXavier FederspielFlorian CachoDavid Roy 0001E. VincentBTI Arbitrary Stress Patterns Characterization & Machine-Learning optimized CET Maps Simulations.IRPS1-52021Conference and Workshop Papersclosedconf/irps/GerrerCGGFCRV2110.1109/IRPS46558.2021.9405145https://doi.org/10.1109/IRPS46558.2021.9405145https://dblp.org/rec/conf/irps/GerrerCGGFCRV21URL#1358336Tibor GrasserBarry J. O'SullivanBen KaczerJacopo FrancoBernhard StampferMichael WaltlCV Stretch-Out Correction after Bias Temperature Stress: Work-Function Dependence of Donor-/Acceptor-Like Traps, Fixed Charges, and Fast States.IRPS1-62021Conference and Workshop Papersclosedconf/irps/GrasserOKFSW2110.1109/IRPS46558.2021.9405184https://doi.org/10.1109/IRPS46558.2021.9405184https://dblp.org/rec/conf/irps/GrasserOKFSW21URL#1358337Aniket GuptaGovind BajpaiPriyanshi SinghalNavjeet BaggaOm Prakash 0007Shashank BanchhorHussam AmrouchNitanshu ChauhanTraps Based Reliability Barrier on Performance and Revealing Early Ageing in Negative Capacitance FET.IRPS1-62021Conference and Workshop Papersclosedconf/irps/GuptaBSBPBAC2110.1109/IRPS46558.2021.9405185https://doi.org/10.1109/IRPS46558.2021.9405185https://dblp.org/rec/conf/irps/GuptaBSBPBAC21URL#1358338Shinhee HanJunghyuk LeeKiseok SuhKyungtae NamDaeeun JeongSechung OhSohee HwangYongsung JiKilho LeeKangho LeeYoonjong SongYeongki HongGitae JeongReliability of STT-MRAM for various embedded applications.IRPS1-52021Conference and Workshop Papersclosedconf/irps/HanLSNJOHJLLSHJ2110.1109/IRPS46558.2021.9405094https://doi.org/10.1109/IRPS46558.2021.9405094https://dblp.org/rec/conf/irps/HanLSNJOHJLLSHJ21URL#1358339Jin-Woo HanM. MeyyappanJungsik KimSingle Event Hard Error due to Terrestrial Radiation.IRPS1-62021Conference and Workshop Papersclosedconf/irps/HanMK2110.1109/IRPS46558.2021.9405177https://doi.org/10.1109/IRPS46558.2021.9405177https://dblp.org/rec/conf/irps/HanMK21URL#1358340Lixia HanYachen XiangPeng Huang 0004Guihai YuRunze HanXiaoyan LiuJinfeng KangNovel Weight Mapping Method for Reliable NVM based Neural Network.IRPS1-62021Conference and Workshop Papersclosedconf/irps/HanXHYHLK2110.1109/IRPS46558.2021.9405200https://doi.org/10.1109/IRPS46558.2021.9405200https://dblp.org/rec/conf/irps/HanXHYHLK21URL#1358341Jifa HaoYuhang SunAmartya GhoshCharge pumping source-drain current for gate oxide interface trap density in MOSFETs and LDMOS.IRPS1-42021Conference and Workshop Papersclosedconf/irps/HaoSG2110.1109/IRPS46558.2021.9405229https://doi.org/10.1109/IRPS46558.2021.9405229https://dblp.org/rec/conf/irps/HaoSG21URL#1358342Wangxin HeWonbo ShimShihui YinXiaoyu Sun 0001Deliang FanShimeng YuJae-sun SeoCharacterization and Mitigation of Relaxation Effects on Multi-level RRAM based In-Memory Computing.IRPS1-72021Conference and Workshop Papersclosedconf/irps/HeSYSFYS2110.1109/IRPS46558.2021.9405228https://doi.org/10.1109/IRPS46558.2021.9405228https://dblp.org/rec/conf/irps/HeSYSFYS21URL#1358343Gaspard HiblotNouredine RassoulLieve TeugelsKatia DevriendtAdrian Vaisman ChasinMichiel van SettenAttilio BelmonteRomain DelhougneGouri Sankar KarProcess-induced charging damage in IGZO nTFTs.IRPS1-82021Conference and Workshop Papersclosedconf/irps/HiblotRTDCSBDK2110.1109/IRPS46558.2021.9405201https://doi.org/10.1109/IRPS46558.2021.9405201https://dblp.org/rec/conf/irps/HiblotRTDCSBDK21URL#1358344Konner E. K. HoldenGavin D. R. HallMichael Cook 0004Chris KendrickKaitlyn PabstBruce GreenwoodRobin DaughertyJeff P. GambinoDerryl D. J. AllmanDielectric Relaxation, Aging and Recovery in High-K MIM Capacitors.IRPS1-102021Conference and Workshop Papersclosedconf/irps/HoldenHCKPGDGA2110.1109/IRPS46558.2021.9405212https://doi.org/10.1109/IRPS46558.2021.9405212https://dblp.org/rec/conf/irps/HoldenHCKPGDGA21URL#1358345Shudong HuangElyse RosenbaumCompact Model of ESD Diode Suitable for Subnanosecond Switching Transients.IRPS1-72021Conference and Workshop Papersclosedconf/irps/HuangR2110.1109/IRPS46558.2021.9405149https://doi.org/10.1109/IRPS46558.2021.9405149https://dblp.org/rec/conf/irps/HuangR21URL#1358346Shih-che HungShih-Chang ChenPei-Shan ChienYu-Sheng ChoYung-Huei LeeWei-Shuo HungTime-Efficient Characterization of Time-Dependent Gate Oxide Breakdwon Using Tunable Ramp Voltage Stress (TRVS) Method for Automotive Applications.IRPS1-62021Conference and Workshop Papersclosedconf/irps/HungCCCLH2110.1109/IRPS46558.2021.9405144https://doi.org/10.1109/IRPS46558.2021.9405144https://dblp.org/rec/conf/irps/HungCCCLH21URL#1358347Kazunari IshimaruChallenges of Flash Memory for Next Decade.IRPS1-52021Conference and Workshop Papersclosedconf/irps/Ishimaru2110.1109/IRPS46558.2021.9405182https://doi.org/10.1109/IRPS46558.2021.9405182https://dblp.org/rec/conf/irps/Ishimaru21URL#1358348Sumy JoseChunshan YinYu ChenCheong Min HongMehul D. ShroffXiaoling ZhaoFan ZhangAn efficient methodology to evaluate BEOL and MOL TDDB in advanced nodes.IRPS1-42021Conference and Workshop Papersclosedconf/irps/JoseYCHSZZ2110.1109/IRPS46558.2021.9405138https://doi.org/10.1109/IRPS46558.2021.9405138https://dblp.org/rec/conf/irps/JoseYCHSZZ21URL#1358349Sagar Premnath KaralkarVishal GanesanMilova PaulKyong Jin HwangRobert Gauthier 0002Design Optimization of MV-NMOS to Improve Holding Voltage of a 28nm CMOS Technology ESD Power Clamp.IRPS1-52021Conference and Workshop Papersclosedconf/irps/KaralkarGPHG2110.1109/IRPS46558.2021.9405206https://doi.org/10.1109/IRPS46558.2021.9405206https://dblp.org/rec/conf/irps/KaralkarGPHG21URL#1358350Kento KariyaAtsushi YumibaMasaya UkitaToru IkedaMasaaki KoganemaruNoriyuki MasagoStudy of the microstructure and the mechanical properties of Pb-2.5Ag-2Sn solder joint.IRPS1-42021Conference and Workshop Papersclosedconf/irps/KariyaYUIKM2110.1109/IRPS46558.2021.9405219https://doi.org/10.1109/IRPS46558.2021.9405219https://dblp.org/rec/conf/irps/KariyaYUIKM21URL#1358351Rajesh KashyapSilicon lifecycle management (SLM) with in-chip monitoring.IRPS1-42021Conference and Workshop Papersclosedconf/irps/Kashyap2110.1109/IRPS46558.2021.9405187https://doi.org/10.1109/IRPS46558.2021.9405187https://dblp.org/rec/conf/irps/Kashyap21URL#1358352Seung-Mo KimThi Mi Hanh NyugenJungwon OhYongsu LeeSoo Cheol KangHo-In LeeCihyun KimSurajit SomeHyeon Jun HwangByoung Hun LeeDrastic reliability improvement using H2O2/UV treatment of HfO2 for heterogeneous integration.IRPS1-62021Conference and Workshop Papersclosedconf/irps/KimNOLKLKSHL2110.1109/IRPS46558.2021.9405126https://doi.org/10.1109/IRPS46558.2021.9405126https://dblp.org/rec/conf/irps/KimNOLKLKSHL21URL#1358353Gang-Jun KimMoonjee YoonSungHwan KimMyeongkyu EoShinhyung KimTaehun YouNamhyun LeeKijin KimSangwoo PaeThe Characterization of Degradation on various SiON pMOSFET transistors under AC/DC NBTI stress.IRPS1-42021Conference and Workshop Papersclosedconf/irps/KimYKEKYLKP2110.1109/IRPS46558.2021.9405108https://doi.org/10.1109/IRPS46558.2021.9405108https://dblp.org/rec/conf/irps/KimYKEKYLKP21URL#1358354Dongyoung KimNick YunWoongje SungAdvancing Static Performance and Ruggedness of 600 V SiC MOSFETs: Experimental Analysis and Simulation Study.IRPS1-42021Conference and Workshop Papersclosedconf/irps/KimYS2110.1109/IRPS46558.2021.9405109https://doi.org/10.1109/IRPS46558.2021.9405109https://dblp.org/rec/conf/irps/KimYS21URL#1358355Ryo KishidaIkuo SudaKazutoshi KobayashiBias Temperature Instability Depending on Body Bias through Buried Oxide (BOX) Layer in a 65 nm Fully-Depleted Silicon-On-Insulator Process.IRPS1-62021Conference and Workshop Papersclosedconf/irps/KishidaSK2110.1109/IRPS46558.2021.9405121https://doi.org/10.1109/IRPS46558.2021.9405121https://dblp.org/rec/conf/irps/KishidaSK21URL#1358356Mauro J. KobrinskyRahim KasimBack End Of Line opportunities and reliability challenges for future technology nodes.IRPS1-22021Conference and Workshop Papersclosedconf/irps/KobrinskyK2110.1109/IRPS46558.2021.9405099https://doi.org/10.1109/IRPS46558.2021.9405099https://dblp.org/rec/conf/irps/KobrinskyK21URL#1358357Dimple KocharTarun SamadderSubhadeep Mukhopadhyay 0003Souvik MahapatraModeling of HKMG Stack Process Impact on Gate Leakage, SILC and PBTI.IRPS1-72021Conference and Workshop Papersclosedconf/irps/KocharSMM2110.1109/IRPS46558.2021.9405154https://doi.org/10.1109/IRPS46558.2021.9405154https://dblp.org/rec/conf/irps/KocharSMM21URL#1358358Joseph P. KozakQihao SongRuizhe Zhang 0003Jingcun LiuYuhao ZhangRobustness of GaN Gate Injection Transistors under Repetitive Surge Energy and Overvoltage.IRPS1-52021Conference and Workshop Papersclosedconf/irps/KozakS0LZ2110.1109/IRPS46558.2021.9405173https://doi.org/10.1109/IRPS46558.2021.9405173https://dblp.org/rec/conf/irps/KozakS0LZ21URL#1358359Gokul KrishnanJingbo SunJubin HazraXiaocong DuMaximilian LiehrZheng Li 0020Karsten BeckmannRajiv V. JoshiNathaniel C. CadyYu Cao 0001Robust RRAM-based In-Memory Computing in Light of Model Stability.IRPS1-52021Conference and Workshop Papersclosedconf/irps/KrishnanSHDL0BJ2110.1109/IRPS46558.2021.9405092https://doi.org/10.1109/IRPS46558.2021.9405092https://dblp.org/rec/conf/irps/KrishnanSHDL0BJ21URL#1358360Anastasiia KruvSean R. C. McMitchellSergiu ClimaOguzhan O. OkudurNicolo RonchiGeert Van den BoschMario GonzalezIngrid De WolfJan Van HoudtImpact of mechanical strain on wakeup of HfO2 ferroelectric memory.IRPS1-62021Conference and Workshop Papersclosedconf/irps/KruvMCORBGWH2110.1109/IRPS46558.2021.9405159https://doi.org/10.1109/IRPS46558.2021.9405159https://dblp.org/rec/conf/irps/KruvMCORBGWH21URL#1358361Satyam Kumar 0003Tarun SamadderKaransingh ThakorUma SharmaSouvik MahapatraStochastic and Deterministic Modeling Frameworks for Time Kinetics of Gate Insulator Traps During and After Hot Carrier Stress in MOSFETs.IRPS1-52021Conference and Workshop Papersclosedconf/irps/KumarSTSM2110.1109/IRPS46558.2021.9405155https://doi.org/10.1109/IRPS46558.2021.9405155https://dblp.org/rec/conf/irps/KumarSTSM21URL#1358362Tomohiro KuyamaKeiichiro UrabeKoji EriguchiEvaluation methodology for assessment of dielectric degradation and breakdown dynamics using time-dependent impedance spectroscopy (TDIS).IRPS1-72021Conference and Workshop Papersclosedconf/irps/KuyamaUE2110.1109/IRPS46558.2021.9405190https://doi.org/10.1109/IRPS46558.2021.9405190https://dblp.org/rec/conf/irps/KuyamaUE21URL#1358363Giusy LamaMathieu BernardNicolas BernierGuillaume BourgeoisEmmanuel NolotNiccolo CastellaniJulien GarrioneMarie Claire CyrilleGabriele NavarroEtienne NowakMultilevel Programming Reliability in Si-doped GeSbTe for Storage Class Memory.IRPS1-62021Conference and Workshop Papersclosedconf/irps/LamaBBBNCGCNN2110.1109/IRPS46558.2021.9405116https://doi.org/10.1109/IRPS46558.2021.9405116https://dblp.org/rec/conf/irps/LamaBBBNCGCNN21URL#1358364Jean-Marie LauensteinMegan C. CaseyRay L. LadburyHak S. KimAnthony M. PhanAlyson D. TopperSpace Radiation Effects on SiC Power Device Reliability.IRPS1-82021Conference and Workshop Papersclosedconf/irps/LauensteinCLKPT2110.1109/IRPS46558.2021.9405180https://doi.org/10.1109/IRPS46558.2021.9405180https://dblp.org/rec/conf/irps/LauensteinCLKPT21URL#1358365Moon Soo LeeInhak BaickMin KimSeo Hyun KwonMyeong Soo YeoHwasung RheeEuncheol LeeChip to Package Interaction Risk Assessment of FCBGA Devices using FEA Simulation, Meta-Modeling and Multi-Objective Genetic Algorithm Optimization Technique.IRPS1-62021Conference and Workshop Papersclosedconf/irps/LeeBKKYRL2110.1109/IRPS46558.2021.9405133https://doi.org/10.1109/IRPS46558.2021.9405133https://dblp.org/rec/conf/irps/LeeBKKYRL21URL#1358366Yung-Huei LeeP. J. LiaoVincent HouDawei HehChih-Hung NienWen-Hsien KuoGary T. ChenShao-Ming YuYu-Sheng ChenJau-Yi WuXinyu BaoCarlos H. DiazComposition Segregation of Ge-Rich GST and Its Effect on Reliability.IRPS1-62021Conference and Workshop Papersclosedconf/irps/LeeLHHNKCYCWBD2110.1109/IRPS46558.2021.9405168https://doi.org/10.1109/IRPS46558.2021.9405168https://dblp.org/rec/conf/irps/LeeLHHNKCYCWBD21URL#1358367Tsung-En LeeKasidit ToprasertpongMitsuru TakenakaShinichi TakagiCharacterization of Slow Traps in SiGe MOS Interfaces by TiN/Y2O3 Gate Stacks.IRPS1-42021Conference and Workshop Papersclosedconf/irps/LeeTTT2110.1109/IRPS46558.2021.9405189https://doi.org/10.1109/IRPS46558.2021.9405189https://dblp.org/rec/conf/irps/LeeTTT21URL#1358368Alicja LesniewskaOlalla Varela PedreiraMelina LofranoGayle MurdochMarleen H. van der VeenAnish DangolNaoto HoriguchiZsolt TökeiKris CroesReliability of a DME Ru Semidamascene scheme with 16 nm wide Airgaps.IRPS1-62021Conference and Workshop Papersclosedconf/irps/LesniewskaPLMVD2110.1109/IRPS46558.2021.9405192https://doi.org/10.1109/IRPS46558.2021.9405192https://dblp.org/rec/conf/irps/LesniewskaPLMVD21URL#1358369Shy-Jay LinYen-Lin HuangMingYaun SongChien-Ming LeeFen XueGuan-Long ChenShan-Yi YangYao-Jen ChangI-Jung WangYu-Chen HsinYi-Hui SuJeng-Hua WeiChi-Feng PaiShan X. WangCarlos H. DiazChallenges toward Low-Power SOT-MRAM.IRPS1-72021Conference and Workshop Papersclosedconf/irps/LinHSLXCYCWHSWP2110.1109/IRPS46558.2021.9405127https://doi.org/10.1109/IRPS46558.2021.9405127https://dblp.org/rec/conf/irps/LinHSLXCYCWHSWP21URL#1358370Wei LiuYaru DingLiang ZhaoYi ZhaoNanosecond-scale and self-heating free characterization of advanced CMOS transistors utilizing wave reflection.IRPS1-52021Conference and Workshop Papersclosedconf/irps/LiuDZZ2110.1109/IRPS46558.2021.9405218https://doi.org/10.1109/IRPS46558.2021.9405218https://dblp.org/rec/conf/irps/LiuDZZ21URL#1358371Joel Minguet LopezLucas HudeleyLaurent GrenouilletDiego Alfaro RobayoJury SandriniGabriele NavarroMathieu BernardCatherine CarabasseDamien DeleruyelleNiccolo CastellaniMarc BocquetJean-Michel PortalEtienne NowakGabriel MolasElucidating 1S1R operation to reduce the read voltage margin variability by stack and programming conditions optimization.IRPS1-62021Conference and Workshop Papersclosedconf/irps/LopezHGRSNBCDCB2110.1109/IRPS46558.2021.9405195https://doi.org/10.1109/IRPS46558.2021.9405195https://dblp.org/rec/conf/irps/LopezHGRSNBCDCB21URL#1358372Bikram Kishore MahajanYen-Pu ChenDhanoop VargheseVijay ReddySrikanth KrishnanMuhammad Ashraful AlamQuantifying Region-Specific Hot Carrier Degradation in LDMOS Transistors Using a Novel Charge Pumping Technique.IRPS1-62021Conference and Workshop Papersclosedconf/irps/MahajanCVRKA2110.1109/IRPS46558.2021.9405224https://doi.org/10.1109/IRPS46558.2021.9405224https://dblp.org/rec/conf/irps/MahajanCVRKA21URL#1358373Bruna MazzaSalvatore PatanéFrancesco CordianoMassimiliano GilibertoGiovanni RennaAndrea SeverinoEdoardo ZanettiMassimo BoscagliaGiovanni FrancoEffect of interface and bulk charges on the breakdown of nitrided gate oxide on 4H-SiC.IRPS1-42021Conference and Workshop Papersclosedconf/irps/MazzaPCGRSZBF2110.1109/IRPS46558.2021.9405176https://doi.org/10.1109/IRPS46558.2021.9405176https://dblp.org/rec/conf/irps/MazzaPCGRSZBF21URL#1358374Tim McDonaldStephanie Watts ButlerProgress and Current Topics of JEDEC JC-70.1 Power GaN Device Quality and Reliability Standards Activity: Or: What is the Avalanche capability of your GaN Transistor?IRPS1-62021Conference and Workshop Papersclosedconf/irps/McDonaldB2110.1109/IRPS46558.2021.9405225https://doi.org/10.1109/IRPS46558.2021.9405225https://dblp.org/rec/conf/irps/McDonaldB21URL#1358375Ooi MichaelLoo Tung LunKoay Eng KeongMethodology to improve Safety Critical SoC based platform: a case study.IRPS1-42021Conference and Workshop Papersclosedconf/irps/MichaelLK2110.1109/IRPS46558.2021.9405223https://doi.org/10.1109/IRPS46558.2021.9405223https://dblp.org/rec/conf/irps/MichaelLK21URL#1358376Valerio MiloFrancesco AnzaloneCristian ZambelliEduardo PérezMamathamba Kalishettyhalli MahadevaiahOscar Gonzalez OssorioPiero OlivoChristian WengerDaniele IelminiOptimized programming algorithms for multilevel RRAM in hardware neural networks.IRPS1-62021Conference and Workshop Papersclosedconf/irps/MiloAZPMOOWI2110.1109/IRPS46558.2021.9405119https://doi.org/10.1109/IRPS46558.2021.9405119https://dblp.org/rec/conf/irps/MiloAZPMOOWI21URL#1358377Subrat MishraPieter WeckxOdysseas ZografosJi-Yung LinAlessio SpessotFrancky CatthoorOverhead Reduction with Optimal Margining Using A Reliability Aware Design Paradigm.IRPS1-72021Conference and Workshop Papersclosedconf/irps/MishraWZLSC2110.1109/IRPS46558.2021.9405198https://doi.org/10.1109/IRPS46558.2021.9405198https://dblp.org/rec/conf/irps/MishraWZLSC21URL#1358378Nicola ModoloAndrea MinettoCarlo De SantiLuca SayadiSebastien SicreGerhard PrechtlGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniA Generalized Approach to Determine the Switching Reliability of GaN HEMTs on-Wafer Level.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ModoloMSSSPMZM2110.1109/IRPS46558.2021.9405142https://doi.org/10.1109/IRPS46558.2021.9405142https://dblp.org/rec/conf/irps/ModoloMSSSPMZM21URL#1358379M. MonishmuraliMayank ShrivastavaPeculiar Current Instabilities & Failure Mechanism in Vertically Stacked Nanosheet ggN-FET.IRPS1-52021Conference and Workshop Papersclosedconf/irps/MonishmuraliS2110.1109/IRPS46558.2021.9405147https://doi.org/10.1109/IRPS46558.2021.9405147https://dblp.org/rec/conf/irps/MonishmuraliS21URL#1358380M. MonishmuraliMayank ShrivastavaA Novel High Voltage Drain Extended FinFET SCR for SoC Applications.IRPS1-42021Conference and Workshop Papersclosedconf/irps/MonishmuraliS21a10.1109/IRPS46558.2021.9405194https://doi.org/10.1109/IRPS46558.2021.9405194https://dblp.org/rec/conf/irps/MonishmuraliS21aURL#1358381Yasuyuki MorishitaSatoshi MaedaCharacterization of NMOS-based ESD Protection for Wide-range Pulse Immunity.IRPS1-42021Conference and Workshop Papersclosedconf/irps/MorishitaM2110.1109/IRPS46558.2021.9405117https://doi.org/10.1109/IRPS46558.2021.9405117https://dblp.org/rec/conf/irps/MorishitaM21URL#1358382Halid MulaosmanovicPatrick D. LomenzoUwe SchroederStefan SlesazeckThomas MikolajickBenjamin MaxReliability aspects of ferroelectric hafnium oxide for application in non-volatile memories.IRPS1-62021Conference and Workshop Papersclosedconf/irps/MulaosmanovicLS2110.1109/IRPS46558.2021.9405215https://doi.org/10.1109/IRPS46558.2021.9405215https://dblp.org/rec/conf/irps/MulaosmanovicLS21URL#1358383Balaji NarasimhamVikas ChaudharyMike SmithLiming TsauDennis R. BallBharat L. BhuvaScaling Trends in the Soft Error Rate of SRAMs from Planar to 5-nm FinFET.IRPS1-52021Conference and Workshop Papersclosedconf/irps/NarasimhamCSTBB2110.1109/IRPS46558.2021.9405216https://doi.org/10.1109/IRPS46558.2021.9405216https://dblp.org/rec/conf/irps/NarasimhamCSTBB21URL#1358384Jairo NevarezAnthony OlmedoRachel WilliamsPolina PechnikovaGate Driver Protection Methods for SiC MOSFET Short Circuit Testing.IRPS1-42021Conference and Workshop Papersclosedconf/irps/NevarezOWP2110.1109/IRPS46558.2021.9405170https://doi.org/10.1109/IRPS46558.2021.9405170https://dblp.org/rec/conf/irps/NevarezOWP21URL#1358385Thomas NeyerMartin DomeijHrishikesh DasSwapna SunkariIs there a perfect SiC MosFETs Device on an imperfect crystal?IRPS1-62021Conference and Workshop Papersclosedconf/irps/NeyerDDS2110.1109/IRPS46558.2021.9405098https://doi.org/10.1109/IRPS46558.2021.9405098https://dblp.org/rec/conf/irps/NeyerDDS21URL#1358386John OsenbachReliability of optoelectronic module An Introduction.IRPS1-92021Conference and Workshop Papersclosedconf/irps/Osenbach2110.1109/IRPS46558.2021.9405213https://doi.org/10.1109/IRPS46558.2021.9405213https://dblp.org/rec/conf/irps/Osenbach21URL#1358387Allison T. OsmansonMohsen TajediniYi Ram KimHossein MadanipourChoong-Un KimBradley GlasscockMuhammad KhanMechanisms of Contact Formation and Electromigration Reliability in Wirebond Packages.IRPS1-62021Conference and Workshop Papersclosedconf/irps/OsmansonKMKGK2110.1109/IRPS46558.2021.9405131https://doi.org/10.1109/IRPS46558.2021.9405131https://dblp.org/rec/conf/irps/OsmansonKMKGK21URL#1358388Yan OuyangSuhui YangDandan YinXiang HuangZhiqiang WangShengwei YangKun HanZhongyi XiaExcellent Reliability of Xtacking™ Bonding Interface.IRPS1-62021Conference and Workshop Papersclosedconf/irps/OuyangYYHWYHX2110.1109/IRPS46558.2021.9405115https://doi.org/10.1109/IRPS46558.2021.9405115https://dblp.org/rec/conf/irps/OuyangYYHWYHX21URL#1358389Shanmuganathan PalanisamyThomas BaslerJosef LutzCesare KünzelLarissa Wehrhahn-KilianRudolf ElpeltInvestigation of the bipolar degradation of SiC MOSFET body diodes and the influence of current density.IRPS1-62021Conference and Workshop Papersclosedconf/irps/PalanisamyBLKWE2110.1109/IRPS46558.2021.9405183https://doi.org/10.1109/IRPS46558.2021.9405183https://dblp.org/rec/conf/irps/PalanisamyBLKWE21URL#1358390James PalmerGalor ZhangJustin R. WeberCheyun LinChristopher PeriniRahim KasimIntrinsic Reliability of BEOL interlayer dielectric.IRPS1-22021Conference and Workshop Papersclosedconf/irps/PalmerZWLPK2110.1109/IRPS46558.2021.9405089https://doi.org/10.1109/IRPS46558.2021.9405089https://dblp.org/rec/conf/irps/PalmerZWLPK21URL#1358391Gyusung ParkHanzhao YuMinsu KimChris H. KimAn All BTI (N-PBTI, N-NBTI, P-PBTI, P-NBTI) Odometer based on a Dual Power Rail Ring Oscillator Array.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ParkYKK2110.1109/IRPS46558.2021.9405181https://doi.org/10.1109/IRPS46558.2021.9405181https://dblp.org/rec/conf/irps/ParkYKK21URL#1358392Giacomo PedrettiElia AmbrosiDaniele IelminiConductance variations and their impact on the precision of in-memory computing with resistive switching memory (RRAM).IRPS1-82021Conference and Workshop Papersclosedconf/irps/PedrettiAI2110.1109/IRPS46558.2021.9405130https://doi.org/10.1109/IRPS46558.2021.9405130https://dblp.org/rec/conf/irps/PedrettiAI21URL#1358393Milan PesicBastien BeltrandoAndrea PadovaniShruba GangopadhyayMuthukumar KaliappanMichael HavertyMarco A. VillenaEnrico PiccininiMatteo BertocchiTony ChiangLuca LarcherJack StrandAlexander L. ShlugerVariability sources and reliability of 3D - FeFETs.IRPS1-72021Conference and Workshop Papersclosedconf/irps/PesicBPGKHVPBCL2110.1109/IRPS46558.2021.9405118https://doi.org/10.1109/IRPS46558.2021.9405118https://dblp.org/rec/conf/irps/PesicBPGKHVPBCL21URL#1358394Luca PirroAarthi JayakumarOlaf ZimmerhacklDieter LippRalf IllgenArmin MuehlhoffRonny PfuetznerAlban ZakaMichael OttoJan HoentschelYannick RaffelKonrad SeidelRicardo OlivoComparison of Analog and Noise Performance between Buried Channel versus Surface Devices in HKMG I/O Devices.IRPS1-42021Conference and Workshop Papersclosedconf/irps/PirroJZLIMPZOHR2110.1109/IRPS46558.2021.9405122https://doi.org/10.1109/IRPS46558.2021.9405122https://dblp.org/rec/conf/irps/PirroJZLIMPZOHR21URL#1358395Vamsi PutchaLiang ChengAliReza AlianMing ZhaoHai LuBertrand ParvaisNiamh WaldronDimitri LintenNadine CollaertOn the impact of buffer and GaN-channel thickness on current dispersion for GaN-on-Si RF/mmWave devices.IRPS1-82021Conference and Workshop Papersclosedconf/irps/PutchaCAZLPWLC2110.1109/IRPS46558.2021.9405139https://doi.org/10.1109/IRPS46558.2021.9405139https://dblp.org/rec/conf/irps/PutchaCAZLPWLC21URL#1358396Salvatore RaceThomas ZiemannShweta TiwariIvana Kovacevic-BadstuebnerUlrike GrossnerAccuracy of Thermal Analysis for SiC Power Devices.IRPS1-52021Conference and Workshop Papersclosedconf/irps/RaceZTKG2110.1109/IRPS46558.2021.9405203https://doi.org/10.1109/IRPS46558.2021.9405203https://dblp.org/rec/conf/irps/RaceZTKG21URL#1358397Rakesh RanjanKi-Don LeeMd Iqbal MahmudMohammad Shahriar RahmanPavitra Ramadevi PerepaCharles Briscoe LaRowCaleb Dongkyun KwonMaihan NguyenMinhyo KangAshish Kumar JhaAhmed ShariqShamas Musthafa UmmerSusannah Laure PraterHyunchul SagongHwaSung RheeSystematic Study of Process Impact on FinFET Reliability.IRPS1-52021Conference and Workshop Papersclosedconf/irps/RanjanLMRPLKNKJ2110.1109/IRPS46558.2021.9405134https://doi.org/10.1109/IRPS46558.2021.9405134https://dblp.org/rec/conf/irps/RanjanLMRPLKNKJ21URL#1358398Aarti RathiP. Srinivasan 0002Fernando GuarinAbhisek DixitLarge Signal RF Reliability of 45-nm RFSOI Power Amplifier Cell for Wi-Fi6 Applications.IRPS1-62021Conference and Workshop Papersclosedconf/irps/RathiSGD2110.1109/IRPS46558.2021.9405158https://doi.org/10.1109/IRPS46558.2021.9405158https://dblp.org/rec/conf/irps/RathiSGD21URL#1358399Juan Bautista RoldánDavid MaldonadoFrancisco J. AlonsoAndrés M. RoldánFei HuiYuanyuan ShiFrancisco Jiménez-MolinosAna M. AguileraMario LanzaTime series modeling of the cycle-to-cycle variability in h-BN based memristors.IRPS1-52021Conference and Workshop Papersclosedconf/irps/RoldanMARHSJAL2110.1109/IRPS46558.2021.9405100https://doi.org/10.1109/IRPS46558.2021.9405100https://dblp.org/rec/conf/irps/RoldanMARHSJAL21URL#1358400Gerhard RzepaMarkus KarnerOskar BaumgartnerGeorg StrofFranz SchanovskyFerdinand MitterbauerChristian KernstockHui-Wen KarnerPieter WeckxGeert HellingsDieter ClaesZhicheng WuYang XiangThomas ChiarellaBertrand ParvaisJérôme MitardJacopo FrancoBen KaczerDimitri LintenZlatan StanojevicReliability and Variability-Aware DTCO Flow: Demonstration of Projections to N3 FinFET and Nanosheet Technologies.IRPS1-62021Conference and Workshop Papersclosedconf/irps/RzepaKBSSMKKWHC2110.1109/IRPS46558.2021.9405172https://doi.org/10.1109/IRPS46558.2021.9405172https://dblp.org/rec/conf/irps/RzepaKBSSMKKWHC21URL#1358401Sayeef S. SalahuddinUltrathin Ferroelectricity and Its Application in Advanced Logic and Memory Devices.IRPS1-42021Conference and Workshop Papersclosedconf/irps/Salahuddin2110.1109/IRPS46558.2021.9405186https://doi.org/10.1109/IRPS46558.2021.9405186https://dblp.org/rec/conf/irps/Salahuddin21URL#1358402Paul SalmenMaximilian W. FeilKatja WaschneckHans ReisingerGerald RescherThomas AichingerA new test procedure to realistically estimate end-of-life electrical parameter stability of SiC MOSFETs in switching operation.IRPS1-72021Conference and Workshop Papersclosedconf/irps/SalmenFWRRA2110.1109/IRPS46558.2021.9405207https://doi.org/10.1109/IRPS46558.2021.9405207https://dblp.org/rec/conf/irps/SalmenFWRRA21URL#1358403Tarun SamadderSatyam Kumar 0003Karansingh ThakorSouvik MahapatraA Theoretical Framework for Trap Generation and Passivation in NAND Flash Tunnel Oxide During Distributed Cycling and Retention Bake.IRPS1-62021Conference and Workshop Papersclosedconf/irps/SamadderKTM2110.1109/IRPS46558.2021.9405104https://doi.org/10.1109/IRPS46558.2021.9405104https://dblp.org/rec/conf/irps/SamadderKTM21URL#1358404Guido T. SasseVignesh SubramanianLjubo RadicAging models for n- and p-type LDMOS covering low, medium and high VGS operation.IRPS1-62021Conference and Workshop Papersclosedconf/irps/SasseSR2110.1109/IRPS46558.2021.9405135https://doi.org/10.1109/IRPS46558.2021.9405135https://dblp.org/rec/conf/irps/SasseSR21URL#1358405John ScarpullaGuidelines for Space Qualification of GaN HEMTs and MMICs.IRPS1-112021Conference and Workshop Papersclosedconf/irps/Scarpulla2110.1109/IRPS46558.2021.9405226https://doi.org/10.1109/IRPS46558.2021.9405226https://dblp.org/rec/conf/irps/Scarpulla21URL#1358406Emma R. SchmidgallFlavio GriggioGeorge H. ThielSherman E. PeekBhargav YelamanchiliArchit ShahVaibhav GuptaJohn A. SellersMichael C. HamiltonDavid B. TuckermanSamuel d'HollosyReliability Characterization of a Flexible Interconnect for Cryogenic and Quantum Applications.IRPS1-72021Conference and Workshop Papersclosedconf/irps/SchmidgallGTPYS2110.1109/IRPS46558.2021.9405090https://doi.org/10.1109/IRPS46558.2021.9405090https://dblp.org/rec/conf/irps/SchmidgallGTPYS21URL#1358407Milan ShahYujie ZhouDavid LaFonteeseElyse RosenbaumConsiderations in High Voltage Lateral ESD PNP Design.IRPS1-102021Conference and Workshop Papersclosedconf/irps/ShahZLR2110.1109/IRPS46558.2021.9405093https://doi.org/10.1109/IRPS46558.2021.9405093https://dblp.org/rec/conf/irps/ShahZLR21URL#1358408Lieyi ShengIhsiu HoUniversal Impacts of Local Electric Fields on the Projected Dielectric Lifetime.IRPS1-62021Conference and Workshop Papersclosedconf/irps/ShengH2110.1109/IRPS46558.2021.9405112https://doi.org/10.1109/IRPS46558.2021.9405112https://dblp.org/rec/conf/irps/ShengH21URL#1358409Wonbo ShimJian MengXiaochen PengJae-sun SeoShimeng YuImpact of Multilevel Retention Characteristics on RRAM based DNN Inference Engine.IRPS1-42021Conference and Workshop Papersclosedconf/irps/ShimMPSY2110.1109/IRPS46558.2021.9405210https://doi.org/10.1109/IRPS46558.2021.9405210https://dblp.org/rec/conf/irps/ShimMPSY21URL#1358410Paul M. SolomonDouglas M. BishopTeodor K. TodorovSimon DawesDamon B. FarmerMatthew CopelKo-Tao LeeJohn CollinsJohn RozenTransient Investigation of Metal-oxide based, CMOS-compatible ECRAM.IRPS1-72021Conference and Workshop Papersclosedconf/irps/SolomonBTDFCLCR2110.1109/IRPS46558.2021.9405156https://doi.org/10.1109/IRPS46558.2021.9405156https://dblp.org/rec/conf/irps/SolomonBTDFCLCR21URL#1358411Donghee SonGang-Jun KimJongkyun KimNam-Hyun LeeKijin KimSangwoo PaeEffect of High Temperature on Recovery of Hot Carrier Degradation of scaled nMOSFETs in DRAM.IRPS1-42021Conference and Workshop Papersclosedconf/irps/SonKKLKP2110.1109/IRPS46558.2021.9405153https://doi.org/10.1109/IRPS46558.2021.9405153https://dblp.org/rec/conf/irps/SonKKLKP21URL#1358412Qihao SongRuizhe Zhang 0003Joseph P. KozakJingcun LiuQiang LiYuhao ZhangFailure Mechanisms of Cascode GaN HEMTs Under Overvoltage and Surge Energy Events.IRPS1-72021Conference and Workshop Papersclosedconf/irps/Song0KLLZ2110.1109/IRPS46558.2021.9405208https://doi.org/10.1109/IRPS46558.2021.9405208https://dblp.org/rec/conf/irps/Song0KLLZ21URL#1358413P. Srinivasan 0002Fernando GuarinCMOS RF reliability for 5G mmWave applications - Challenges and Opportunities.IRPS1-72021Conference and Workshop Papersclosedconf/irps/SrinivasanG2110.1109/IRPS46558.2021.9405202https://doi.org/10.1109/IRPS46558.2021.9405202https://dblp.org/rec/conf/irps/SrinivasanG21URL#1358414P. Srinivasan 0002Fernando GuarinShafi SyedJoris Angelo Sundaram JeromeWen LiuSameer H. JainDimitri LedererStephen MossPaul ColestockAnirban BandyopadhyayNed CahoonByoung MinMartin GallRF Reliability of SOI-based Power Amplifier FETs for mmWave 5G Applications.IRPS1-62021Conference and Workshop Papersclosedconf/irps/SrinivasanGSJLJ2110.1109/IRPS46558.2021.9405220https://doi.org/10.1109/IRPS46558.2021.9405220https://dblp.org/rec/conf/irps/SrinivasanGSJLJ21URL#1358415P. Srinivasan 0002Da SongDavid RoseMaurice LaCroixArunima DasguptaBack gate bias effect and layout dependence on Random Telegraph Noise in FDSOI technologies.IRPS1-42021Conference and Workshop Papersclosedconf/irps/SrinivasanSRLD2110.1109/IRPS46558.2021.9405199https://doi.org/10.1109/IRPS46558.2021.9405199https://dblp.org/rec/conf/irps/SrinivasanSRLD21URL#1358416Kevin A. StewartKeiichi KimuraMatt RingKoen NoldusPat HulseRick C. JeromeAkihiro HasegawaJeff P. GambinoDavid T. PriceAssessing SiCr resistor drift for automotive analog ICs.IRPS1-42021Conference and Workshop Papersclosedconf/irps/StewartKRNHJHGP2110.1109/IRPS46558.2021.9405169https://doi.org/10.1109/IRPS46558.2021.9405169https://dblp.org/rec/conf/irps/StewartKRNHJHGP21URL#1358417Angeliki TataridouGérard GhibaudoChristoforos G. Theodorou"Pinch to Detect": A Method to Increase the Number of Detectable RTN Traps in Nano-scale MOSFETs.IRPS1-52021Conference and Workshop Papersclosedconf/irps/TataridouGT2110.1109/IRPS46558.2021.9405102https://doi.org/10.1109/IRPS46558.2021.9405102https://dblp.org/rec/conf/irps/TataridouGT21URL#1358418Yasunori TatenoKen NakataAkio OyaKeita MatsudaYoshihide KomatsuShinichi OsadaMasafumi HirataShigeyuki IshiyamaToshiki YodaAtsushi NittaTomio SatoInvestigation of the Failure Mechanism of InGaAs-pHEMT under High Temperature Operating Life Tests.IRPS1-42021Conference and Workshop Papersclosedconf/irps/TatenoNOMKOHIYN2110.1109/IRPS46558.2021.9405166https://doi.org/10.1109/IRPS46558.2021.9405166https://dblp.org/rec/conf/irps/TatenoNOMKOHIYN21URL#1358419Davide TiernoKristof CroesArjun AjaykumarSiva RameshGeert Van den BoschMaarten RosmeulenReliability of Mo as Word Line Metal in 3D NAND.IRPS1-62021Conference and Workshop Papersclosedconf/irps/TiernoCARBR2110.1109/IRPS46558.2021.9405132https://doi.org/10.1109/IRPS46558.2021.9405132https://dblp.org/rec/conf/irps/TiernoCARBR21URL#1358420Maria Toledano-LuquePeter C. PaliwodaMohamed NourThomas KaueraufByoung MinGermain BossuMahesh SiddabathulaTanya NigamOff-state TDDB in FinFET Technology and its Implication for Safe Operating Area.IRPS1-62021Conference and Workshop Papersclosedconf/irps/Toledano-LuqueP2110.1109/IRPS46558.2021.9405151https://doi.org/10.1109/IRPS46558.2021.9405151https://dblp.org/rec/conf/irps/Toledano-LuqueP21URL#1358421Chloe TroussierJohan BourgeatBlaise JacquierEmmanuel SimeuJean-Daniel ArnouldEstimation of Oxide Breakdown Voltage During a CDM Event Using Very Fast Transmission Line Pulse and Transmission Line Pulse Measurements.IRPS1-52021Conference and Workshop Papersclosedconf/irps/TroussierBJSA2110.1109/IRPS46558.2021.9405110https://doi.org/10.1109/IRPS46558.2021.9405110https://dblp.org/rec/conf/irps/TroussierBJSA21URL#1358422Taiki UemuraByungjin ChungJeongmin JoMijoung KimDalhee LeeGunrae KimSeungbae LeeTaesjoong SongHwasung RheeBrandon LeeJaehee ChoiSoft-Error Susceptibility in Flip-Flop in EUV 7 nm Bulk-FinFET Technology.IRPS1-72021Conference and Workshop Papersclosedconf/irps/UemuraCJKLKLSRL2110.1109/IRPS46558.2021.9405101https://doi.org/10.1109/IRPS46558.2021.9405101https://dblp.org/rec/conf/irps/UemuraCJKLKLSRL21URL#1358423Abhitosh VaisBrent HsuOlga SyshchykHao YuAliReza AlianYves MolsKomal Vondkar KodandaramaBernardette KunertNiamh WaldronEddy SimoenNadine CollaertA defect characterization technique for the sidewall surface of Nano-ridge and Nanowire based Logic and RF technologies.IRPS1-52021Conference and Workshop Papersclosedconf/irps/VaisHSYAMKKWSC2110.1109/IRPS46558.2021.9405095https://doi.org/10.1109/IRPS46558.2021.9405095https://dblp.org/rec/conf/irps/VaisHSYAMKKWSC21URL#1358424Michiel VandemaeleBen KaczerStanislav TyaginovJacopo FrancoRobin DegraeveAdrian Vaisman ChasinZhicheng WuErik BuryYang XiangHans MertensGuido GroesenekenThe properties, effect and extraction of localized defect profiles from degraded FET characteristics.IRPS1-72021Conference and Workshop Papersclosedconf/irps/VandemaeleKTFDC2110.1109/IRPS46558.2021.9405164https://doi.org/10.1109/IRPS46558.2021.9405164https://dblp.org/rec/conf/irps/VandemaeleKTFDC21URL#1358425Aby-Gaël VieyWilliam VandendaeleMarie-Anne JaudJean CoignusJacques CluzelAlexis KrakovinskySimon Martin 0006Jérome BiscarratRomain GwozieckiVeronique SousaFred GaillardRoberto ModicaFerdinando IucolanoMatteo MeneghiniGaudenzio MeneghessoGérard GhibaudoStudy on the difference between ID(VG) and C(VG) pBTI shifts in GaN-on-Si E-mode MOSc-HEMT.IRPS1-82021Conference and Workshop Papersclosedconf/irps/VieyVJCCKMBGSGM2110.1109/IRPS46558.2021.9405221https://doi.org/10.1109/IRPS46558.2021.9405221https://dblp.org/rec/conf/irps/VieyVJCCKMBGSGM21URL#1358426Pratik B. VyasNinad PimparkarRobert TuWafa ArfaouiGermain BossuMahesh SiddabathulaSteffen LehmannJung-Suk GooAli B. IcelReliability-Conscious MOSFET Compact Modeling with Focus on the Defect-Screening Effect of Hot-Carrier Injection.IRPS1-42021Conference and Workshop Papersclosedconf/irps/VyasPTABSLGI2110.1109/IRPS46558.2021.9405197https://doi.org/10.1109/IRPS46558.2021.9405197https://dblp.org/rec/conf/irps/VyasPTABSLGI21URL#1358427Runsheng WangZuodong ZhangYawen ZhangYixuan HuYanan Sun 0003Weikang QianRu HuangCan Emerging Computing Paradigms Help Enhancing Reliability Towards the End of Technology Roadmap?IRPS1-72021Conference and Workshop Papersclosedconf/irps/WangZZHSQH2110.1109/IRPS46558.2021.9405167https://doi.org/10.1109/IRPS46558.2021.9405167https://dblp.org/rec/conf/irps/WangZZHSQH21URL#1358428Ming-Liang WeiHussam AmrouchCheng-Lin SungHang-Ting LueChia-Lin YangKeh-Chung WangChih-Yuan LuRobust Brain-Inspired Computing: On the Reliability of Spiking Neural Network Using Emerging Non-Volatile Synapses.IRPS1-82021Conference and Workshop Papersclosedconf/irps/WeiASLYWL2110.1109/IRPS46558.2021.9405141https://doi.org/10.1109/IRPS46558.2021.9405141https://dblp.org/rec/conf/irps/WeiASLYWL21URL#1358429Zhicheng WuJacopo FrancoBrecht TruijenPhilippe RousselStanislav TyaginovMichiel VandemaeleErik BuryGuido GroesenekenDimitri LintenBen KaczerPhysics-based device aging modelling framework for accurate circuit reliability assessment.IRPS1-62021Conference and Workshop Papersclosedconf/irps/WuFTRTVBGLK2110.1109/IRPS46558.2021.9405106https://doi.org/10.1109/IRPS46558.2021.9405106https://dblp.org/rec/conf/irps/WuFTRTVBGLK21URL#1358430Yang XiangStanislav TyaginovMichiel VandemaeleZhicheng WuJacopo FrancoErik BuryBrecht TruijenBertrand ParvaisDimitri LintenBen KaczerA BSIM-Based Predictive Hot-Carrier Aging Compact Model.IRPS1-92021Conference and Workshop Papersclosedconf/irps/XiangTVWFBTPLK2110.1109/IRPS46558.2021.9405222https://doi.org/10.1109/IRPS46558.2021.9405222https://dblp.org/rec/conf/irps/XiangTVWFBTPLK21URL#1358431Peng XiaoHaris HadziosmanovicMichael KlessensRong JiangJohn Ortega 0002Daniel SchroederJames PalmerIlan TsameretCustomized Parallel Reliability Testing Platform with Multifold Throughput Enhancement for Intel Stressing Tests.IRPS1-62021Conference and Workshop Papersclosedconf/irps/XiaoHKJOSPT2110.1109/IRPS46558.2021.9405140https://doi.org/10.1109/IRPS46558.2021.9405140https://dblp.org/rec/conf/irps/XiaoHKJOSPT21URL#1358432Yoni XiongAlexandra FeeleyLloyd W. MassengillBharat L. BhuvaShi-Jie WenRita FungFrequency, LET, and Supply Voltage Dependence of Logic Soft Errors at the 7-nm Node.IRPS1-52021Conference and Workshop Papersclosedconf/irps/XiongFMBWF2110.1109/IRPS46558.2021.9405128https://doi.org/10.1109/IRPS46558.2021.9405128https://dblp.org/rec/conf/irps/XiongFMBWF21URL#1358433W. Y. YangE. R. HsiehC. H. ChengB. Y. ChenK. S. LiSteve S. ChungA Reliable Triple-Level Operation of Resistive-Gate Flash Featuring Forming-Free and High Immunity to Sneak Path.IRPS1-62021Conference and Workshop Papersclosedconf/irps/YangHCCLC2110.1109/IRPS46558.2021.9405179https://doi.org/10.1109/IRPS46558.2021.9405179https://dblp.org/rec/conf/irps/YangHCCLC21URL#1358434NamHyuk YangJinHwan KimGeonGu ParkChulHyuk KwonSeungTaek LeeSangWoo PaeHooSung KimSangWon HwangA Study on System Level UFS M-PHY Reliability Measurement Method Using RDVS.IRPS1-72021Conference and Workshop Papersclosedconf/irps/YangKPKLPKH2110.1109/IRPS46558.2021.9405096https://doi.org/10.1109/IRPS46558.2021.9405096https://dblp.org/rec/conf/irps/YangKPKLPKH21URL#1358435Liu YangQi Wang 0041Qianhui LiXiaolei YuJing He 0020Zongliang HuoEfficient Data Recovery Technique for 3D TLC NAND Flash Memory based on WL Interference.IRPS1-52021Conference and Workshop Papersclosedconf/irps/YangWLYHH2110.1109/IRPS46558.2021.9405150https://doi.org/10.1109/IRPS46558.2021.9405150https://dblp.org/rec/conf/irps/YangWLYHH21URL#1358436Houman ZahedmaneshOlalla Varela PedreiraZsolt TokeiKristof CroesElectromigration limits of copper nano-interconnects.IRPS1-62021Conference and Workshop Papersclosedconf/irps/ZahedmaneshPTC2110.1109/IRPS46558.2021.9405091https://doi.org/10.1109/IRPS46558.2021.9405091https://dblp.org/rec/conf/irps/ZahedmaneshPTC21URL#1358437Tommaso ZanottiFrancesco Maria PuglisiPaolo PavanLow-Bit Precision Neural Network Architecture with High Immunity to Variability and Random Telegraph Noise based on Resistive Memories.IRPS1-62021Conference and Workshop Papersclosedconf/irps/ZanottiPP2110.1109/IRPS46558.2021.9405103https://doi.org/10.1109/IRPS46558.2021.9405103https://dblp.org/rec/conf/irps/ZanottiPP21URL#1358438Ke ZengSrabanti ChowdhuryBrendan GunningRobert KaplarTravis AndersonStudy on Avalanche Uniformity in 1.2KV GaN Vertical PIN Diode with Bevel Edge-Termination.IRPS1-42021Conference and Workshop Papersclosedconf/irps/ZengCGKA2110.1109/IRPS46558.2021.9405165https://doi.org/10.1109/IRPS46558.2021.9405165https://dblp.org/rec/conf/irps/ZengCGKA21URL#1358439Zhenjun ZhangMatthias KraatzMeike HauschildtSeungman ChoiAndré ClausnerEhrenfried ZschechMartin GallStrategy to Characterize Electromigration Short Length Effects in Cu/low-k Interconnects.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ZhangKHCCZG2110.1109/IRPS46558.2021.9405161https://doi.org/10.1109/IRPS46558.2021.9405161https://dblp.org/rec/conf/irps/ZhangKHCCZG21URL#1358440Yongju ZhengRahul R. PoteraTony WittCharacterization of Early Breakdown of SiC MOSFET Gate Oxide by Voltage Ramp Tests.IRPS1-52021Conference and Workshop Papersclosedconf/irps/ZhengPW2110.1109/IRPS46558.2021.9405196https://doi.org/10.1109/IRPS46558.2021.9405196https://dblp.org/rec/conf/irps/ZhengPW21URL#1358441Huimei ZhouMiaomiao Wang 0006Ruqiang BaoTian ShenErnest Y. WuRichard G. SouthwickJingyun ZhangVeeraraghavan S. BaskerDechao GuoTDDB Reliability in Gate-All-Around Nanosheet.IRPS1-62021Conference and Workshop Papersclosedconf/irps/ZhouWBSWSZBG2110.1109/IRPS46558.2021.9405204https://doi.org/10.1109/IRPS46558.2021.9405204https://dblp.org/rec/conf/irps/ZhouWBSWSZBG21URL#1358442Longda ZhouZhaohao ZhangHong YangZhigang JiQianqian LiuQingzhu ZhangEddy SimoenHuaxiang YinJun LuoAnyan DuChao ZhaoWenwu Wang 0006A Fast DCIV Technique for Characterizing the Generation and Repassivation of Interface Traps Under DC/ AC NBTI Stress/Recovery Condition in Si p-FinFETs.IRPS1-72021Conference and Workshop Papersclosedconf/irps/ZhouZYJLZSYLDZW2110.1109/IRPS46558.2021.9405105https://doi.org/10.1109/IRPS46558.2021.9405105https://dblp.org/rec/conf/irps/ZhouZYJLZSYLDZW21URL#1358443Shengnan ZhuTianshi LiuMarvin H. WhiteAnant K. AgarwalArash SalemiDavid SheridanInvestigation of Gate Leakage Current Behavior for Commercial 1.2 kV 4H-SiC Power MOSFETs.IRPS1-72021Conference and Workshop Papersclosedconf/irps/ZhuLWASS2110.1109/IRPS46558.2021.9405230https://doi.org/10.1109/IRPS46558.2021.9405230https://dblp.org/rec/conf/irps/ZhuLWASS21URL#1358444IEEE International Reliability Physics Symposium, IRPS 2021, Monterey, CA, USA, March 21-25, 2021IRPSIEEE2021Editorshipconf/irps/202110.1109/IRPS46558.2021https://doi.org/10.1109/IRPS46558.2021https://dblp.org/rec/conf/irps/2021URL#1420891Alok Ranjan 0001Sean J. O'SheaMichel BosmanJ. MolinaNagarajan RaghavanKin Leong PeyCorrelation of Dielectric Breakdown and Nanoscale Adhesion in Silicon Dioxide Thin Films.IRPS1-72020Conference and Workshop Papersclosedconf/irps/0001OBMRP2010.1109/IRPS45951.2020.9128353https://doi.org/10.1109/IRPS45951.2020.9128353https://dblp.org/rec/conf/irps/0001OBMRP20URL#1801327Kai Ni 0004Aniket GuptaOm Prakash 0007Simon ThomannXiaobo Sharon HuHussam AmrouchImpact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET.IRPS1-52020Conference and Workshop Papersclosedconf/irps/0006GPTHA2010.1109/IRPS45951.2020.9128323https://doi.org/10.1109/IRPS45951.2020.9128323https://dblp.org/rec/conf/irps/0006GPTHA20URL#1801328Jian Liu 0027Divya AcharyaNathaniel PeacheyTriggering Optimization on NAND ESD Clamp and Its ESD Protection IO Scheme for CMOS Designs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/0027AP2010.1109/IRPS45951.2020.9128336https://doi.org/10.1109/IRPS45951.2020.9128336https://dblp.org/rec/conf/irps/0027AP20URL#1801329Shin-ichiro AbeTatsuhiko SatoJunya KurodaSeiya ManabeYukinobu WatanabeWang LiaoKojiro ItoMasanori HashimotoMasahide HaradaKenichi OikawaYasuhiro MiyakeImpact of Hydrided and Non-Hydrided Materials Near Transistors on Neutron-Induced Single Event Upsets.IRPS1-72020Conference and Workshop Papersclosedconf/irps/AbeSKMWLIHHOM2010.1109/IRPS45951.2020.9128951https://doi.org/10.1109/IRPS45951.2020.9128951https://dblp.org/rec/conf/irps/AbeSKMWLIHHOM20URL#1801330Jae-Gyung AhnPing-Chin YehJonathan ChangEstimation of Product Reliability using TDDB Simulation and Statistical EM Method.IRPS1-62020Conference and Workshop Papersclosedconf/irps/AhnYC2010.1109/IRPS45951.2020.9128926https://doi.org/10.1109/IRPS45951.2020.9128926https://dblp.org/rec/conf/irps/AhnYC20URL#1801331Thomas AichingerMatthias SchmidtGate-oxide reliability and failure-rate reduction of industrial SiC MOSFETs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/AichingerS2010.1109/IRPS45951.2020.9128223https://doi.org/10.1109/IRPS45951.2020.9128223https://dblp.org/rec/conf/irps/AichingerS20URL#1801332Bahar AjdariSamwel SekwaoRicardo AscázubiAdam NealeNorbert SeifertOn the Correlation of Laser-induced and High-Energy Proton Beam-induced Single Event Latchup.IRPS1-52020Conference and Workshop Papersclosedconf/irps/AjdariSANS2010.1109/IRPS45951.2020.9129592https://doi.org/10.1109/IRPS45951.2020.9129592https://dblp.org/rec/conf/irps/AjdariSANS20URL#1801333Ryo AkimotoRihito KurodaAkinobu TeramotoTakezo MawakiShinya IchinoTomoyuki SuwaShigetoshi SugawaEffect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes.IRPS1-62020Conference and Workshop Papersclosedconf/irps/AkimotoKTMISS2010.1109/IRPS45951.2020.9128341https://doi.org/10.1109/IRPS45951.2020.9128341https://dblp.org/rec/conf/irps/AkimotoKTMISS20URL#1801334Tarek AliKati KühnelMalte CzernohorskyMatthias RudolphBjörn PätzoldRicardo OlivoDavid LehningerKonstantin MertensFranz Müller 0001Maximilian LedererRaik HoffmannClemens MartMahsa N. KalkaniPhilipp SteinkeThomas KämpfeJohannes MüllerJan Van HoudtKonrad SeidelLukas M. EngImpact of Ferroelectric Wakeup on Reliability of Laminate based Si-doped Hafnium Oxide (HSO) FeFET Memory Cells.IRPS1-92020Conference and Workshop Papersclosedconf/irps/AliKCRPOLMMLHMK2010.1109/IRPS45951.2020.9128337https://doi.org/10.1109/IRPS45951.2020.9128337https://dblp.org/rec/conf/irps/AliKCRPOLMMLHMK20URL#1801335AnshGaurav SheoranJeevesh KumarMayank ShrivastavaFirst Insights into Electro-Thermal Stress Driven Time-Dependent Permanent Degradation Failure of CVD Monolayer MoS2 Channel.IRPS1-42020Conference and Workshop Papersclosedconf/irps/AnshSKS2010.1109/IRPS45951.2020.9129173https://doi.org/10.1109/IRPS45951.2020.9129173https://dblp.org/rec/conf/irps/AnshSKS20URL#1801336Wafa ArfaouiGermain BossuA. MuehlhoffD. LippR. ManuwaldT. ChenTanya NigamMahesh SiddabathulaA Novel HCI Reliability Model for RF/mmWave Applications in FDSOI Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ArfaouiBMLMCNS2010.1109/IRPS45951.2020.9129479https://doi.org/10.1109/IRPS45951.2020.9129479https://dblp.org/rec/conf/irps/ArfaouiBMLMCNS20URL#1801337James P. AshtonPatrick M. LenahanDaniel J. LichtenwalnerAivars J. LelisLeakage Currents and E' Centers in 4H-SiC MOSFETs with Barium Passivation.IRPS1-42020Conference and Workshop Papersclosedconf/irps/AshtonLLL2010.1109/IRPS45951.2020.9128320https://doi.org/10.1109/IRPS45951.2020.9128320https://dblp.org/rec/conf/irps/AshtonLLL20URL#1801338Jyotika AthavaleAndrea BaldovinMichael PaulitschTrends and Functional Safety Certification Strategies for Advanced Railway Automation Systems.IRPS1-72020Conference and Workshop Papersclosedconf/irps/AthavaleBP2010.1109/IRPS45951.2020.9129519https://doi.org/10.1109/IRPS45951.2020.9129519https://dblp.org/rec/conf/irps/AthavaleBP20URL#1801339Alex AylingShudong HuangElyse RosenbaumSub-nanosecond Reverse Recovery Measurement for ESD Devices.IRPS1-82020Conference and Workshop Papersclosedconf/irps/AylingHR2010.1109/IRPS45951.2020.9129596https://doi.org/10.1109/IRPS45951.2020.9129596https://dblp.org/rec/conf/irps/AylingHR20URL#1801340Sandeep R. BahlFrancisco BaltazarYong XieA Generalized Approach to Determine the Switching Lifetime of a GaN FET.IRPS1-62020Conference and Workshop Papersclosedconf/irps/BahlBX2010.1109/IRPS45951.2020.9129631https://doi.org/10.1109/IRPS45951.2020.9129631https://dblp.org/rec/conf/irps/BahlBX20URL#1801341Govind BajpaiAniket GuptaOm Prakash 0007Girish PahwaJörg HenkelYogesh Singh ChauhanHussam AmrouchImpact of Radiation on Negative Capacitance FinFET.IRPS1-52020Conference and Workshop Papersclosedconf/irps/BajpaiGPPHCA2010.1109/IRPS45951.2020.9129165https://doi.org/10.1109/IRPS45951.2020.9129165https://dblp.org/rec/conf/irps/BajpaiGPPHCA20URL#1801342Artsiom BalakirAlan YangElyse RosenbaumAn Interpretable Predictive Model for Early Detection of Hardware Failure.IRPS1-52020Conference and Workshop Papersclosedconf/irps/BalakirYR2010.1109/IRPS45951.2020.9129615https://doi.org/10.1109/IRPS45951.2020.9129615https://dblp.org/rec/conf/irps/BalakirYR20URL#1801343Simon Van BeekBarry J. O'SullivanSebastien CouetDavide CrottiDimitri LintenGouri Sankar KarUnderstanding and empirical fitting the breakdown of MgO in end-of-line annealed MTJs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/BeekOCCLK2010.1109/IRPS45951.2020.9129551https://doi.org/10.1109/IRPS45951.2020.9129551https://dblp.org/rec/conf/irps/BeekOCCLK20URL#1801344Christopher H. BennettT. Patrick XiaoRyan DellanaBen FeinbergSapan AgarwalMatthew J. MarinellaVineet AgrawalVenkatraman PrabhakarKrishnaswamy RamkumarLong HinhSwatilekha SahaVijay RaghavanRamesh ChettuvettyDevice-aware inference operations in SONOS nonvolatile memory arrays.IRPS1-62020Conference and Workshop Papersclosedconf/irps/BennettXDFAMAPR2010.1109/IRPS45951.2020.9129313https://doi.org/10.1109/IRPS45951.2020.9129313https://dblp.org/rec/conf/irps/BennettXDFAMAPR20URL#1801345Judith BerensMagdalena WegerGregor PobegenThomas AichingerGerald RescherChristian SchleichTibor GrasserSimilarities and Differences of BTI in SiC and Si Power MOSFETs.IRPS1-72020Conference and Workshop Papersclosedconf/irps/BerensWPARSG2010.1109/IRPS45951.2020.9129259https://doi.org/10.1109/IRPS45951.2020.9129259https://dblp.org/rec/conf/irps/BerensWPARSG20URL#1801346Marc BocquetTifenn HirtzlinJacques-Olivier KleinEtienne NowakElisa VianelloJean-Michel PortalDamien QuerliozEmbracing the Unreliability of Memory Devices for Neuromorphic Computing.IRPS1-52020Conference and Workshop Papersclosedconf/irps/BocquetHKNVPQ2010.1109/IRPS45951.2020.9128346https://doi.org/10.1109/IRPS45951.2020.9128346https://dblp.org/rec/conf/irps/BocquetHKNVPQ20URL#1801347Tom BonifieldHonglin GuoJeff WestHisashi ShichijoTalha TahirHigh Frequency TDDB of Reinforced Isolation Dielectric Systems.IRPS1-42020Conference and Workshop Papersclosedconf/irps/BonifieldGWST2010.1109/IRPS45951.2020.9128352https://doi.org/10.1109/IRPS45951.2020.9128352https://dblp.org/rec/conf/irps/BonifieldGWST20URL#1801348Alain BravaixEdith KussenerDavid NeyXavier FederspielFlorian CachoHot-Carrier induced Breakdown events from Off to On mode in NEDMOS.IRPS1-82020Conference and Workshop Papersclosedconf/irps/BravaixKNFC2010.1109/IRPS45951.2020.9129214https://doi.org/10.1109/IRPS45951.2020.9129214https://dblp.org/rec/conf/irps/BravaixKNFC20URL#1801349Premachandran CSSalvatore CiminoManjunatha PrabhuEfficient Bidirectional protection structure for Plasma induced damage (PID) and Electrostatic discharge (ESD) for 3D IC Integration.IRPS1-52020Conference and Workshop Papersclosedconf/irps/CSCP2010.1109/IRPS45951.2020.9129158https://doi.org/10.1109/IRPS45951.2020.9129158https://dblp.org/rec/conf/irps/CSCP20URL#1801350Chang CaiTianqi LiuJie Liu 0032Gengsheng ChenLuchang DingKai ZhaoBingxu NingMingjie ShenLarge-tilt Heavy Ions Induced SEU in Multiple Radiation Hardened 22 nm FDSOI SRAMs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/CaiLLCDZNSC2010.1109/IRPS45951.2020.9128357https://doi.org/10.1109/IRPS45951.2020.9128357https://dblp.org/rec/conf/irps/CaiLLCDZNSC20URL#1801351Jingchen CaoLyuan XuShi-Jie WenRita FungBalaji NarasimhamLloyd W. MassengillBharat L. BhuvaTemperature Dependence of Single-Event Transient Pulse Widths for 7-nm Bulk FinFET Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/CaoXWFNMB2010.1109/IRPS45951.2020.9129254https://doi.org/10.1109/IRPS45951.2020.9129254https://dblp.org/rec/conf/irps/CaoXWFNMB20URL#1801352Wriddhi ChakrabortyUma SharmaSuman DattaSouvik MahapatraHot Carrier Degradation in Cryo-CMOS.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ChakrabortySDM2010.1109/IRPS45951.2020.9129312https://doi.org/10.1109/IRPS45951.2020.9129312https://dblp.org/rec/conf/irps/ChakrabortySDM20URL#1801353Yao-Feng ChangJames A. O'DonnellTony AcostaRoza KotlyarAlbert B. ChenPedro A. QuinteroNathan StruttOleg GolonzkaChris ConnorJeff HickseNVM RRAM reliability performance and modeling in 22FFL FinFET technology.IRPS1-42020Conference and Workshop Papersclosedconf/irps/ChangOAKCQSGCH2010.1109/IRPS45951.2020.9128359https://doi.org/10.1109/IRPS45951.2020.9128359https://dblp.org/rec/conf/irps/ChangOAKCQSGCH20URL#1801354Adrian Vaisman ChasinJacopo FrancoErik BuryRomain RitzenthalerEugenio Dentoni LittaAlessio SpessotNaoto HoriguchiDimitri LintenBen KaczerRelevance of fin dimensions and high-pressure anneals on hot-carrier degradation.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ChasinFBRLSHLK2010.1109/IRPS45951.2020.9129584https://doi.org/10.1109/IRPS45951.2020.9129584https://dblp.org/rec/conf/irps/ChasinFBRLSHLK20URL#1801355Venkata Chaitanya Krishna ChekuriArvind SinghNael Mizanur RahmanEdward LeeSaibal MukhopadhyayAging Challenges in On-chip Voltage Regulator Design.IRPS1-82020Conference and Workshop Papersclosedconf/irps/ChekuriSRLM2010.1109/IRPS45951.2020.9128339https://doi.org/10.1109/IRPS45951.2020.9128339https://dblp.org/rec/conf/irps/ChekuriSRLM20URL#1801356Kuan-Ting ChenC. LoY.-Y. LinC.-Y. ChuehC. ChangG.-Y. SiangY.-J. TsengY.-J. YangF.-C. HsiehS.-H. ChangH. LiangS.-H. ChiangJ.-H. LiuY.-D. LinP.-C. YehC.-Y. WangH.-Y. YangP.-J. TzengM.-H. LiaoShu-Tong ChangY.-Y. TsengMin-Hung LeeDouble Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory.IRPS1-42020Conference and Workshop Papersclosedconf/irps/ChenLLCCSTYHCLC2010.1109/IRPS45951.2020.9129088https://doi.org/10.1109/IRPS45951.2020.9129088https://dblp.org/rec/conf/irps/ChenLLCCSTYHCLC20URL#1801357Yen-Pu ChenBikram Kishore MahajanDhanoop VargheseSrikanth KrishnanVijay ReddyMuhammad Ashraful AlamA Novel 'I-V Spectroscopy' Technique to Deconvolve Threshold Voltage and Mobility Degradation in LDMOS Transistors.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ChenMVKRA2010.1109/IRPS45951.2020.9128965https://doi.org/10.1109/IRPS45951.2020.9128965https://dblp.org/rec/conf/irps/ChenMVKRA20URL#1801358Kin P. CheungAnomalous accelerated negative-bias- instability (NBI) at low drain bias.IRPS1-32020Conference and Workshop Papersclosedconf/irps/Cheung2010.1109/IRPS45951.2020.9128354https://doi.org/10.1109/IRPS45951.2020.9128354https://dblp.org/rec/conf/irps/Cheung20URL#1801359Nilotpal ChoudhuryNarendra PariharSouvik MahapatraAnalysis of The Hole Trapping Detrapping Component of NBTI Over Extended Temperature Range.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ChoudhuryPM2010.1109/IRPS45951.2020.9129245https://doi.org/10.1109/IRPS45951.2020.9129245https://dblp.org/rec/conf/irps/ChoudhuryPM20URL#1801360Nilotpal ChoudhuryUma SharmaHuimei ZhouRichard G. SouthwickMiaomiao Wang 0006Souvik MahapatraAnalysis of BTI, SHE Induced BTI and HCD Under Full VG/VD Space in GAA Nano-Sheet N and P FETs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ChoudhurySZSWM2010.1109/IRPS45951.2020.9128310https://doi.org/10.1109/IRPS45951.2020.9128310https://dblp.org/rec/conf/irps/ChoudhurySZSWM20URL#1801361Weishen ChuLaura SpinellaDwayne R. ShirleyPaul S. HoEffects of Wiring Density and Pillar Structure on Chip Package Interaction for Advanced Cu Low-k Chips.IRPS1-42020Conference and Workshop Papersclosedconf/irps/ChuSSH2010.1109/IRPS45951.2020.9128333https://doi.org/10.1109/IRPS45951.2020.9128333https://dblp.org/rec/conf/irps/ChuSSH20URL#1801362Mauro CiappaMarco PocaterraMeasurement of the Pre-Breakdown Characteristics in Silicon Carbide Power Devices by the Use of Radioactive Gamma Sources.IRPS1-72020Conference and Workshop Papersclosedconf/irps/CiappaP2010.1109/IRPS45951.2020.9128885https://doi.org/10.1109/IRPS45951.2020.9128885https://dblp.org/rec/conf/irps/CiappaP20URL#1801363Paul ColestockP. Srinivasan 0002Fernando GuarinSilicon Based RF Reliability Challenges for 5G Communications.IRPS1-42020Conference and Workshop Papersclosedconf/irps/ColestockSG2010.1109/IRPS45951.2020.9129352https://doi.org/10.1109/IRPS45951.2020.9129352https://dblp.org/rec/conf/irps/ColestockSG20URL#1801364Trang Le Dinh DangTrinh Dinh LinhNgyuen Thanh DatChanghong MinJinsang KimIk-Joon ChangJin-Woo HanComparing Variation-tolerance and SEU/TID-Resilience of Three SRAM Cells in 28nm FD-SOI Technology: 6T, Quatro, and we-Quatro.IRPS1-52020Conference and Workshop Papersclosedconf/irps/DangLDMKCH2010.1109/IRPS45951.2020.9128910https://doi.org/10.1109/IRPS45951.2020.9128910https://dblp.org/rec/conf/irps/DangLDMKCH20URL#1801365W. Rhett DavisColin ShawAhmed Ramadan HassanHow to write a compact reliability model with the Open Model Interface (OMI).IRPS1-22020Conference and Workshop Papersclosedconf/irps/DavisSH2010.1109/IRPS45951.2020.9128222https://doi.org/10.1109/IRPS45951.2020.9128222https://dblp.org/rec/conf/irps/DavisSH20URL#1801366Clyde DunnJohn MacPeakSean BoBrian KirkpatrickBrian HorningTad GriderCorey O'BrienSteve Heinrich-BarnaArmando VigilJon NafzigerLyndon PreissKelly DeShieldsViktor MarkovJinHo KimNhan DoAlexander KotovProgram Disturb Mechanism in Embedded SuperFlash® Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/DunnMBKHGOHVNPD2010.1109/IRPS45951.2020.9128829https://doi.org/10.1109/IRPS45951.2020.9128829https://dblp.org/rec/conf/irps/DunnMBKHGOHVNPD20URL#1801367Xavier FederspielCheikh DioufFlorian CachoEmmanuel Vincent 0004Comparison of variability of HCI induced drift for SiON and HKMG devices.IRPS1-52020Conference and Workshop Papersclosedconf/irps/FederspielDCV2010.1109/IRPS45951.2020.9128326https://doi.org/10.1109/IRPS45951.2020.9128326https://dblp.org/rec/conf/irps/FederspielDCV20URL#1801368Peter FriedrichsRuggedness of SiC devices under extreme conditions.IRPS1-52020Conference and Workshop Papersclosedconf/irps/Friedrichs2010.1109/IRPS45951.2020.9129344https://doi.org/10.1109/IRPS45951.2020.9129344https://dblp.org/rec/conf/irps/Friedrichs20URL#1801369Don A. GajewskiChallenges and Peculiarities in Developing New Standards for SiC.IRPS1-52020Conference and Workshop Papersclosedconf/irps/Gajewski2010.1109/IRPS45951.2020.9128319https://doi.org/10.1109/IRPS45951.2020.9128319https://dblp.org/rec/conf/irps/Gajewski20URL#1801370Shifan GaoYu CongZeyu ZhangXiang QiuChoonghyun LeeYi ZhaoSuperior Data Retention of Programmable Linear RAM (PLRAM) for Compute-in-Memory Application.IRPS1-52020Conference and Workshop Papersclosedconf/irps/GaoCZQLZ2010.1109/IRPS45951.2020.9129572https://doi.org/10.1109/IRPS45951.2020.9129572https://dblp.org/rec/conf/irps/GaoCZQLZ20URL#1801371Rui GaoMehzabeen MehediHaibao ChenXinsheng WangJianfu Zhang 0001Xiaoling LinZhiyuan HeYiqiang ChenDengyun LeiYun HuangYunfei EnZhigang JiRunsheng WangA fast and test-proven methodology of assessing RTN/fluctuation on deeply scaled nano pMOSFETs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/GaoMCWZLHCLHEJW2010.1109/IRPS45951.2020.9129230https://doi.org/10.1109/IRPS45951.2020.9129230https://dblp.org/rec/conf/irps/GaoMCWZLHCLHEJW20URL#1801372Martina GerlachPeter SeidelJosef LutzSpecific aspects regarding evaluation of power cycling tests with SiC devices.IRPS1-62020Conference and Workshop Papersclosedconf/irps/GerlachSL2010.1109/IRPS45951.2020.9129128https://doi.org/10.1109/IRPS45951.2020.9129128https://dblp.org/rec/conf/irps/GerlachSL20URL#1801373Amartya GhoshJifa HaoMichael Cook 0004Chris KendrickSamia A. SulimanGavin D. R. HallTom KopleyOsama O. AwadelkarimStudies of Bias Temperature Instabilities in 4H-SiC DMOSFETs.IRPS1-42020Conference and Workshop Papersclosedconf/irps/GhoshHCKSHKA2010.1109/IRPS45951.2020.9128318https://doi.org/10.1109/IRPS45951.2020.9128318https://dblp.org/rec/conf/irps/GhoshHCKSHKA20URL#1801374Dmitry GoloubevShi-Jie WenDonald AllenRanjani RamFirdous BanoNithin GuruswamyJames TurmanUse of Silicon-based Sensors for System Reliability Prediction.IRPS1-62020Conference and Workshop Papersclosedconf/irps/GoloubevWARBGT2010.1109/IRPS45951.2020.9128945https://doi.org/10.1109/IRPS45951.2020.9128945https://dblp.org/rec/conf/irps/GoloubevWARBGT20URL#1801375Jose Angel Ortiz GonzalezOlayiwola AlatisePhil MawbyNon-Intrusive Methodologies for Characterization of Bias Temperature Instability in SiC Power MOSFETs.IRPS1-102020Conference and Workshop Papersclosedconf/irps/GonzalezAM2010.1109/IRPS45951.2020.9129637https://doi.org/10.1109/IRPS45951.2020.9129637https://dblp.org/rec/conf/irps/GonzalezAM20URL#1801376Mireia Bargallo GonzálezMarcos Maestro-IzquierdoFrancesca CampabadalSamuel AldanaFrancisco Jiménez-MolinosJuan Bautista RoldánImpact of Intrinsic Series Resistance on the Reversible Dielectric Breakdown Kinetics in HfO2 Memristors.IRPS1-42020Conference and Workshop Papersclosedconf/irps/GonzalezMCAJR2010.1109/IRPS45951.2020.9128961https://doi.org/10.1109/IRPS45951.2020.9128961https://dblp.org/rec/conf/irps/GonzalezMCAJR20URL#1801377Tibor GrasserBen KaczerBarry J. O'SullivanGerhard RzepaBernhard StampferMichael WaltlThe Mysterious Bipolar Bias Temperature Stress from the Perspective of Gate-Sided Hydrogen Release.IRPS1-62020Conference and Workshop Papersclosedconf/irps/GrasserKORSW2010.1109/IRPS45951.2020.9129198https://doi.org/10.1109/IRPS45951.2020.9129198https://dblp.org/rec/conf/irps/GrasserKORSW20URL#1801378Alexander GrillErik BuryJakob MichlStanislav TyaginovDimitri LintenTibor GrasserBertrand ParvaisBen KaczerMichael WaltlIuliana P. RaduReliability and Variability of Advanced CMOS Devices at Cryogenic Temperatures.IRPS1-62020Conference and Workshop Papersclosedconf/irps/GrillBMTLGPKWR2010.1109/IRPS45951.2020.9128316https://doi.org/10.1109/IRPS45951.2020.9128316https://dblp.org/rec/conf/irps/GrillBMTLGPKWR20URL#1801379Rohit GroverTony AcostaC. AnDykeEmre ArmaganC. AuthSunny ChughK. DownesM. HattendorfN. JackS. JoshiR. KasimGerald S. LeathermanS.-H. LeeC.-Y. LinA. MadhavanH. MaoA. LowrieG. MartinG. McPhersonPinakpani NayakA. NealeD. NminibapielBenjamin OrrJames PalmerC. M. PeltoS. S. PoonI. PostTanmoy PramanikAnisur RahmanStephen RameyN. SeifertK. SethiAnthony SchmitzH. WuA. YeohA Reliability Overview of Intel's 10+ Logic Technology.IRPS1-62020Conference and Workshop Papersclosedconf/irps/GroverAAAACDHJJ2010.1109/IRPS45951.2020.9128345https://doi.org/10.1109/IRPS45951.2020.9128345https://dblp.org/rec/conf/irps/GroverAAAACDHJJ20URL#1801380Aniket GuptaKai Ni 0004Om Prakash 0007Xiaobo Sharon HuHussam AmrouchTemperature Dependence and Temperature-Aware Sensing in Ferroelectric FET.IRPS1-52020Conference and Workshop Papersclosedconf/irps/Gupta0PHA2010.1109/IRPS45951.2020.9129226https://doi.org/10.1109/IRPS45951.2020.9129226https://dblp.org/rec/conf/irps/Gupta0PHA20URL#1801381Sayak Dutta GuptaVipin JoshiRajarshi Roy ChaudhuriAnant kr SinghSirsha GuhaMayank ShrivastavaOn the Root Cause of Dynamic ON Resistance Behavior in AlGaN/GaN HEMTs.IRPS1-42020Conference and Workshop Papersclosedconf/irps/GuptaJCSGS2010.1109/IRPS45951.2020.9128226https://doi.org/10.1109/IRPS45951.2020.9128226https://dblp.org/rec/conf/irps/GuptaJCSGS20URL#1801382Daniel B. HabersatAivars J. LelisRonald GreenTowards a Robust Approach to Threshold Voltage Characterization and High Temperature Gate Bias Qualification.IRPS1-42020Conference and Workshop Papersclosedconf/irps/HabersatLG2010.1109/IRPS45951.2020.9128227https://doi.org/10.1109/IRPS45951.2020.9128227https://dblp.org/rec/conf/irps/HabersatLG20URL#1801383Md. Mehedi HasanMd RaquibuzzamanIndranil ChatterjeeBiswajit RayRadiation Tolerance of 3-D NAND Flash Based Neuromorphic Computing System.IRPS1-42020Conference and Workshop Papersclosedconf/irps/HasanRCR2010.1109/IRPS45951.2020.9128219https://doi.org/10.1109/IRPS45951.2020.9128219https://dblp.org/rec/conf/irps/HasanRCR20URL#1801384Assaad El HelouMarko J. TadjerKarl D. HobartPeter E. RaadEffects of Thermal Boundary Resistance on the Thermal Performance of GaN HEMT on Diamond.IRPS1-42020Conference and Workshop Papersclosedconf/irps/HelouTHR2010.1109/IRPS45951.2020.9128806https://doi.org/10.1109/IRPS45951.2020.9128806https://dblp.org/rec/conf/irps/HelouTHR20URL#1801385Yohei HiuraShinichi MiyakeShigetaka MoriKoichi MatsumotoHidetoshi OhnumaStudy of Lower Voltage Protection against Plasma Process Induced Damage by Quantitative Prediction Technique.IRPS1-52020Conference and Workshop Papersclosedconf/irps/HiuraMMMO2010.1109/IRPS45951.2020.9128947https://doi.org/10.1109/IRPS45951.2020.9128947https://dblp.org/rec/conf/irps/HiuraMMMO20URL#1801386M. H. HsiehW. S. ChiangHarry H. ChenM. Z. LinM. J. LinComprehensive Quality and Reliability Management for Automotive Product.IRPS1-52020Conference and Workshop Papersclosedconf/irps/HsiehCCLL2010.1109/IRPS45951.2020.9128795https://doi.org/10.1109/IRPS45951.2020.9128795https://dblp.org/rec/conf/irps/HsiehCCLL20URL#1801387E. R. HsiehH. W. ChengZ. H. HuangC. H. ChuangS. P. YangSteve S. ChungA Pulsed RTN Transient Measurement Technique: Demonstration on the Understanding of the Switching in Resistance Memory.IRPS1-42020Conference and Workshop Papersclosedconf/irps/HsiehCHCYC2010.1109/IRPS45951.2020.9128893https://doi.org/10.1109/IRPS45951.2020.9128893https://dblp.org/rec/conf/irps/HsiehCHCYC20URL#1801388Clement HuangAlex JuanK. C. SuStress Induced Voiding Behavior of Electroplated Copper Thin Films in Highly Scaled Cu/low-k interconnects.IRPS1-32020Conference and Workshop Papersclosedconf/irps/HuangJS2010.1109/IRPS45951.2020.9128844https://doi.org/10.1109/IRPS45951.2020.9128844https://dblp.org/rec/conf/irps/HuangJS20URL#1801389William A. HubbardZachary LingleyJesse TheissMiles BrodieBrendan ForanSTEM EBIC for High-Resolution Electronic Characterization.IRPS1-52020Conference and Workshop Papersclosedconf/irps/HubbardLTBF2010.1109/IRPS45951.2020.9129618https://doi.org/10.1109/IRPS45951.2020.9129618https://dblp.org/rec/conf/irps/HubbardLTBF20URL#1801390Kyong Jin HwangSagar Premnath KaralkarVishal GanesanSevashanmugam MarimuthuAlban ZakaTom HerrmannBhoopendra SinghRobert Gauthier 0002Design Optimization of MV-NMOS for ESD Self-protection in 28nm CMOS technology.IRPS1-42020Conference and Workshop Papersclosedconf/irps/HwangKGMZHSG2010.1109/IRPS45951.2020.9129515https://doi.org/10.1109/IRPS45951.2020.9129515https://dblp.org/rec/conf/irps/HwangKGMZHSG20URL#1801391Tae-Young JeongMiji LeeYunkyung JoJinwoo KimMin KimMyungsoo YeoJinseok Kim 0005Hyunjun ChoiJoosung KimYoojin JoYongsung JiTaiki UemuraHai Jiang 0005Dongkyun KwonHwaSung RheeSangwoo PaeBrandon LeeReliability on EUV Interconnect Technology for 7nm and beyond.IRPS1-42020Conference and Workshop Papersclosedconf/irps/JeongLJKKY0CKJJ2010.1109/IRPS45951.2020.9129318https://doi.org/10.1109/IRPS45951.2020.9129318https://dblp.org/rec/conf/irps/JeongLJKKY0CKJJ20URL#1801392Jun-Kyo JeongJae-Young SungHee-Hun YangHi-Deok LeeGa-Won Lee 0001Reliability Analysis by Charge Migration of 3D SONOS Flash Memory.IRPS1-52020Conference and Workshop Papersclosedconf/irps/JeongSYLL2010.1109/IRPS45951.2020.9128344https://doi.org/10.1109/IRPS45951.2020.9128344https://dblp.org/rec/conf/irps/JeongSYLL20URL#1801393Dong JiBurcu ErcanGarret BensonA. K. M. NewazSrabanti ChowdhuryRobust avalanche in GaN leading to record performance in avalanche photodiode.IRPS1-42020Conference and Workshop Papersclosedconf/irps/JiEBNC2010.1109/IRPS45951.2020.9129299https://doi.org/10.1109/IRPS45951.2020.9129299https://dblp.org/rec/conf/irps/JiEBNC20URL#1801394Yongsung JiHyunjae GooJungman LimTae-Young JeongTaiki UemuraGun Rae KimBoil SeoSeungbae LeeGoeun ParkJeongmin JoSang-Il HanKilho LeeJunghyuk LeeSohee HwangDaesop LeeSuksoo PyoHyun Taek JungShinhee HanSeungmo NohKiseok SuhSungyoung YoonHyeonwoo NamHyewon HwangHai Jiang 0005J. W. KimD. KwonYoonjong SongK. H. KohHwasung RheeSangwoo PaeE. LeeReliability of Industrial grade Embedded-STT-MRAM.IRPS1-32020Conference and Workshop Papersclosedconf/irps/JiGLJUKSLPJHLLH2010.1109/IRPS45951.2020.9129178https://doi.org/10.1109/IRPS45951.2020.9129178https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20URL#1801395Hai Jiang 0005Hyun-Chul SagongJinju KimHyewon ShimYoohwan KimJunekyun ParkTaiki UemuraYongsung JiTaeyoung JeongDongkyun KwonHwasung RheeSangwoo PaeBrandon LeeAdvanced Self-heating Model and Methodology for Layout Proximity Effect in FinFET Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/JiangSKSKPUJJKR2010.1109/IRPS45951.2020.9128322https://doi.org/10.1109/IRPS45951.2020.9128322https://dblp.org/rec/conf/irps/JiangSKSKPUJJKR20URL#1801396Linglin JingRui GaoZhigang JiRunsheng Wang"Shift and Match" (S...M) method for channel mobility correction in degraded MOSFETs.IRPS1-82020Conference and Workshop Papersclosedconf/irps/JingGJW2010.1109/IRPS45951.2020.9128334https://doi.org/10.1109/IRPS45951.2020.9128334https://dblp.org/rec/conf/irps/JingGJW20URL#1801397Maurits J. de JongCora SalmJurriaan SchmitzEffect of Ambient on the Recovery of Hot-Carrier Degraded Devices.IRPS1-62020Conference and Workshop Papersclosedconf/irps/JongSS2010.1109/IRPS45951.2020.9129540https://doi.org/10.1109/IRPS45951.2020.9129540https://dblp.org/rec/conf/irps/JongSS20URL#1801398Xin JuDiing Shenp AngGate-Oxide Trapping Enabled Synaptic Logic Transistor.IRPS1-62020Conference and Workshop Papersclosedconf/irps/JuA2010.1109/IRPS45951.2020.9129338https://doi.org/10.1109/IRPS45951.2020.9129338https://dblp.org/rec/conf/irps/JuA20URL#1801399Riad KaboucheKathia HarroucheEtienne OkadaFarid MedjdoubShort-term reliability of high performance Q-band AlN/GaN HEMTs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KaboucheHOM2010.1109/IRPS45951.2020.9129322https://doi.org/10.1109/IRPS45951.2020.9129322https://dblp.org/rec/conf/irps/KaboucheHOM20URL#1801400Chao-Yang KeMing-Dou KerOver-Voltage Protection on the CC Pin of USB Type-C Interface against Electrical Overstress Events.IRPS1-52020Conference and Workshop Papersclosedconf/irps/KeK2010.1109/IRPS45951.2020.9129160https://doi.org/10.1109/IRPS45951.2020.9129160https://dblp.org/rec/conf/irps/KeK20URL#1801401Andrew M. KellerJared AndersonMichael J. WirthlinShi-Jie WenRita FungConner ChambersUsing Partial Duplication With Compare to Detect Radiation-Induced Failure in a Commercial FPGA-Based Networking System.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KellerAWWFC2010.1109/IRPS45951.2020.9128839https://doi.org/10.1109/IRPS45951.2020.9128839https://dblp.org/rec/conf/irps/KellerAWWFC20URL#1801402Tobias KemmerMichael DammannMartina BaeumlerVladimir PolyakovPeter BrücknerHelmer KonstanzerRüdiger QuayOliver AmbacherFailure Analysis of 100 nm AlGaN/GaN HEMTs Stressed under On- and Off-State Stress.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KemmerDBPBKQA2010.1109/IRPS45951.2020.9128308https://doi.org/10.1109/IRPS45951.2020.9128308https://dblp.org/rec/conf/irps/KemmerDBPBKQA20URL#1801403Dongyoung KimAdam J. MorganNick YunWoongje SungAnant AgarwalRobert KaplarNon-Isothermal Simulations to Optimize SiC MOSFETs for Enhanced Short-Circuit Ruggedness.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KimMYSAK2010.1109/IRPS45951.2020.9128324https://doi.org/10.1109/IRPS45951.2020.9128324https://dblp.org/rec/conf/irps/KimMYSAK20URL#1801404Yi Ram KimAllison T. OsmansonHossein MadanipourChoong-Un KimPatrick F. ThompsonQiao ChenEffects of UBM Thickness and Current Flow Configuration on Electromigration Failure Mechanisms in Solder Interconnects.IRPS1-52020Conference and Workshop Papersclosedconf/irps/KimOMKTC2010.1109/IRPS45951.2020.9129337https://doi.org/10.1109/IRPS45951.2020.9129337https://dblp.org/rec/conf/irps/KimOMKTC20URL#1801405Minsu KimJeehwan SongChris H. KimReliability Characterization of Logic-Compatible NAND Flash Memory based Synapses with 3-bit per Cell Weights and 1μA Current Steps.IRPS1-42020Conference and Workshop Papersclosedconf/irps/KimSK2010.1109/IRPS45951.2020.9129148https://doi.org/10.1109/IRPS45951.2020.9129148https://dblp.org/rec/conf/irps/KimSK20URL#1801406Heung-Kook KoSena ParkJihyun RyuSung Ryul KimGiwon LeeDongjoon LeeSangwoo PaeEuncheol LeeYongsun JiHai Jiang 0005Taeyoung JeongTaiki UemuraDongkyun KwonHyungrok DoHyungu KahngYoon-Sang ChoJiyoon LeeSeoung Bum KimEarly Diagnosis and Prediction of Wafer Quality Using Machine Learning on sub-10nm Logic Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/KoPRKLLPLJJJUKD2010.1109/IRPS45951.2020.9128932https://doi.org/10.1109/IRPS45951.2020.9128932https://dblp.org/rec/conf/irps/KoPRKLLPLJJJUKD20URL#1801407Joseph P. KozakRuizhe Zhang 0003Jingcun LiuKhai D. T. NgoYuhao ZhangPhysics of Degradation in SiC MOSFETs Stressed by Overvoltage and Overcurrent Switching.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KozakZLNZ2010.1109/IRPS45951.2020.9128330https://doi.org/10.1109/IRPS45951.2020.9128330https://dblp.org/rec/conf/irps/KozakZLNZ20URL#1801408Nagothu Karmel KranthiChirag GargBoeila Sampath KumarAkram A. SalmanGianluca BoselliMayank ShrivastavaHow to Achieve Moving Current Filament in High Voltage LDMOS Devices: Physical Insights & Design Guidelines for Self-Protected Concepts.IRPS1-62020Conference and Workshop Papersclosedconf/irps/KranthiGKSBS2010.1109/IRPS45951.2020.9128332https://doi.org/10.1109/IRPS45951.2020.9128332https://dblp.org/rec/conf/irps/KranthiGKSBS20URL#1801409Nagothu Karmel KranthiBoeila Sampath KumarAkram A. SalmanGianluca BoselliMayank ShrivastavaDesign Insights to Address Low Current ESD Failure and Power Scalability Issues in High Voltage LDMOS-SCR Devices.IRPS1-52020Conference and Workshop Papersclosedconf/irps/KranthiKSBS2010.1109/IRPS45951.2020.9129624https://doi.org/10.1109/IRPS45951.2020.9129624https://dblp.org/rec/conf/irps/KranthiKSBS20URL#1801410Anastasiia KruvBen KaczerAlexander GrillMario GonzalezJacopo FrancoDimitri LintenWolfgang GoesTibor GrasserIngrid De WolfOn the impact of mechanical stress on gate oxide trapping.IRPS1-52020Conference and Workshop Papersclosedconf/irps/KruvKGGFLGGW2010.1109/IRPS45951.2020.9129541https://doi.org/10.1109/IRPS45951.2020.9129541https://dblp.org/rec/conf/irps/KruvKGGFLGGW20URL#1801411Jeevesh KumarAnshAsha YadavAnant SinghAndrew NaclerioDmitri N. ZakharovPiran KidambiMayank ShrivastavaPhysical Insights into Phosphorene Transistor Degradation Under Exposure to Atmospheric Conditions and Electrical Stress.IRPS1-42020Conference and Workshop Papersclosedconf/irps/KumarAYSNZKS2010.1109/IRPS45951.2020.9129123https://doi.org/10.1109/IRPS45951.2020.9129123https://dblp.org/rec/conf/irps/KumarAYSNZKS20URL#1801412Evelyn LandmanNoam BrousardTamar NaishlosA novel approach to in-field, in-mission reliability monitoring based on Deep Data.IRPS1-82020Conference and Workshop Papersclosedconf/irps/LandmanBN2010.1109/IRPS45951.2020.9128846https://doi.org/10.1109/IRPS45951.2020.9128846https://dblp.org/rec/conf/irps/LandmanBN20URL#1801413Chung-Shuo LeePavan Kumar VaitheeswaranGanesh SubbarayanYoung-Joon ParkJayhoon ChungSrikanth KrishnanReliability of Metal-Dielectric Structures Under Intermittent Current Pulsing.IRPS1-62020Conference and Workshop Papersclosedconf/irps/LeeVSPCK2010.1109/IRPS45951.2020.9128331https://doi.org/10.1109/IRPS45951.2020.9128331https://dblp.org/rec/conf/irps/LeeVSPCK20URL#1801414Tae Young LeeKazutaka YamaneLee Yong HauRobin ChaoNyuk Leong ChungVinayak Bharat NaikK. SivabalanJae Hyun KwonJia Hao LimWah-Peng NeoKevin KhuaNaganivetha ThiyagarajahSuk Hee JangBehtash Behin-AeinEng-Huat TohYuichi OtaniDinggui ZengNivetha BalasankaranLian Choo GohTimothy LingJay HwangLei ZhangRachel LowSoon Leng TanChim Seng SeetJia Wen TingStanley OngYoung Seon YouSwee Tuck WooElgin QuekSoh Yun SiahMagnetic Immunity Guideline for Embedded MRAM Reliability to Realize Mass Production.IRPS1-42020Conference and Workshop Papersclosedconf/irps/LeeYHCCNSKLNKTJ2010.1109/IRPS45951.2020.9128317https://doi.org/10.1109/IRPS45951.2020.9128317https://dblp.org/rec/conf/irps/LeeYHCCNSKLNKTJ20URL#1801415Alicja LesniewskaPhilippe J. RousselDavide TiernoVictor Vega-GonzalezMarleen H. van der VeenPatrick VerdonckNicolas JourdanChristopher J. WilsonZsolt TökeiKris CroesDielectric Reliability Study of 21 nm Pitch Interconnects with Barrierless Ru Fill.IRPS1-62020Conference and Workshop Papersclosedconf/irps/LesniewskaRTVVV2010.1109/IRPS45951.2020.9129246https://doi.org/10.1109/IRPS45951.2020.9129246https://dblp.org/rec/conf/irps/LesniewskaRTVVV20URL#1801416Zhiqing LiBaofu ZhuAnindya NathMeng MiaoAlain LoiseauYou LiJeffrey B. JohnsonSouvick MitraRobert Gauthier 0002Understanding ESD Induced Thermal Mechanism in FinFETs Through Predictive TCAD Simulation.IRPS1-42020Conference and Workshop Papersclosedconf/irps/LiZNMLLJMG2010.1109/IRPS45951.2020.9128351https://doi.org/10.1109/IRPS45951.2020.9128351https://dblp.org/rec/conf/irps/LiZNMLLJMG20URL#1801417Wang LiaoKojiro ItoYukio MitsuyamaMasanori HashimotoCharacterizing Energetic Dependence of Low-Energy Neutron-induced MCUs in 65 nm bulk SRAMs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/LiaoIMH2010.1109/IRPS45951.2020.9129621https://doi.org/10.1109/IRPS45951.2020.9129621https://dblp.org/rec/conf/irps/LiaoIMH20URL#1801418Jing-Chyi LiaoPaul KoM. H. HsiehZheng ZengSelf-healing LDMOSFET for high-voltage application on high-k/metal gate CMOS process.IRPS1-32020Conference and Workshop Papersclosedconf/irps/LiaoKHZ2010.1109/IRPS45951.2020.9129350https://doi.org/10.1109/IRPS45951.2020.9129350https://dblp.org/rec/conf/irps/LiaoKHZ20URL#1801419Jia Hao LimNagarajan RaghavanJae Hyun KwonTae Young LeeRobin ChaoNyuk Leong ChungKazutaka YamaneNaganivetha ThiyagarajahVinayak Bharat NaikKin Leong PeyOrigins and Signatures of Tail Bit Failures in Ultrathin MgO Based STT-MRAM.IRPS1-52020Conference and Workshop Papersclosedconf/irps/LimRKLCCYTNP2010.1109/IRPS45951.2020.9129130https://doi.org/10.1109/IRPS45951.2020.9129130https://dblp.org/rec/conf/irps/LimRKLCCYTNP20URL#1801420Cheyun LinUygar E. AvciM. A. BlountRohit GroverJeffery HicksR. KasimA. KunduC. M. PeltoC. RyderAnthony SchmitzK. SethiD. SegheteD. J. TownerA. J. WelshJ. WeberC. AuthReliability Characteristics of a High Density Metal- Insulator-Metal Capacitor on Intel's 10+ Process.IRPS1-42020Conference and Workshop Papersclosedconf/irps/LinABGHKKPRSSST2010.1109/IRPS45951.2020.9128312https://doi.org/10.1109/IRPS45951.2020.9128312https://dblp.org/rec/conf/irps/LinABGHKKPRSSST20URL#1801421Tianshi LiuShengnan ZhuSusanna YuDiang XingArash SalemiMinseok KangKristen BoothMarvin H. WhiteAnant K. AgarwalGate Oxide Reliability Studies of Commercial 1.2 kV 4H-SiC Power MOSFETs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/LiuZYXSKBWA2010.1109/IRPS45951.2020.9129486https://doi.org/10.1109/IRPS45951.2020.9129486https://dblp.org/rec/conf/irps/LiuZYXSKBWA20URL#1801422Chieh Roger LoTeng-Hao YehWei-Chen ChenHang-Ting LueKeh-Chung WangChih-Yuan LuYao-Wen ChangYung-Hsiang ChenChu-Yung LiuStudy of the Walk-Out Effect of Junction Breakdown Instability of the High-Voltage Depletion-Mode N-Channel MOSFET for NAND Flash Peripheral Device and an Efficient Layout Solution.IRPS1-62020Conference and Workshop Papersclosedconf/irps/LoYCLWLCCLL2010.1109/IRPS45951.2020.9129216https://doi.org/10.1109/IRPS45951.2020.9129216https://dblp.org/rec/conf/irps/LoYCLWLCCLL20URL#1801423Jordan LocatiVincenzo Della MarcaChristian RiveroArnaud RégnierStephan NielKarine CouliéAC stress reliability study of a new high voltage transistor for logic memory circuits.IRPS1-52020Conference and Workshop Papersclosedconf/irps/LocatiMRRNC2010.1109/IRPS45951.2020.9128832https://doi.org/10.1109/IRPS45951.2020.9128832https://dblp.org/rec/conf/irps/LocatiMRRNC20URL#1801424Hang-Ting LuePo-Kai HsuKeh-Chung WangChih-Yuan LuIntroduction of Non-Volatile Computing In Memory (nvCIM) by 3D NAND Flash for Inference Accelerator of Deep Neural Network (DNN) and the Read Disturb Reliability Evaluation : (Invited Paper).IRPS1-62020Conference and Workshop Papersclosedconf/irps/LueHWL2010.1109/IRPS45951.2020.9128340https://doi.org/10.1109/IRPS45951.2020.9128340https://dblp.org/rec/conf/irps/LueHWL20URL#1801425Laiqiang LuoKalya ShubhakarSen MeiNagarajan RaghavanFan ZhangDanny ShumKin Leong PeyReliability and Breakdown Study of Erase Gate Oxide in Split-Gate Non-Volatile Memory Device.IRPS1-62020Conference and Workshop Papersclosedconf/irps/LuoSMRZSP2010.1109/IRPS45951.2020.9128911https://doi.org/10.1109/IRPS45951.2020.9128911https://dblp.org/rec/conf/irps/LuoSMRZSP20URL#1801426Sebastian MaaßHans ReisingerThomas AichingerGerald RescherInfluence of high-voltage gate-oxide pulses on the BTI behavior of SiC MOSFETs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/MaassRAR2010.1109/IRPS45951.2020.9129232https://doi.org/10.1109/IRPS45951.2020.9129232https://dblp.org/rec/conf/irps/MaassRAR20URL#1801427Charles MackinPritish NarayananStefano AmbrogioHsinyu TsaiKatie SpoonAndrea FasoliAn ChenAlexander M. FrizRobert M. ShelbyGeoffrey W. BurrNeuromorphic Computing with Phase Change, Device Reliability, and Variability Challenges.IRPS1-102020Conference and Workshop Papersclosedconf/irps/MackinNATSFCFSB2010.1109/IRPS45951.2020.9128315https://doi.org/10.1109/IRPS45951.2020.9128315https://dblp.org/rec/conf/irps/MackinNATSFCFSB20URL#1801428David MaldonadoJuan Bautista RoldánAndrés M. RoldánFrancisco Jiménez-MolinosFei HuiY. ShiXu JingChao WenMario LanzaInfluence of the magnetic field on dielectric breakdown in memristors based on h-BN stacks.IRPS1-52020Conference and Workshop Papersclosedconf/irps/MaldonadoRRJHSJ2010.1109/IRPS45951.2020.9128325https://doi.org/10.1109/IRPS45951.2020.9128325https://dblp.org/rec/conf/irps/MaldonadoRRJHSJ20URL#1801429Andreas Martin 0002Angelika KampA New Implementation Approach for Reliability Design Rules against Plasma Induced Charging Damage from Well Configurations of Complex ICs.IRPS1-92020Conference and Workshop Papersclosedconf/irps/MartinK2010.1109/IRPS45951.2020.9128866https://doi.org/10.1109/IRPS45951.2020.9128866https://dblp.org/rec/conf/irps/MartinK20URL#1801430Fabrizio MasinMatteo MeneghiniEleonora CanatoAlessandro BarbatoCarlo De SantiArno StockmanAbhishek Banerjee 0003Peter MoensEnrico ZanoniGaudenzio MeneghessoCharge Trapping and Stability of E-Mode p-gate GaN HEMTs Under Soft- and Hard- Switching Conditions.IRPS1-42020Conference and Workshop Papersclosedconf/irps/MasinMCBSSBMZM2010.1109/IRPS45951.2020.9129116https://doi.org/10.1109/IRPS45951.2020.9129116https://dblp.org/rec/conf/irps/MasinMCBSSBMZM20URL#1801431John M. McGloneGuy BrizarDaniel VanderstraetenDorai IyerSallie HoseJeff P. GambinoEffect of Residual TiN on Reliability of Au Wire Bonds during High Temperature Storage.IRPS1-52020Conference and Workshop Papersclosedconf/irps/McGloneBVIHG2010.1109/IRPS45951.2020.9128891https://doi.org/10.1109/IRPS45951.2020.9128891https://dblp.org/rec/conf/irps/McGloneBVIHG20URL#1801432Kuo-Hsuan MengA Method to Analyze Aging Effect on ESD Protection Design.IRPS1-62020Conference and Workshop Papersclosedconf/irps/Meng2010.1109/IRPS45951.2020.9129333https://doi.org/10.1109/IRPS45951.2020.9129333https://dblp.org/rec/conf/irps/Meng20URL#1801433Inanc MericStephen RameySteven R. NovakS. GuptaS. P. MudanaiJeffrey HicksModeling Framework for Transistor Aging Playback in Advanced Technology Nodes.IRPS1-62020Conference and Workshop Papersclosedconf/irps/MericRNGMH2010.1109/IRPS45951.2020.9129601https://doi.org/10.1109/IRPS45951.2020.9129601https://dblp.org/rec/conf/irps/MericRNGMH20URL#1801434Jakob MichlAlexander GrillDieter ClaesGerhard RzepaBen KaczerDimitri LintenIuliana P. RaduTibor GrasserMichael WaltlQuantum Mechanical Charge Trap Modeling to Explain BTI at Cryogenic Temperatures.IRPS1-62020Conference and Workshop Papersclosedconf/irps/MichlGCRKTRGW2010.1109/IRPS45951.2020.9128349https://doi.org/10.1109/IRPS45951.2020.9128349https://dblp.org/rec/conf/irps/MichlGCRKTRGW20URL#1801435Mary A. MillerEdward I. ColeGarth M. KrausPerry J. RobertsonAt-Speed Defect Localization by Combining Laser Scanning Microscopy and Power Spectrum Analysis.IRPS1-52020Conference and Workshop Papersclosedconf/irps/MillerCKR2010.1109/IRPS45951.2020.9129560https://doi.org/10.1109/IRPS45951.2020.9129560https://dblp.org/rec/conf/irps/MillerCKR20URL#1801436Subrat MishraPieter WeckxJi-Yung LinBen KaczerDimitri LintenAlessio SpessotFrancky CatthoorFast & Accurate Methodology for Aging Incorporation in Circuits using Adaptive Waveform Splitting (AWS).IRPS1-52020Conference and Workshop Papersclosedconf/irps/MishraWLKLSC2010.1109/IRPS45951.2020.9129351https://doi.org/10.1109/IRPS45951.2020.9129351https://dblp.org/rec/conf/irps/MishraWLKLSC20URL#1801437Wataru MizubayashiHiroshi OkaKoichi FukudaYuki IshikawaKazuhiko EndoAnalysis of charge-to-hot-carrier degradation in Ge pFinFETs.IRPS1-42020Conference and Workshop Papersclosedconf/irps/MizubayashiOFIE2010.1109/IRPS45951.2020.9129279https://doi.org/10.1109/IRPS45951.2020.9129279https://dblp.org/rec/conf/irps/MizubayashiOFIE20URL#1801438M. MonishmuraliMilova PaulMayank ShrivastavaImproved Turn-on Uniformity & Failure Current Density by n-& p-Tap Engineering in Fin Based SCRs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/MonishmuraliPS2010.1109/IRPS45951.2020.9129356https://doi.org/10.1109/IRPS45951.2020.9129356https://dblp.org/rec/conf/irps/MonishmuraliPS20URL#1801439Kalparupa MukherjeeCarlo De SantiGaudenzio MeneghessoEnrico ZanoniMatteo MeneghiniShuzhen YouKaren GeensMatteo BorgaBenoit BakerootStefaan DecoutereDemonstration of Bilayer Gate Insulator for Improved Reliability in GaN-on-Si Vertical Transistors.IRPS1-52020Conference and Workshop Papersclosedconf/irps/MukherjeeSMZMYG2010.1109/IRPS45951.2020.9129098https://doi.org/10.1109/IRPS45951.2020.9129098https://dblp.org/rec/conf/irps/MukherjeeSMZMYG20URL#1801440Sarath Mohanachandran NairRajendra BishnoiMehdi B. TahooriHouman ZahedmaneshKristof CroesKevin GarelloGouri Sankar KarFrancky CatthoorPhysics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects.IRPS1-52020Conference and Workshop Papersclosedconf/irps/NairBTZCGKC2010.1109/IRPS45951.2020.9128313https://doi.org/10.1109/IRPS45951.2020.9128313https://dblp.org/rec/conf/irps/NairBTZCGKC20URL#1801441Khai NguyenErnie OpinianoRandolph MahBackside Die-Edge and Underfill Fillet Cracks Induced by Additional Tensile Stress from Increasing Die-to-Package Ratio in Bare-Die FCBGA.IRPS1-42020Conference and Workshop Papersclosedconf/irps/NguyenOM2010.1109/IRPS45951.2020.9129218https://doi.org/10.1109/IRPS45951.2020.9129218https://dblp.org/rec/conf/irps/NguyenOM20URL#1801442Koichiro OkamotoRyusuke NebashiNaoki BannoXu BaiHideaki NumataNoriyuki IguchiMakoto MiyamuraHiromitsu HadaKazunori FunahashiTadahiko SugibayashiToshitsugu SakamotoMunehiro TadaON-state retention of Atom Switch eNVM for IoT/AI Inference Solution.IRPS1-42020Conference and Workshop Papersclosedconf/irps/OkamotoNBBNIMHF2010.1109/IRPS45951.2020.9128967https://doi.org/10.1109/IRPS45951.2020.9128967https://dblp.org/rec/conf/irps/OkamotoNBBNIMHF20URL#1801443Shanmuganathan PalanisamyJosef LutzR. Boldyrjew-MastThomas BaslerThermomechanical behaviour of inverse diode in SiC MOSFETs under surge current stress.IRPS1-62020Conference and Workshop Papersclosedconf/irps/PalanisamyLBB2010.1109/IRPS45951.2020.9129286https://doi.org/10.1109/IRPS45951.2020.9129286https://dblp.org/rec/conf/irps/PalanisamyLBB20URL#1801444Peter C. PaliwodaMohamed A. RabieOscar D. RestrepoEduardo Cruz SilvaE. KaltaliogluFernando GuarinKenneth BarnettJeffrey JohnsonWilliam TaylorMyra BoenkeByoung MinThermal Characterization and TCAD Modeling of a Power Amplifier in 45RFSOI for 5G mmWave Applications.IRPS1-52020Conference and Workshop Papersclosedconf/irps/PaliwodaRRSKGBJ2010.1109/IRPS45951.2020.9129287https://doi.org/10.1109/IRPS45951.2020.9129287https://dblp.org/rec/conf/irps/PaliwodaRRSKGBJ20URL#1801445Nikolaos PapandreouHaralampos PozidisNikolas IoannouThomas P. ParnellRoman A. PletkaMilos StanisavljevicRadu StoicaSasa TomicPatrick BreenGary A. TresslerAaron FryTimothy FisherAndrew WallsOpen Block Characterization and Read Voltage Calibration of 3D QLC NAND Flash.IRPS1-62020Conference and Workshop Papersclosedconf/irps/PapandreouPIPPS2010.1109/IRPS45951.2020.9129620https://doi.org/10.1109/IRPS45951.2020.9129620https://dblp.org/rec/conf/irps/PapandreouPIPPS20URL#1801446Olalla Varela PedreiraMichele StucchiAnshul GuptaVictor Vega-GonzalezMarleen van der VeenStephane LariviereChristopher J. WilsonZsolt TökeiKristof CroesMetal reliability mechanisms in Ruthenium interconnects.IRPS1-72020Conference and Workshop Papersclosedconf/irps/PedreiraSGGVLWT2010.1109/IRPS45951.2020.9129087https://doi.org/10.1109/IRPS45951.2020.9129087https://dblp.org/rec/conf/irps/PedreiraSGGVLWT20URL#1801447Rahul R. PoteraTony WittYongju ZhengAnalysis of Transient HTRB Leakage in a SiC Field Ring Termination.IRPS1-52020Conference and Workshop Papersclosedconf/irps/PoteraWZ2010.1109/IRPS45951.2020.9129571https://doi.org/10.1109/IRPS45951.2020.9129571https://dblp.org/rec/conf/irps/PoteraWZ20URL#1801448Chetan PrasadSunny ChughHannes GreveI-chen HoEnamul KabirCheyun LinMahjabin MaksudSteven R. NovakBenjamin OrrKeun Woo ParkAnthony SchmitzZhizheng Zhang 0006Peng BaiDoug B. IngerlyEmre ArmaganHsinwei WuPatrick N. StoverLance HibbelerMichael O'DayDaniel PantusoSilicon Reliability Characterization of Intel's Foveros 3D Integration Technology for Logic-on-Logic Die Stacking.IRPS1-52020Conference and Workshop Papersclosedconf/irps/PrasadCGHKLMNOP2010.1109/IRPS45951.2020.9129277https://doi.org/10.1109/IRPS45951.2020.9129277https://dblp.org/rec/conf/irps/PrasadCGHKLMNOP20URL#1801449Vamsi PutchaErik BuryJacopo FrancoAmey WalkeSimeng ZhaoUthayasankaran PeralaguMing ZhaoAliReza AlianBen KaczerNiamh WaldronDimitri LintenBertrand ParvaisNadine CollaertExploring the DC reliability metrics for scaled GaN-on-Si devices targeted for RF/5G applications.IRPS1-82020Conference and Workshop Papersclosedconf/irps/PutchaBFWZPZAKW2010.1109/IRPS45951.2020.9129251https://doi.org/10.1109/IRPS45951.2020.9129251https://dblp.org/rec/conf/irps/PutchaBFWZPZAKW20URL#1801450Yiming QuJiwu LuJunkang LiZhuo ChenJie ZhangChunlong LiShiuh-Wuu LeeYi ZhaoIn-Situ Monitoring of Self-Heating Effect in Aggressively Scaled FinFETs and Its Quantitative Impact on Hot Carrier Degradation Under Dynamic Circuit Operation.IRPS1-62020Conference and Workshop Papersclosedconf/irps/QuLLCZLLZ2010.1109/IRPS45951.2020.9129591https://doi.org/10.1109/IRPS45951.2020.9129591https://dblp.org/rec/conf/irps/QuLLCZLLZ20URL#1801451Somayyeh RahimiChristian Schmidt 0026Joy Y. LiaoHoward Lee MarksKyung Mo ShinImpact of X-Ray Radiation on the Reliability of Logic Integrated Circuits.IRPS1-42020Conference and Workshop Papersclosedconf/irps/RahimiSLMS2010.1109/IRPS45951.2020.9128356https://doi.org/10.1109/IRPS45951.2020.9128356https://dblp.org/rec/conf/irps/RahimiSLMS20URL#1801452Krishnaswamy RamkumarVenkatraman PrabhakarVineet AgrawalLong HinhSwatilekha SahaSantanu Kumar SamantaRavindra M. KapreReliability Aspects of SONOS Based Analog Memory for Neuromorphic Computing.IRPS1-52020Conference and Workshop Papersclosedconf/irps/RamkumarPAHSSK2010.1109/IRPS45951.2020.9129638https://doi.org/10.1109/IRPS45951.2020.9129638https://dblp.org/rec/conf/irps/RamkumarPAHSSK20URL#1801453Rakesh RanjanCharles B. LaRowKi-Don LeeMinhyo KangPavitra R. PerepaMd. Shahriar RahmanBong Ki LeeDavid MoreauCarolyn Cariss-DanielsTimothy BasfordColby CallahanMaihan NguyenGil Heyun ChoiHyunchul SagongHwaSung RheeTrap Density Modulation for IO FinFET NBTI Improvement.IRPS1-52020Conference and Workshop Papersclosedconf/irps/RanjanLLKPRLMCB2010.1109/IRPS45951.2020.9129066https://doi.org/10.1109/IRPS45951.2020.9129066https://dblp.org/rec/conf/irps/RanjanLLKPRLMCB20URL#1801454Elias ReeseThe Role of RF Operational Life Testing in Evaluating III-V Devices Addressing RF Through Millimeter-wave Applications.IRPS1-42020Conference and Workshop Papersclosedconf/irps/Reese2010.1109/IRPS45951.2020.9128321https://doi.org/10.1109/IRPS45951.2020.9128321https://dblp.org/rec/conf/irps/Reese20URL#1801455Rodolfo A. Rodriguez-DavilaRichard A. ChapmanMassimo CatalanoManuel Quevedo-LopezChadwin D. YoungEnhanced Threshold Voltage Stability in ZnO Thin-Film-Transistors by Excess of Oxygen in Atomic Layer Deposited Al2O3.IRPS1-52020Conference and Workshop Papersclosedconf/irps/Rodriguez-Davila2010.1109/IRPS45951.2020.9129345https://doi.org/10.1109/IRPS45951.2020.9129345https://dblp.org/rec/conf/irps/Rodriguez-Davila20URL#1801456Rosana RodríguezAlbert Crespo-YepesJavier Martín-MartínezMontserrat NafríaXavier AragonèsDiego MateoEnrique BarajasExperimental Monitoring of Aging in CMOS RF Linear Power Amplifiers: Correlation Between Device and Circuit Degradation.IRPS1-72020Conference and Workshop Papersclosedconf/irps/RodriguezCMNAMB2010.1109/IRPS45951.2020.9129301https://doi.org/10.1109/IRPS45951.2020.9129301https://dblp.org/rec/conf/irps/RodriguezCMNAMB20URL#1801457Juan Bautista RoldánDavid MaldonadoFrancisco Jiménez-MolinosChristian AcalJuan Eloy Ruiz-CastroAna M. AguileraFei HuiJ. KongY. ShiXu JingChao WenMarco Antonio VillenaMario LanzaReversible dielectric breakdown in h-BN stacks: a statistical study of the switching voltages.IRPS1-52020Conference and Workshop Papersclosedconf/irps/RoldanMJARAHKSJ2010.1109/IRPS45951.2020.9129147https://doi.org/10.1109/IRPS45951.2020.9129147https://dblp.org/rec/conf/irps/RoldanMJARAHKSJ20URL#1801458Sourov RoyAbu HanifFaisal KhanDegradation Detection of Power Switches in a Live Three Phase Inverter using SSTDR Signal Embedded PWM Sequence.IRPS1-72020Conference and Workshop Papersclosedconf/irps/RoyHK2010.1109/IRPS45951.2020.9128311https://doi.org/10.1109/IRPS45951.2020.9128311https://dblp.org/rec/conf/irps/RoyHK20URL#1801459Bernhard RuchGregor PobegenChristian SchleichTibor GrasserGeneration of Hot-Carrier Induced Border and Interface Traps, Investigated by Spectroscopic Charge Pumping.IRPS1-62020Conference and Workshop Papersclosedconf/irps/RuchPSG2010.1109/IRPS45951.2020.9129513https://doi.org/10.1109/IRPS45951.2020.9129513https://dblp.org/rec/conf/irps/RuchPSG20URL#1801460Madankumar SampathDallas T. MorisetteJames A. CooperConstant-Gate-Charge Scaling for Increased Short-Circuit Withstand Time in SiC Power Devices.IRPS1-42020Conference and Workshop Papersclosedconf/irps/SampathMC2010.1109/IRPS45951.2020.9128220https://doi.org/10.1109/IRPS45951.2020.9128220https://dblp.org/rec/conf/irps/SampathMC20URL#1801461Victor M. van SantenSimon ThomannChaitanya PasupuletiPaul R. GensslerNarendra GangwarUma SharmaJörg HenkelSouvik MahapatraHussam AmrouchBTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity.IRPS1-72020Conference and Workshop Papersclosedconf/irps/SantenTPGGSHMA2010.1109/IRPS45951.2020.9128342https://doi.org/10.1109/IRPS45951.2020.9128342https://dblp.org/rec/conf/irps/SantenTPGGSHMA20URL#1801462Simon SchlipfAndré ClausnerJens PaulSimone CapecchiLaura WamberaKarsten MeierEhrenfried ZschechNanoindentation to investigate IC stability using ring oscillator circuits as a CPI sensor.IRPS1-62020Conference and Workshop Papersclosedconf/irps/SchlipfCPCWMZ2010.1109/IRPS45951.2020.9128221https://doi.org/10.1109/IRPS45951.2020.9128221https://dblp.org/rec/conf/irps/SchlipfCPCWMZ20URL#1801463Harumi SekiYasushi NakasakiYuichiro MitaniFurther Investigation on Mechanism of Trap Level Modulation in Silicon Nitride Films by Fluorine Incorporation.IRPS1-72020Conference and Workshop Papersclosedconf/irps/SekiNM2010.1109/IRPS45951.2020.9128224https://doi.org/10.1109/IRPS45951.2020.9128224https://dblp.org/rec/conf/irps/SekiNM20URL#1801464Tian ShenKoji WatanabeHuimei ZhouMichael BelyanskyErin StuckertJingyun ZhangAndrew GreeneVeeraraghavan S. BaskerMiaomiao Wang 0006A new technique for evaluating stacked nanosheet inner spacer TDDB reliability.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ShenWZBSZGBW2010.1109/IRPS45951.2020.9129258https://doi.org/10.1109/IRPS45951.2020.9129258https://dblp.org/rec/conf/irps/ShenWZBSZGBW20URL#1801465Wonbo ShimYandong LuoJae-sun SeoShimeng YuImpact of Read Disturb on Multilevel RRAM based Inference Engine: Experiments and Model Prediction.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ShimLSY2010.1109/IRPS45951.2020.9129252https://doi.org/10.1109/IRPS45951.2020.9129252https://dblp.org/rec/conf/irps/ShimLSY20URL#1801466Rajat SinhaPrasenjit BhattacharyaSanjiv SambandanMayank ShrivastavaThreshold Voltage Shift in a-Si: H Thin film Transistors under ESD stress Conditions.IRPS1-62020Conference and Workshop Papersclosedconf/irps/SinhaBSS2010.1109/IRPS45951.2020.9128355https://doi.org/10.1109/IRPS45951.2020.9128355https://dblp.org/rec/conf/irps/SinhaBSS20URL#1801467Hyeong-Sub SongSunil Babu EadiHyun-Dong SongHyun-Woong ChoiGa-Won Lee 0001Hi-Deok LeeInvestigation of Random Telegraph Noise Characteristics with Intentional Hot Carrier Aging.IRPS1-42020Conference and Workshop Papersclosedconf/irps/SongESCLL2010.1109/IRPS45951.2020.9129212https://doi.org/10.1109/IRPS45951.2020.9129212https://dblp.org/rec/conf/irps/SongESCLL20URL#1801468Luis SorianoHector ValenciaKe-Xun SunRonald NelsonFast Neutron Irradiation Effects on Multiple Gallium Nitride (GaN) Device Reliability in Presence of Ambient Variations.IRPS1-62020Conference and Workshop Papersclosedconf/irps/SorianoVSN2010.1109/IRPS45951.2020.9129517https://doi.org/10.1109/IRPS45951.2020.9129517https://dblp.org/rec/conf/irps/SorianoVSN20URL#1801469P. Srinivasan 0002Paul ColestockThomas SamuelsStephen MossFernando GuarinByoung MinA novel methodology to evaluate RF reliability for SOI CMOS-based Power Amplifier mmWave applications.IRPS1-42020Conference and Workshop Papersclosedconf/irps/SrinivasanCSMGM2010.1109/IRPS45951.2020.9129588https://doi.org/10.1109/IRPS45951.2020.9129588https://dblp.org/rec/conf/irps/SrinivasanCSMGM20URL#1801470Chen-Yi SuMark ArmstrongSunny ChughMohammed El-tananiHannes GreveHai LiMahjabin MaksudBenjamin OrrChristopher PeriniJames PalmerLeif PaulsonStephen RameyJames WaldemerYang YangDave YoungReliability Characterization for 12 V Application Using the 22FFL FinFET Technology.IRPS1-52020Conference and Workshop Papersclosedconf/irps/SuACEGLMOPPPRWY2010.1109/IRPS45951.2020.9128314https://doi.org/10.1109/IRPS45951.2020.9128314https://dblp.org/rec/conf/irps/SuACEGLMOPPPRWY20URL#1801471Siddarth SundaresanVamsi MulpuriJaehoon ParkRanbir SinghReliability and Robustness Performance of 1200 V SiC DMOSFETs.IRPS1-42020Conference and Workshop Papersclosedconf/irps/SundaresanMPS2010.1109/IRPS45951.2020.9128225https://doi.org/10.1109/IRPS45951.2020.9128225https://dblp.org/rec/conf/irps/SundaresanMPS20URL#1801472Ketul B. SutariaJihan StandfestInanc MericAmirhossein H. DavoodySwaroop Kumar NamalapuriTrinadh MutyalaSupriya P.Balkaran GillStephen RameyJeffery HicksNovel Re-configurable Circuits For Aging Characterization: Connecting Devices to Circuits.IRPS1-52020Conference and Workshop Papersclosedconf/irps/SutariaSMDNMPGR2010.1109/IRPS45951.2020.9128347https://doi.org/10.1109/IRPS45951.2020.9128347https://dblp.org/rec/conf/irps/SutariaSMDNMPGR20URL#1801473Naohito SuzumuraKazuyuki OmoriHideaki TsuchiyaHideki AonoTomohiro YamashitaImpact of Anode-side Defect Generation on Inter-Level TDDB Degradation in Cu/Low-k Damascene Structures.IRPS1-62020Conference and Workshop Papersclosedconf/irps/SuzumuraOTAY2010.1109/IRPS45951.2020.9129094https://doi.org/10.1109/IRPS45951.2020.9129094https://dblp.org/rec/conf/irps/SuzumuraOTAY20URL#1801474Andrea Natale TallaricoSusanna ReggianiRiccardo DepetroGiuseppe CroceEnrico SangiorgiClaudio FiegnaFull Understanding of Hot Electrons and Hot/Cold Holes in the Degradation of p-channel Power LDMOS Transistors.IRPS1-52020Conference and Workshop Papersclosedconf/irps/TallaricoRDCSF2010.1109/IRPS45951.2020.9129112https://doi.org/10.1109/IRPS45951.2020.9129112https://dblp.org/rec/conf/irps/TallaricoRDCSF20URL#1801475Thong TranSudheer Reddy GundalaKomal SoniAaron BakerAdam FogleSandhya ChandrashekharNo Trouble Found (NTF) Customer Return Analysis.IRPS1-62020Conference and Workshop Papersclosedconf/irps/TranGSBFC2010.1109/IRPS45951.2020.9129635https://doi.org/10.1109/IRPS45951.2020.9129635https://dblp.org/rec/conf/irps/TranGSBFC20URL#1801476Stanislav TyaginovAlexander GrillMichiel VandemaeleTibor GrasserGeert HellingsAlexander MakarovMarkus JechDimitri LintenBen KaczerA Compact Physics Analytical Model for Hot-Carrier Degradation.IRPS1-72020Conference and Workshop Papersclosedconf/irps/TyaginovGVGHMJL2010.1109/IRPS45951.2020.9128327https://doi.org/10.1109/IRPS45951.2020.9128327https://dblp.org/rec/conf/irps/TyaginovGVGHMJL20URL#1801477Taiki UemuraByungjin ChungJeongmin JoHai Jiang 0005Yongsung JiTae-Young JeongRakesh RanjanSeungbae LeeHwasung RheeSangwoo PaeEuncheol LeeJaehee ChoiShota OhnishiKen MachidaBackside Alpha-Irradiation Test in Flip-Chip Package in EUV 7 nm FinFET SRAM.IRPS1-42020Conference and Workshop Papersclosedconf/irps/UemuraCJJJJRLRP2010.1109/IRPS45951.2020.9129331https://doi.org/10.1109/IRPS45951.2020.9129331https://dblp.org/rec/conf/irps/UemuraCJJJJRLRP20URL#1801478Taiki UemuraByungjin ChungJeongmin JoHai Jiang 0005Yongsung JiTae-Young JeongRakesh RanjanYoungin ParkKiil HongSeungbae LeeHwasung RheeSangwoo PaeEuncheol LeeJaehee ChoiShota OhnishiKen MachidaInvestigating of SER in 28 nm FDSOI-Planar and Comparing with SER in Bulk-FinFET.IRPS1-52020Conference and Workshop Papersclosedconf/irps/UemuraCJJJJRPHL2010.1109/IRPS45951.2020.9129644https://doi.org/10.1109/IRPS45951.2020.9129644https://dblp.org/rec/conf/irps/UemuraCJJJJRPHL20URL#1801479Michiel VandemaeleKai-Hsin ChuangErik BuryStanislav TyaginovGuido GroesenekenBen KaczerThe Influence of Gate Bias on the Anneal of Hot-Carrier Degradation.IRPS1-72020Conference and Workshop Papersclosedconf/irps/VandemaeleCBTGK2010.1109/IRPS45951.2020.9128218https://doi.org/10.1109/IRPS45951.2020.9128218https://dblp.org/rec/conf/irps/VandemaeleCBTGK20URL#1801480Dmitry VekslerGennadi BersukerAdam W. BushmakerMaribeth MasonP. R. ShresthaKin P. CheungJason P. CampbellThomas RueckesLee ClevelandHarry LuanDavid C. GilmerMemory update characteristics of carbon nanotube memristors (NRAM®) under circuitry-relevant operation conditions.IRPS1-42020Conference and Workshop Papersclosedconf/irps/VekslerBBMSCCRC2010.1109/IRPS45951.2020.9128335https://doi.org/10.1109/IRPS45951.2020.9128335https://dblp.org/rec/conf/irps/VekslerBBMSCCRC20URL#1801481Michael WaltlDefect Spectroscopy in SiC Devices.IRPS1-92020Conference and Workshop Papersclosedconf/irps/Waltl2010.1109/IRPS45951.2020.9129539https://doi.org/10.1109/IRPS45951.2020.9129539https://dblp.org/rec/conf/irps/Waltl20URL#1801482Nian-Jia WangKuan-Yi LeeHsin-Yi LinWei-Hao HsiaoMing-Yi LeeLi-Kuang KuoDing-Jhang LinYen-Hai ChaoChih-Yuan LuStatistical Analysis of Bit-Errors Distribution for Reliability of 3-D NAND Flash Memories.IRPS1-52020Conference and Workshop Papersclosedconf/irps/WangLLHLKLCL2010.1109/IRPS45951.2020.9128993https://doi.org/10.1109/IRPS45951.2020.9128993https://dblp.org/rec/conf/irps/WangLLHLKLCL20URL#1801483Yang WangChen WangTao Chen 0003Hao LiuChinte KuoKe ZhouBinfeng YinLin ChenQing-Qing SunFront-plane and Back-plane Bias Temperature Instability of 22 nm Gate-last FDSOI MOSFETs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/WangWCLKZYCS2010.1109/IRPS45951.2020.9129093https://doi.org/10.1109/IRPS45951.2020.9129093https://dblp.org/rec/conf/irps/WangWCLKZYCS20URL#1801484Yingzhe WangXuefeng ZhengJiaduo ZhuShengrui XuXiaohua MaJincheng ZhangYue HaoLinlin XuJiangnan DaiPeixian LiEvolution of Defect in AlGaN-based Deep Ultraviolet Light Emitting Diodes During Electrical Stress.IRPS1-42020Conference and Workshop Papersclosedconf/irps/WangZZXMZHXDL2010.1109/IRPS45951.2020.9128350https://doi.org/10.1109/IRPS45951.2020.9128350https://dblp.org/rec/conf/irps/WangZZXMZHXDL20URL#1801485Betting WehringRaik HoffmannLukas GerlichMalte CzernohorskyBenjamin UhligRobert SeidelTobias BarchewitzFrank SchlaphofLutz MeinshausenChristoph LeyensBEoL Reliability, XPS and REELS Study on low-k Dielectrics to understand Breakdown Mechanisms.IRPS1-52020Conference and Workshop Papersclosedconf/irps/WehringHGCUSBSM2010.1109/IRPS45951.2020.9129285https://doi.org/10.1109/IRPS45951.2020.9129285https://dblp.org/rec/conf/irps/WehringHGCUSBSM20URL#1801486Changbeom WooShinkeun KimJaeyeol ParkHyungcheol ShinHaesoo KimGil-Bok ChoiMoon-Sik SeoKeum Hwan NohModeling of Charge Failure Mechanisms during the Short Term Retention Depending on Program/Erase Cycle Counts in 3-D NAND Flash Memories.IRPS1-62020Conference and Workshop Papersclosedconf/irps/WooKPSKCSN2010.1109/IRPS45951.2020.9129306https://doi.org/10.1109/IRPS45951.2020.9129306https://dblp.org/rec/conf/irps/WooKPSKCSN20URL#1801487Chen WuAdrian Vaisman ChasinSteven DemuynckNaoto HoriguchiKris CroesConduction and Breakdown Mechanisms in Low-k Spacer and Nitride Spacer Dielectric Stacks in Middle of Line Interconnects.IRPS1-62020Conference and Workshop Papersclosedconf/irps/WuCDHC2010.1109/IRPS45951.2020.9128328https://doi.org/10.1109/IRPS45951.2020.9128328https://dblp.org/rec/conf/irps/WuCDHC20URL#1801488Robin WuytensSybren SantermansMihir GuptaBert Du BoisSimone SeveriLiesbet LagaeWim Van RoyKoen M. MartensTwo-Regime Drift in Electrolytically Gated FETs and BioFETs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/WuytensSGBSLRM2010.1109/IRPS45951.2020.9129124https://doi.org/10.1109/IRPS45951.2020.9129124https://dblp.org/rec/conf/irps/WuytensSGBSLRM20URL#1801489Peng XiaoHaris HadziosmanovicRong JiangMisagh Rostami-asrabadStephen RameyIlan TsamaretReliability Stressing Control Using Jacobian Feedback Kelvin Measurement on Intel Technologies.IRPS1-42020Conference and Workshop Papersclosedconf/irps/XiaoHJRRT2010.1109/IRPS45951.2020.9129327https://doi.org/10.1109/IRPS45951.2020.9129327https://dblp.org/rec/conf/irps/XiaoHJRRT20URL#1801490Lyuan XuJingchen CaoJohn BrockmanCarlo CazzanigaChristopher Frost 0002Shi-Jie WenRita FungBharat L. BhuvaThermal Neutron Induced Soft Errors in 7-nm Bulk FinFET Node.IRPS1-52020Conference and Workshop Papersclosedconf/irps/XuCBCFWFB2010.1109/IRPS45951.2020.9128360https://doi.org/10.1109/IRPS45951.2020.9128360https://dblp.org/rec/conf/irps/XuCBCFWFB20URL#1801491Lyuan XuJingchen CaoShi-Jie WenRita FungJamie MarkevitchDennis R. BallBharat L. BhuvaHigh-Current State triggered by Operating-Frequency Change.IRPS1-42020Conference and Workshop Papersclosedconf/irps/XuCWFMBB2010.1109/IRPS45951.2020.9128948https://doi.org/10.1109/IRPS45951.2020.9128948https://dblp.org/rec/conf/irps/XuCWFMBB20URL#1801492Marina YamaguchiShosuke FujiiKensuke OtaMasumi SaitohBreakdown Lifetime Analysis of HfO2-based Ferroelectric Tunnel Junction (FTJ) Memory for In-Memory Reinforcement Learning.IRPS1-62020Conference and Workshop Papersclosedconf/irps/YamaguchiFOS2010.1109/IRPS45951.2020.9129314https://doi.org/10.1109/IRPS45951.2020.9129314https://dblp.org/rec/conf/irps/YamaguchiFOS20URL#1801493Wen YangNicholas StollJiann-Shiun YuanESD Robustness of GaN-on-Si Power Devices under Substrate Biases by means of TLP/VFTLP Tests.IRPS1-52020Conference and Workshop Papersclosedconf/irps/YangSY2010.1109/IRPS45951.2020.9129538https://doi.org/10.1109/IRPS45951.2020.9129538https://dblp.org/rec/conf/irps/YangSY20URL#1801494Wen YangJiann-Shiun YuanBalakrishnan KrishnanAn-Jye TzouWen-Kuan YehSubstrate Bias Effect on Dynamic Characteristics of a Monolithically Integrated GaN Half-Bridge.IRPS1-52020Conference and Workshop Papersclosedconf/irps/YangYKTY2010.1109/IRPS45951.2020.9128309https://doi.org/10.1109/IRPS45951.2020.9128309https://dblp.org/rec/conf/irps/YangYKTY20URL#1801495Susanna YuTianshi LiuShengnan ZhuDiang XingArash SalemiMinseok KangKristen BoothMarvin H. WhiteAnant K. AgarwalThreshold Voltage Instability of Commercial 1.2 kV SiC Power MOSFETs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/YuLZXSKBWA2010.1109/IRPS45951.2020.9129071https://doi.org/10.1109/IRPS45951.2020.9129071https://dblp.org/rec/conf/irps/YuLZXSKBWA20URL#1801496Kuilong YuXiaojuan ZhuRui FangTingting MaKun HanZhongyi XiaHybrid HCI Degradation in Sub-micron NMOSFET due to Mixed Back-end Process Damages.IRPS1-42020Conference and Workshop Papersclosedconf/irps/YuZFMHX2010.1109/IRPS45951.2020.9129336https://doi.org/10.1109/IRPS45951.2020.9129336https://dblp.org/rec/conf/irps/YuZFMHX20URL#1801497Nicolò ZagniAlessandro ChiniFrancesco Maria PuglisiPaolo PavanMatteo MeneghiniGaudenzio MeneghessoEnrico ZanoniGiovanni VerzellesiTrap Dynamics Model Explaining the RON Stress/Recovery Behavior in Carbon-Doped Power AlGaN/GaN MOS-HEMTs.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ZagniCPPMMZV2010.1109/IRPS45951.2020.9128816https://doi.org/10.1109/IRPS45951.2020.9128816https://dblp.org/rec/conf/irps/ZagniCPPMMZV20URL#1801498Enrico ZanoniMatteo MeneghiniGaudenzio MeneghessoFabiana RampazzoDaniele MarconVeronica Gao ZhanFrancesca ChiocchettaAndreas GraffFrank AltmannMichél Simon-NajasekDavid PoppitzReliability Physics of GaN HEMT Microwave Devices: The Age of Scaling.IRPS1-102020Conference and Workshop Papersclosedconf/irps/ZanoniMMRMZCGAS2010.1109/IRPS45951.2020.9128358https://doi.org/10.1109/IRPS45951.2020.9128358https://dblp.org/rec/conf/irps/ZanoniMMRMZCGAS20URL#1801499Tommaso ZanottiFrancesco Maria PuglisiPaolo PavanCircuit Reliability Analysis of RRAM-based Logic-in-Memory Crossbar Architectures Including Line Parasitic Effects, Variability, and Random Telegraph Noise.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ZanottiPP2010.1109/IRPS45951.2020.9128343https://doi.org/10.1109/IRPS45951.2020.9128343https://dblp.org/rec/conf/irps/ZanottiPP20URL#1801500Ruizhe Zhang 0003Joseph P. KozakJingcun LiuMing XiaoYuhao ZhangSurge Energy Robustness of GaN Gate Injection Transistors.IRPS1-72020Conference and Workshop Papersclosedconf/irps/ZhangKLXZ2010.1109/IRPS45951.2020.9129324https://doi.org/10.1109/IRPS45951.2020.9129324https://dblp.org/rec/conf/irps/ZhangKLXZ20URL#1801501Rui Zhang 0048Zhaocheng LiuKexin Yang 0001Taizhi LiuWenshan CaiLinda MilorInverse Design of FinFET SRAM Cells.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ZhangLYLCM2010.1109/IRPS45951.2020.9129530https://doi.org/10.1109/IRPS45951.2020.9129530https://dblp.org/rec/conf/irps/ZhangLYLCM20URL#1801502Jeffrey Zhang 0008Antai XuDaniel GitlinDesmond YeoDynamic vs Static Burn-in for 16nm Production.IRPS1-32020Conference and Workshop Papersclosedconf/irps/ZhangXGY2010.1109/IRPS45951.2020.9128338https://doi.org/10.1109/IRPS45951.2020.9128338https://dblp.org/rec/conf/irps/ZhangXGY20URL#1801503Tian Shi ZhaoChun ZhaoIvona Z. MitrovicEng Gee LimLi YangChenghu QiuCe Zhou ZhaoFacile Route for Low-temperature Eco-friendly Solution Processed ZnSnO Thin-film Transistors.IRPS1-42020Conference and Workshop Papersclosedconf/irps/ZhaoZMLYQZ2010.1109/IRPS45951.2020.9128329https://doi.org/10.1109/IRPS45951.2020.9128329https://dblp.org/rec/conf/irps/ZhaoZMLYQZ20URL#1801504David C. ZhouWilliam LiJingyu ShenLeilei ChenThomas ZhaoKent LinMartin ZhangLarry ChenH. C. ChiuJeff Zhang 0003Roy K.-Y. WongReliability of 200mm E-mode GaN-on-Si Power HEMTs.IRPS1-32020Conference and Workshop Papersclosedconf/irps/ZhouLSCZLZCCZW2010.1109/IRPS45951.2020.9129220https://doi.org/10.1109/IRPS45951.2020.9129220https://dblp.org/rec/conf/irps/ZhouLSCZLZCCZW20URL#1801505Huimei ZhouMiaomiao Wang 0006Jingyun ZhangKoji WatanabeCurtis DurfeeShogo MochizukiRuqiang BaoRichard G. SouthwickMaruf BhuiyanBasker VeeraraghavanNBTI Impact of Surface Orientation in Stacked Gate-All-Around Nanosheet Transistor.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ZhouWZWDMBSBV2010.1109/IRPS45951.2020.9129023https://doi.org/10.1109/IRPS45951.2020.9129023https://dblp.org/rec/conf/irps/ZhouWZWDMBSBV20URL#1801506Longda ZhouQingzhu ZhangHong YangZhigang JiZhaohao ZhangRenren XuHuaxiang YinWenwu Wang 0006Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs.IRPS1-62020Conference and Workshop Papersclosedconf/irps/ZhouZYJZXYW2010.1109/IRPS45951.2020.9129562https://doi.org/10.1109/IRPS45951.2020.9129562https://dblp.org/rec/conf/irps/ZhouZYJZXYW20URL#1801507Oren ZonensainRoman RechterRobert KwasnickKeun-Woo ParkAnisur RahmanAlmog ReshefTal RazMaxim LevitAdvanced methods for CPU product reliability modeling and enhancement.IRPS1-52020Conference and Workshop Papersclosedconf/irps/ZonensainRKPRRR2010.1109/IRPS45951.2020.9129068https://doi.org/10.1109/IRPS45951.2020.9129068https://dblp.org/rec/conf/irps/ZonensainRKPRRR20URL#18015082020 IEEE International Reliability Physics Symposium, IRPS 2020, Dallas, TX, USA, April 28 - May 30, 2020IRPSIEEE2020Editorshipconf/irps/2020https://ieeexplore.ieee.org/xpl/conhome/9125439/proceedinghttps://dblp.org/rec/conf/irps/2020URL#1863394Fernando Leonel AguirreAndrea PadovaniAlok Ranjan 0001Nagarajan RaghavanNahuel VegaNahuel MullerSebastián Matías PazosMario DebrayJoel Molina ReyesKin Leong PeyFelix PalumboSpatio-Temporal Defect Generation Process in Irradiated HfO2 MOS Stacks: Correlated Versus Uncorrelated Mechanisms.IRPS1-82019Conference and Workshop Papersclosedconf/irps/AguirrePRRVMPDR1910.1109/IRPS.2019.8720539https://doi.org/10.1109/IRPS.2019.8720539https://dblp.org/rec/conf/irps/AguirrePRRVMPDR19URL#2228336Woojin AhnYen-Pu ChenMuhammad Ashraful AlamAn Analytical Transient Joule Heating Model for an Interconnect in a Modern IC: Material Selection (Cu, Co, Ru) and Cooling Strategies.IRPS1-62019Conference and Workshop Papersclosedconf/irps/AhnCA1910.1109/IRPS.2019.8720497https://doi.org/10.1109/IRPS.2019.8720497https://dblp.org/rec/conf/irps/AhnCA19URL#2228337Jae-Gyung AhnI-Ru ChenPing-Chin YehJonathan ChangDesign-For-Reliability Flow in 7nm Products with Data Center and Automotive Applications.IRPS1-52019Conference and Workshop Papersclosedconf/irps/AhnCYC1910.1109/IRPS.2019.8720594https://doi.org/10.1109/IRPS.2019.8720594https://dblp.org/rec/conf/irps/AhnCYC19URL#2228338Ayayi C. AhyiSarit DharZeynep DilliAkin AkturkNeil GoldsmanA. GhanbariReliability Testing of SiC MOS Devices at 500°C.IRPS1-42019Conference and Workshop Papersclosedconf/irps/AhyiDDAGG1910.1109/IRPS.2019.8720571https://doi.org/10.1109/IRPS.2019.8720571https://dblp.org/rec/conf/irps/AhyiDDAGG19URL#2228339James P. AshtonPatrick M. LenahanDaniel J. LichtenwalnerAivars J. LelisMark A. Anders 0002Reliability and Performance Issues in SiC MOSFETs: Insight Provided by Spin Dependent Recombination.IRPS1-52019Conference and Workshop Papersclosedconf/irps/AshtonLLLA1910.1109/IRPS.2019.8720423https://doi.org/10.1109/IRPS.2019.8720423https://dblp.org/rec/conf/irps/AshtonLLLA19URL#2228340Besar AsllaniAlberto CastellazziOriol Avino-SalvadoAsad FayyazHervé MorelDominique PlansonVTH-Hysteresis and Interface States Characterisation in SiC Power MOSFETs with Planar and Trench Gate.IRPS1-62019Conference and Workshop Papersclosedconf/irps/AsllaniCAFMP1910.1109/IRPS.2019.8720612https://doi.org/10.1109/IRPS.2019.8720612https://dblp.org/rec/conf/irps/AsllaniCAFMP19URL#2228341Jyotika AthavaleRiccardo MarianiMichael PaulitschFlight Safety Certification Implications for Complex Multi-Core Processor Based Avionics Systems.IRPS1-62019Conference and Workshop Papersclosedconf/irps/AthavaleMP1910.1109/IRPS.2019.8720422https://doi.org/10.1109/IRPS.2019.8720422https://dblp.org/rec/conf/irps/AthavaleMP19URL#2228342Albert G. BacaB. A. KleinA. M. ArmstrongA. A. AllermanE. A. DouglasT. R. FortuneR. J. KaplarStability in Fluorine-Treated Al-Rich High Electron Mobility Transistors with 85% Al-Barrier Composition.IRPS1-42019Conference and Workshop Papersclosedconf/irps/BacaKAADFK1910.1109/IRPS.2019.8720524https://doi.org/10.1109/IRPS.2019.8720524https://dblp.org/rec/conf/irps/BacaKAADFK19URL#2228343Sandeep R. BahlPaul BrohlinA New Approach to Validate GaN FET Reliability to Power-Line Surges Under Use-Conditions.IRPS1-42019Conference and Workshop Papersclosedconf/irps/BahlB1910.1109/IRPS.2019.8720479https://doi.org/10.1109/IRPS.2019.8720479https://dblp.org/rec/conf/irps/BahlB19URL#2228344Sriram BalasubramanianHari BalanLei LiuKevin KhuaWah-Peng NeoDianji SuiTze Ho Simon ChanEnhanced Fail Rate Projections Using Negative Design Assist in Automotive Grade SRAMs.IRPS1-42019Conference and Workshop Papersclosedconf/irps/Balasubramanian1910.1109/IRPS.2019.8720397https://doi.org/10.1109/IRPS.2019.8720397https://dblp.org/rec/conf/irps/Balasubramanian19URL#2228345Christopher H. BennettDiana GarlandRobin B. Jacobs-GedrimSapan AgarwalMatthew J. MarinellaWafer-Scale TaOx Device Variability and Implications for Neuromorphic Computing Applications.IRPS1-42019Conference and Workshop Papersclosedconf/irps/BennettGJAM1910.1109/IRPS.2019.8720596https://doi.org/10.1109/IRPS.2019.8720596https://dblp.org/rec/conf/irps/BennettGJAM19URL#2228346Sofie BeyneOlalla Varela PedreiraIngrid De WolfZsolt TökeiKristof CroesLow-Frequency Noise Measurements to Characterize Cu-Electromigration Down to 44nm Metal Pitch.IRPS1-62019Conference and Workshop Papersclosedconf/irps/BeynePWTC1910.1109/IRPS.2019.8720562https://doi.org/10.1109/IRPS.2019.8720562https://dblp.org/rec/conf/irps/BeynePWTC19URL#2228347Pavel BolshakovRodolfo A. Rodriguez-DavilaManuel Quevedo-LopezChadwin D. YoungPositive Bias Instability in ZnO TFTs with Al2O3 Gate Dielectric.IRPS1-52019Conference and Workshop Papersclosedconf/irps/BolshakovRQY1910.1109/IRPS.2019.8720547https://doi.org/10.1109/IRPS.2019.8720547https://dblp.org/rec/conf/irps/BolshakovRQY19URL#2228348Claire McKay BowenNathan DeBardelebenSean BlanchardChristine M. Anderson-CookDo Solar Proton Events Reduce the Number of Faults in Supercomputers?: A Comparative Analysis of Faults During and without Solar Proton Events.IRPS1-52019Conference and Workshop Papersclosedconf/irps/BowenDBA1910.1109/IRPS.2019.8720561https://doi.org/10.1109/IRPS.2019.8720561https://dblp.org/rec/conf/irps/BowenDBA19URL#2228349M. L. BreedingRobert A. ReedK. M. WarrenMichael L. AllesExploration of the Impact of Physical Integration Schemes on Soft Errors in 3D ICs Using Monte Carlo Simulation.IRPS1-72019Conference and Workshop Papersclosedconf/irps/BreedingRWA1910.1109/IRPS.2019.8720545https://doi.org/10.1109/IRPS.2019.8720545https://dblp.org/rec/conf/irps/BreedingRWA19URL#2228350Erik BuryAdrian Vaisman ChasinMichiel VandemaeleSimon Van BeekJacopo FrancoBen KaczerDimitri LintenArray-Based Statistical Characterization of CMOS Degradation Modes and Modeling of the Time-Dependent Variability Induced by Different Stress Patterns in the {VG, VD} bias space.IRPS1-62019Conference and Workshop Papersclosedconf/irps/BuryCVBFKL1910.1109/IRPS.2019.8720592https://doi.org/10.1109/IRPS.2019.8720592https://dblp.org/rec/conf/irps/BuryCVBFKL19URL#2228351Florian CachoX. FederspielD. NouguierCheikh DioufInvestigation of NBTI Dynamic Behavior with Ultra-Fast Measurement.IRPS1-62019Conference and Workshop Papersclosedconf/irps/CachoFND1910.1109/IRPS.2019.8720400https://doi.org/10.1109/IRPS.2019.8720400https://dblp.org/rec/conf/irps/CachoFND19URL#2228352Carlo CagliLuca PerniolaFred GaillardStefan DünkelThomas MeldeB. MuellerMartin TrentzschSven WittekSven BeyerPerformance Improvement on HfO2-Based 1T Ferroelectric NVM by Electrical Preconditioning.IRPS1-42019Conference and Workshop Papersclosedconf/irps/CagliPGDMMTWB1910.1109/IRPS.2019.8720449https://doi.org/10.1109/IRPS.2019.8720449https://dblp.org/rec/conf/irps/CagliPGDMMTWB19URL#2228353Eleonora CanatoFabrizio MasinMatteo BorgaEnrico ZanoniMatteo MeneghiniGaudenzio MeneghessoArno StockmanAbhishek Banerjee 0003Peter Moensµs-Range Evaluation of Threshold Voltage Instabilities of GaN-on-Si HEMTs with p-GaN Gate.IRPS1-62019Conference and Workshop Papersclosedconf/irps/CanatoMBZMMSBM1910.1109/IRPS.2019.8720549https://doi.org/10.1109/IRPS.2019.8720549https://dblp.org/rec/conf/irps/CanatoMBZMMSBM19URL#2228354Rui CaoJixuan WuWenjing YangJiezhi ChenXiangwei JiangProgram/Erase Cycling Enhanced Lateral Charge Diffusion in Triple-Level Cell Charge-Trapping 3D NAND Flash Memory.IRPS1-42019Conference and Workshop Papersclosedconf/irps/CaoWYCJ1910.1109/IRPS.2019.8720412https://doi.org/10.1109/IRPS.2019.8720412https://dblp.org/rec/conf/irps/CaoWYCJ19URL#2228355Jingchen CaoLyuan XuBharat L. BhuvaShi-Jie WenRichard WongBalaji NarasimhamLloyd W. MassengillAlpha Particle Soft-Error Rates for D-FF Designs in 16-Nm and 7-Nm Bulk FinFET Technologies.IRPS1-52019Conference and Workshop Papersclosedconf/irps/CaoXBWWNM1910.1109/IRPS.2019.8720556https://doi.org/10.1109/IRPS.2019.8720556https://dblp.org/rec/conf/irps/CaoXBWWNM19URL#2228356Eduard A. CartierWanki KimNanbo GongTayfun GokmenMartin M. FrankDouglas M. BishopYoungseok KimSeyoung KimTakashi AndoErnest Y. WuPraneet AdusumilliJohn RozenPaul M. SolomonWilfried HaenschMatthew J. BrightSkyAbu SebastianGeoffrey W. BurrVijay NarayananReliability Challenges with Materials for Analog Computing.IRPS1-102019Conference and Workshop Papersclosedconf/irps/CartierKGGFBKKA1910.1109/IRPS.2019.8720599https://doi.org/10.1109/IRPS.2019.8720599https://dblp.org/rec/conf/irps/CartierKGGFBKKA19URL#2228357Edoardo CeccarelliKevin ManningSeamus MaxwellColm HeffernanGIDL Increase Due to HCI Stress: Correlation Study of MOSFET Degradation Parameters and Modelling for Reliability Simulation.IRPS1-52019Conference and Workshop Papersclosedconf/irps/CeccarelliMMH1910.1109/IRPS.2019.8720590https://doi.org/10.1109/IRPS.2019.8720590https://dblp.org/rec/conf/irps/CeccarelliMMH19URL#2228358Norman ChangWentze ChuangGanesh Kumar TsavatanalliJoao GeadaHao ZhuangSankar RamachandranRahul RajanYing-Shiun LiYaowei JiaMathew KaipanatuSuresh Kumar MantenaMing-Chih ShihAnita YangJyh-Shing Roger JangApplying Machine Learning to Design for Reliability Coverage.IRPS1-72019Conference and Workshop Papersclosedconf/irps/ChangCTGZRRLJKM1910.1109/IRPS.2019.8720569https://doi.org/10.1109/IRPS.2019.8720569https://dblp.org/rec/conf/irps/ChangCTGZRRLJKM19URL#2228359Venkata Chaitanya Krishna ChekuriArvind SinghNihar DasariSaibal MukhopadhyayOn the Effect of NBTI Induced Aging of Power Stage on the Transient Performance of On-Chip Voltage Regulators.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ChekuriSDM1910.1109/IRPS.2019.8720451https://doi.org/10.1109/IRPS.2019.8720451https://dblp.org/rec/conf/irps/ChekuriSDM19URL#2228360Chun-Cheng ChenMing-Dou KerInvestigation on Latch-Up Path Between I/O PMOS and Core PMOS in a 0.18-μm CMOS Process.IRPS1-42019Conference and Workshop Papersclosedconf/irps/ChenK1910.1109/IRPS.2019.8720563https://doi.org/10.1109/IRPS.2019.8720563https://dblp.org/rec/conf/irps/ChenK19URL#2228361Shih-Hung ChenDimitri LintenGeert HellingsMarko SimicicBen KaczerThomas ChiarellaHans MertensJérôme MitardAnda MocutaN. HoriguchiCDM-Time Domain Turn-on Transient of ESD Diodes in Bulk FinFET and GAA NW Technologies.IRPS1-72019Conference and Workshop Papersclosedconf/irps/ChenLHSKCMMMH1910.1109/IRPS.2019.8720432https://doi.org/10.1109/IRPS.2019.8720432https://dblp.org/rec/conf/irps/ChenLHSKCMMMH19URL#2228362Yuh-Yue ChenTsyr-Shyang LiouShyh-Chyi WongNovel RC-Clamp Design for High Supply Voltage.IRPS1-62019Conference and Workshop Papersclosedconf/irps/ChenLW1910.1109/IRPS.2019.8720446https://doi.org/10.1109/IRPS.2019.8720446https://dblp.org/rec/conf/irps/ChenLW19URL#2228363Lili ChengSeungman ChoiSean P. OgdenTeck Jung TangRobert FoxRobust BEOL MIMCAP for Long and Controllable TDDB Lifetime.IRPS1-32019Conference and Workshop Papersclosedconf/irps/ChengCOTF1910.1109/IRPS.2019.8720407https://doi.org/10.1109/IRPS.2019.8720407https://dblp.org/rec/conf/irps/ChengCOTF19URL#2228364Kanghyun ChoiJongwon LeeJongwoo Park 0001Nonlinear Mixed Model and Reliability Prediction for OLED Luminance Degradation.IRPS1-42019Conference and Workshop Papersclosedconf/irps/ChoiLP1910.1109/IRPS.2019.8720437https://doi.org/10.1109/IRPS.2019.8720437https://dblp.org/rec/conf/irps/ChoiLP19URL#2228365Louise De ContiSorin CristoloveanuMaud VinetPhilippe GalyThin-Film FD-SOI BIMOS Topologies for ESD Protection.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ContiCVG1910.1109/IRPS.2019.8720401https://doi.org/10.1109/IRPS.2019.8720401https://dblp.org/rec/conf/irps/ContiCVG19URL#2228366Kris CroesVeerle SimonsSofie BeyneVladimir ChermanHerman OprinsMichele StucchiPhilippe AbsilA. GlabmanEric WilcoxUnderstanding EM-Degradation Mechanisms in Metal Heaters Used for Si Photonics Applications.IRPS1-42019Conference and Workshop Papersclosedconf/irps/CroesSBCOSAGW1910.1109/IRPS.2019.8720604https://doi.org/10.1109/IRPS.2019.8720604https://dblp.org/rec/conf/irps/CroesSBCOSAGW19URL#2228367Diganta DasEdmond ElburnMichael G. PechtBhanu SoodEvaluating Impact of Information Uncertainties on Component Reliability Assessment.IRPS1-92019Conference and Workshop Papersclosedconf/irps/DasEPS1910.1109/IRPS.2019.8720470https://doi.org/10.1109/IRPS.2019.8720470https://dblp.org/rec/conf/irps/DasEPS19URL#2228368Tonmoy DharSachin S. SapatnekarReliability Analysis of a Delay-Locked Loop Under HCI and BTI Degradation.IRPS1-62019Conference and Workshop Papersclosedconf/irps/DharS1910.1109/IRPS.2019.8720447https://doi.org/10.1109/IRPS.2019.8720447https://dblp.org/rec/conf/irps/DharS19URL#2228369Cheikh DioufN. GuitardM. RafikJ. J. MartinezX. FederspielAlain BravaixD. MullerDavid Roy 0001Process Optimization for HCI Improvement in I/O Analog Devices.IRPS1-62019Conference and Workshop Papersclosedconf/irps/DioufGRMFBMR1910.1109/IRPS.2019.8720544https://doi.org/10.1109/IRPS.2019.8720544https://dblp.org/rec/conf/irps/DioufGRMFBMR19URL#2228370Jonas DoevenspeckRobin DegraeveAndrea FantiniPeter DebackerDiederik VerkestRudy LauwereinsWim DehaeneLow Voltage Transient RESET Kinetic Modeling of OxRRAM for Neuromorphic Applications.IRPS1-62019Conference and Workshop Papersclosedconf/irps/DoevenspeckDFDV1910.1109/IRPS.2019.8720555https://doi.org/10.1109/IRPS.2019.8720555https://dblp.org/rec/conf/irps/DoevenspeckDFDV19URL#2228371Eric E. FabrisMatteo MeneghiniCarlo De SantiMatteo BorgaGaudenzio MeneghessoEnrico ZanoniY. KinoshitaKenichiro TanakaH. IshidaTetsuzo UedaHot-Electron Effects in GaN GITs and HD-GITs: A Comprehensive Analysis.IRPS1-62019Conference and Workshop Papersclosedconf/irps/FabrisMSBMZKTIU1910.1109/IRPS.2019.8720472https://doi.org/10.1109/IRPS.2019.8720472https://dblp.org/rec/conf/irps/FabrisMSBMZKTIU19URL#2228372Antony FanJoddy WangVladimir AptekarAdvanced Circuit Reliability Verification for Robust Design.IRPS1-82019Conference and Workshop Papersclosedconf/irps/FanWA1910.1109/IRPS.2019.8720531https://doi.org/10.1109/IRPS.2019.8720531https://dblp.org/rec/conf/irps/FanWA19URL#2228373Daniel M. FleetwoodReliability Limiting Defects in MOS Gate Oxides: Mechanisms and Modeling Implications.IRPS1-102019Conference and Workshop Papersclosedconf/irps/Fleetwood1910.1109/IRPS.2019.8720427https://doi.org/10.1109/IRPS.2019.8720427https://dblp.org/rec/conf/irps/Fleetwood19URL#2228374Shuntaro FujiiIsao MaruSoichi MoritaTsutomu MiyazakiExperimental Study on Effects of Boron Transient Enhanced Diffusion on Channel Size Dependences of Low Frequency Noise in NMOSFETs.IRPS1-52019Conference and Workshop Papersclosedconf/irps/FujiiMMM1910.1109/IRPS.2019.8720585https://doi.org/10.1109/IRPS.2019.8720585https://dblp.org/rec/conf/irps/FujiiMMM19URL#2228375Shouhei FukuyamaAtsuna HayakawaRyutaro YasuharaShinpei MatsudaHiroshi KinoshitaKen TakeuchiComprehensive Analysis of Data-Retention and Endurance Trade-Off of 40nm TaOx-based ReRAM.IRPS1-62019Conference and Workshop Papersclosedconf/irps/FukuyamaHYMKT1910.1109/IRPS.2019.8720436https://doi.org/10.1109/IRPS.2019.8720436https://dblp.org/rec/conf/irps/FukuyamaHYMKT19URL#2228376Jun FurutaYuto TsukitaKodai YamadaMitsunori EbaraKentaro KojimaKazutoshi KobayashiImpact of Combinational Logic Delay for Single Event Upset on Flip Flops in a 65 nm FDSOI Process.IRPS1-42019Conference and Workshop Papersclosedconf/irps/FurutaTYEKK1910.1109/IRPS.2019.8720570https://doi.org/10.1109/IRPS.2019.8720570https://dblp.org/rec/conf/irps/FurutaTYEKK19URL#2228377Jeff GambinoDerryl D. J. AllmanGavin D. R. HallD. PriceL. ShengR. TakadaY. KanumaReliability of an Al2O3/SiO2MIM Capacitor for 180nm (3.3V) Technology.IRPS1-52019Conference and Workshop Papersclosedconf/irps/GambinoAHPSTK1910.1109/IRPS.2019.8720443https://doi.org/10.1109/IRPS.2019.8720443https://dblp.org/rec/conf/irps/GambinoAHPSTK19URL#2228378Shifan GaoBing ChenNuo XuYiming QuYi ZhaoProbing Write Error Rate and Random Telegraph Noise of MgO Based Magnetic Tunnel Juction Using a High Throughput Characterization System.IRPS1-42019Conference and Workshop Papersclosedconf/irps/GaoCXQZ1910.1109/IRPS.2019.8720587https://doi.org/10.1109/IRPS.2019.8720587https://dblp.org/rec/conf/irps/GaoCXQZ19URL#2228379Sayak Dutta GuptaVipin JoshiBhawani ShankarSwati ShikhaSrinivasan Raghavan 0002Mayank ShrivastavaUV-Assisted Probing of Deep-Level Interface Traps in GaN MISHEMTs and Their Role in Threshold Voltage & Gate Leakage Instabilities.IRPS1-52019Conference and Workshop Papersclosedconf/irps/GuptaJSSRS1910.1109/IRPS.2019.8720595https://doi.org/10.1109/IRPS.2019.8720595https://dblp.org/rec/conf/irps/GuptaJSSRS19URL#2228380Daniel B. HabersatRonald GreenAivars J. LelisPermanent and Transient Effects of High-Temperature Bias Stress on Room- Temperature $V_{T}$ Drift Measurements in SiC Power MOSFETs.IRPS1-42019Conference and Workshop Papersclosedconf/irps/HabersatGL1910.1109/IRPS.2019.8720538https://doi.org/10.1109/IRPS.2019.8720538https://dblp.org/rec/conf/irps/HabersatGL19URL#2228381Mehedi HasanBiswajit RayTolerance of Deep Neural Network Against the Bit Error Rate of NAND Flash Memory.IRPS1-42019Conference and Workshop Papersclosedconf/irps/HasanR1910.1109/IRPS.2019.8720586https://doi.org/10.1109/IRPS.2019.8720586https://dblp.org/rec/conf/irps/HasanR19URL#2228382Geert HellingsPhilippe RousselNian WangRoman BoschkeShih-Hung ChenMarko SimicicMirko ScholzSoeren StoedelKris MynyDimitri LintenPaul HellingsNowab Reza M. D. AshifConcise Analytical Expression for Wunsch-Bell 1-D Pulsed Heating and Applications in ESD Using TLP.IRPS1-62019Conference and Workshop Papersclosedconf/irps/HellingsRWBCSSS1910.1109/IRPS.2019.8720517https://doi.org/10.1109/IRPS.2019.8720517https://dblp.org/rec/conf/irps/HellingsRWBCSSS19URL#2228383Norbert HerfurthAnne BeyreutherElham AminiChristian BoitMichél Simon-NajasekSusanne HübnerFrank AltmannR. HerfurthChen WuIngrid De WolfKris CroesNew Access to Soft Breakdown Parameters of Low-k Dielectrics Through Localisation-Based Analysis.IRPS1-92019Conference and Workshop Papersclosedconf/irps/HerfurthBABSHAH1910.1109/IRPS.2019.8720458https://doi.org/10.1109/IRPS.2019.8720458https://dblp.org/rec/conf/irps/HerfurthBABSHAH19URL#2228384Gaspard HiblotYefan LiuGeert HellingsGeert Van der PlasComparative Analysis of the Degradation Mechanisms in Logic and I/O FinFET Devices Induced by Plasma Damage.IRPS1-52019Conference and Workshop Papersclosedconf/irps/HiblotLHP1910.1109/IRPS.2019.8720525https://doi.org/10.1109/IRPS.2019.8720525https://dblp.org/rec/conf/irps/HiblotLHP19URL#2228385Yusuke HigashiKarine FlorentA. SubiratsBen KaczerLuca Di PiazzaSergiu ClimaNicolo RonchiSean R. C. McMitchellKaustuv BanerjeeUmberto CelanoMasamichi SuzukiDimitri LintenJan Van HoudtNew Insights into the Imprint Effect in FE-HfO2 and its Recovery.IRPS1-72019Conference and Workshop Papersclosedconf/irps/HigashiFSKPCRMB1910.1109/IRPS.2019.8720553https://doi.org/10.1109/IRPS.2019.8720553https://dblp.org/rec/conf/irps/HigashiFSKPCRMB19URL#2228386Alexander HirlerAdnan AlsioufyJosef BibaT. LehndorffD. LippHelmut LochnerMahesh SiddabathulaS. SimonTorsten SulimaMaciej WiatrWalter HanschAlternating Temperature Stress and Deduction of Effective Stress Levels from Mission Profiles for Semiconductor Reliability.IRPS1-42019Conference and Workshop Papersclosedconf/irps/HirlerABLLLSSSW1910.1109/IRPS.2019.8720536https://doi.org/10.1109/IRPS.2019.8720536https://dblp.org/rec/conf/irps/HirlerABLLLSSSW19URL#2228387Kasey HoganSean TozierEmma RoccoIsra MahaboobVincent MeyersBen McEwenFatemeh Shahedipour-SandvikRandy TompkinsM. DerengeKenneth JonesM. ShevelevV. SklyarAndrew LangJ. HartMitra TaheriMichael A. ReshchikovNovel Gyrotron Beam Annealing Method for Mg-Implanted Bulk GaN.IRPS1-62019Conference and Workshop Papersclosedconf/irps/HoganTRMMMSTDJS1910.1109/IRPS.2019.8720600https://doi.org/10.1109/IRPS.2019.8720600https://dblp.org/rec/conf/irps/HoganTRMMMSTDJS19URL#2228388Wei-Hao HsiaoNian-Jia WangMing-Yi LeeLi-Kuang KuoDing-Jhang LinYen-Hai ChaoChih-Yuan LuModeling of Apparent Activation Energy and Lifetime Estimation for Retention of 3D SGVC Memory.IRPS1-52019Conference and Workshop Papersclosedconf/irps/HsiaoWLKLCL1910.1109/IRPS.2019.8720463https://doi.org/10.1109/IRPS.2019.8720463https://dblp.org/rec/conf/irps/HsiaoWLKLCL19URL#2228389Shu-Han HsuKexin Yang 0001Linda MilorMachine Learning for Detection of Competing Wearout Mechanisms.IRPS1-92019Conference and Workshop Papersclosedconf/irps/HsuYM1910.1109/IRPS.2019.8720533https://doi.org/10.1109/IRPS.2019.8720533https://dblp.org/rec/conf/irps/HsuYM19URL#2228390H. HuangP. S. McLaughinJames J. KellyC.-C. YangRichard G. SouthwickM. WangGriselda BonillaGauri KarveTime Dependent Dielectric Breakdown of Cobalt and Ruthenium Interconnects at 36nm Pitch.IRPS1-52019Conference and Workshop Papersclosedconf/irps/HuangMKYSWBK1910.1109/IRPS.2019.8720528https://doi.org/10.1109/IRPS.2019.8720528https://dblp.org/rec/conf/irps/HuangMKYSWBK19URL#2228391Mitsuhiko IgarashiYuuki UchidaYoshio TakazawaMakoto YabuuchiYasumasa TsukamotoKoji ShibutaniStudy of Local BTI Variation and its Impact on Logic Circuit and SRAM in 7 nm Fin-FET Process.IRPS1-62019Conference and Workshop Papersclosedconf/irps/IgarashiUTYTS1910.1109/IRPS.2019.8720508https://doi.org/10.1109/IRPS.2019.8720508https://dblp.org/rec/conf/irps/IgarashiUTYTS19URL#2228392A. K. M. Mahfuzul IslamRyota ShimizuHidetoshi OnoderaAnalysis of Random Telegraph Noise (RTN) at Near-Threshold Operation by Measuring 154k Ring Oscillators.IRPS1-62019Conference and Workshop Papersclosedconf/irps/IslamSO1910.1109/IRPS.2019.8720608https://doi.org/10.1109/IRPS.2019.8720608https://dblp.org/rec/conf/irps/IslamSO19URL#2228393Y. JiH. J. GooJ. LimS. B. LeeS. LeeTaiki UemuraJ. C. ParkS. I. HanS. C. ShinJ. H. LeeY. J. SongK. M. LeeH. M. ShinS. H. HwangB. Y. SeoY. K. LeeJ. C. KimGwanhyeob KohK. C. ParkSangwoo PaeGi-Tae JeongJ. S. YoonE. S. JungReliability of 8Mbit Embedded-STT-MRAM in 28nm FDSOI Technology.IRPS1-32019Conference and Workshop Papersclosedconf/irps/JiGLLLUPHSLSLSH1910.1109/IRPS.2019.8720429https://doi.org/10.1109/IRPS.2019.8720429https://dblp.org/rec/conf/irps/JiGLLLUPHSLSLSH19URL#2228394Hai Jiang 0005Hyun-Chul SagongJinju KimJunekyun ParkSangchul ShinSangwoo PaeLocalized Layout Effect Related Reliability Approach in 8nm FinFETs Technology: From Transistor to Circuit.IRPS1-52019Conference and Workshop Papersclosedconf/irps/JiangSKPSP1910.1109/IRPS.2019.8720409https://doi.org/10.1109/IRPS.2019.8720409https://dblp.org/rec/conf/irps/JiangSKPSP19URL#2228395Kaustubh JoshiYung-Huei LeeYu-Cheng YaoShu-Wen ChangSiao-Syong BianP. J. LiaoJiaw-Ren ShihMin-Jan ChenA Statistical Learning Model for Accurate Prediction of Time-Dependent Dielectric Degradation for Low Failure Rates.IRPS1-62019Conference and Workshop Papersclosedconf/irps/JoshiLYCBLSC1910.1109/IRPS.2019.8720424https://doi.org/10.1109/IRPS.2019.8720424https://dblp.org/rec/conf/irps/JoshiLYCBLSC19URL#2228396Xin JuDiing Shenp AngResponse of Switching Hole Traps in the Small-Area P-MOSFET Under Channel Hot-Hole Effect.IRPS1-42019Conference and Workshop Papersclosedconf/irps/JuA1910.1109/IRPS.2019.8720476https://doi.org/10.1109/IRPS.2019.8720476https://dblp.org/rec/conf/irps/JuA19URL#2228397Nando KaminskiSarah RugenFelix HoffmannGaining Confidence - A Review of Silicon Carbide's Reliability Status.IRPS1-72019Conference and Workshop Papersclosedconf/irps/KaminskiRH1910.1109/IRPS.2019.8720578https://doi.org/10.1109/IRPS.2019.8720578https://dblp.org/rec/conf/irps/KaminskiRH19URL#2228398Ajit KanaleKijeong HanB. Jayant BaligaSubhashish BhattacharyaStability of 4H-SiC JBS Diodes Under Repetitive Avalanche Stress.IRPS1-62019Conference and Workshop Papersclosedconf/irps/KanaleHBB1910.1109/IRPS.2019.8720431https://doi.org/10.1109/IRPS.2019.8720431https://dblp.org/rec/conf/irps/KanaleHBB19URL#2228399Song-Ju KimKaori OhkodaMasashi AonoHisashi ShimaMakoto TakahashiYasuhisa NaitohHiroyuki AkinagaReinforcement Learning System Comprising Resistive Analog Neuromorphic Devices.IRPS1-62019Conference and Workshop Papersclosedconf/irps/KimOASTNA1910.1109/IRPS.2019.8720428https://doi.org/10.1109/IRPS.2019.8720428https://dblp.org/rec/conf/irps/KimOASTNA19URL#2228400Andrew KimErnest Y. WuBaozhen LiBarry P. LinderTransformation of Ramped Current Stress VBDto Constant Voltage Stress TDDB TBD.IRPS1-52019Conference and Workshop Papersclosedconf/irps/KimWLL1910.1109/IRPS.2019.8720572https://doi.org/10.1109/IRPS.2019.8720572https://dblp.org/rec/conf/irps/KimWLL19URL#2228401Kentaro KojimaKodai YamadaJun FurutaKazutoshi KobayashiAn Accurate Device-Level Simulation Method to Estimate Cross Sections of Single Event Upsets by Silicon Thickness in Raised Layer.IRPS1-52019Conference and Workshop Papersclosedconf/irps/KojimaYFK1910.1109/IRPS.2019.8720481https://doi.org/10.1109/IRPS.2019.8720481https://dblp.org/rec/conf/irps/KojimaYFK19URL#2228402Nagothu Karmel KranthiBoeila Sampath KumarAkram A. SalmanGianluca BoselliMayank ShrivastavaPhysical Insights into the Low Current ESD Failure of LDMOS-SCR and its Implication on Power Scalability.IRPS1-52019Conference and Workshop Papersclosedconf/irps/KranthiKSBS1910.1109/IRPS.2019.8720580https://doi.org/10.1109/IRPS.2019.8720580https://dblp.org/rec/conf/irps/KranthiKSBS19URL#2228403Nagothu Karmel KranthiAkram A. SalmanGianluca BoselliMayank ShrivastavaCurrent Filament Dynamics Under ESD Stress in High Voltage (Bidirectional) SCRs and It's Implications on Power Law Behavior.IRPS1-52019Conference and Workshop Papersclosedconf/irps/KranthiSBS1910.1109/IRPS.2019.8720484https://doi.org/10.1109/IRPS.2019.8720484https://dblp.org/rec/conf/irps/KranthiSBS19URL#2228404Anastasiia KruvAntonio ArreghiniMario GonzalezDevin VerreckGeert Van den BoschIngrid De WolfArnaud FurnémontImpact of Mechanical Stress on the Electrical Performance of 3D NAND.IRPS1-52019Conference and Workshop Papersclosedconf/irps/KruvAGVbWF1910.1109/IRPS.2019.8720410https://doi.org/10.1109/IRPS.2019.8720410https://dblp.org/rec/conf/irps/KruvAGVbWF19URL#2228405Armen KteyanHenrik HovsepyanJun-Ho ChoyValeriy SukharevAssesment of CPI Stress Impact on IC Reliability and Performance in 2.5D/3D Packages.IRPS1-72019Conference and Workshop Papersclosedconf/irps/KteyanHCS1910.1109/IRPS.2019.8720471https://doi.org/10.1109/IRPS.2019.8720471https://dblp.org/rec/conf/irps/KteyanHCS19URL#2228406Evelyn LandmanShai CohenNoam BrousardRaanan GewirtzmanInbar WeintrobEyal FayneYahel DavidYuval BonenOmer NivShai TzroiaAlex BurlakJ. W. McPhersonDegradation Monitoring - from a Vision to Reality.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LandmanCBGWFDBN1910.1109/IRPS.2019.8720527https://doi.org/10.1109/IRPS.2019.8720527https://dblp.org/rec/conf/irps/LandmanCBGWFDBN19URL#2228407Ethan S. LeeLuis HurtadoJungwoo JohSrikanth KrishnanSameer PendharkarJesús A. del AlamoTime-Dependent Dielectric Breakdown Under AC Stress in GaN MIS-HEMTs.IRPS1-52019Conference and Workshop Papersclosedconf/irps/LeeHJKPA1910.1109/IRPS.2019.8720550https://doi.org/10.1109/IRPS.2019.8720550https://dblp.org/rec/conf/irps/LeeHJKPA19URL#2228408Jian-Hsing LeeNatarajan Mahadeva IyerTunable Holding-Voltage High Voltage ESD Devices.IRPS1-82019Conference and Workshop Papersclosedconf/irps/LeeI1910.1109/IRPS.2019.8720522https://doi.org/10.1109/IRPS.2019.8720522https://dblp.org/rec/conf/irps/LeeI19URL#2228409Jian-Hsing LeeNatarajan Mahadeva IyerTimothy J. MaloneyPhysical Model for ESD Human Body Model to Transmission Line Pulse.IRPS1-72019Conference and Workshop Papersclosedconf/irps/LeeIM1910.1109/IRPS.2019.8720576https://doi.org/10.1109/IRPS.2019.8720576https://dblp.org/rec/conf/irps/LeeIM19URL#2228410Jongwon LeeSangkil KimYoonsuk ChoiJongwoo Park 0001Process Variation of Pixel Definition and Effects of Flexible OLED Luminance Degradation.IRPS1-62019Conference and Workshop Papersclosedconf/irps/LeeKCP1910.1109/IRPS.2019.8720529https://doi.org/10.1109/IRPS.2019.8720529https://dblp.org/rec/conf/irps/LeeKCP19URL#2228411Nam-Hyun LeeJongkyun KimDonghee SonKangjun KimJung Eun SeokComprehensive Study for OFF-State Hot Carrier Degrdation of Scaled nMOSFETs in DRAM.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LeeKSKS1910.1109/IRPS.2019.8720444https://doi.org/10.1109/IRPS.2019.8720444https://dblp.org/rec/conf/irps/LeeKSKS19URL#2228412KyungWoo LeeChae-Hyuk YunHyungAh SeoTaehun KangYunsung LeeKangyong ChoAn Evaluation of X-Ray Irradiation Induced Dynamic Refresh Characterization in DRAM.IRPS1-32019Conference and Workshop Papersclosedconf/irps/LeeYSKLC1910.1109/IRPS.2019.8720574https://doi.org/10.1109/IRPS.2019.8720574https://dblp.org/rec/conf/irps/LeeYSKLC19URL#2228413Chenran LeiAlbert LeeQinkan KangMinKwang LeeSeiji YangDan OliverTu GiaoUse of High Voltage OBIRCH Fault Isolation Technique in Failure Analysis of High Voltage IC's.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LeiLKLYOG1910.1109/IRPS.2019.8720487https://doi.org/10.1109/IRPS.2019.8720487https://dblp.org/rec/conf/irps/LeiLKLYOG19URL#2228414Alicja LesniewskaS. A. SrinivasanJoris Van CampenhoutBarry J. O'SullivanKris CroesAccelerated Device Degradation of High-Speed Ge Waveguide Photodetectors.IRPS1-72019Conference and Workshop Papersclosedconf/irps/LesniewskaSCOC1910.1109/IRPS.2019.8720610https://doi.org/10.1109/IRPS.2019.8720610https://dblp.org/rec/conf/irps/LesniewskaSCOC19URL#2228415Hang LiKalpathy B. SundaramYuanzhong (Paul) ZhouJavier A. SalcedoJean-Jacques HajjarCharacterization and Modeling of the Transient Safe Operating Area in LDMOS Transistors.IRPS1-52019Conference and Workshop Papersclosedconf/irps/LiSZSH1910.1109/IRPS.2019.8720462https://doi.org/10.1109/IRPS.2019.8720462https://dblp.org/rec/conf/irps/LiSZSH19URL#2228416Wang LiaoMasanori HashimotoSeiya ManabeYukinobu WatanabeShin-ichiro AbeKeita NakanoHayato TakeshitaMotonobu TampoSoshi TakeshitaYasuhiro MiyakeNegative and Positive Muon-Induced SEU Cross Sections in 28-nm and 65-nm Planar Bulk CMOS SRAMs.IRPS1-52019Conference and Workshop Papersclosedconf/irps/LiaoHMWANTTTM1910.1109/IRPS.2019.8720568https://doi.org/10.1109/IRPS.2019.8720568https://dblp.org/rec/conf/irps/LiaoHMWANTTTM19URL#2228417Jia Hao LimNagarajan RaghavanVinayak Bharat NaikJae Hyun KwonKazutaka YamaneH. YangK. H. LeeKin Leong PeyCorrect Extrapolation Model for TDDB of STT-MRAM MgO Magnetic Tunnel Junctions.IRPS1-72019Conference and Workshop Papersclosedconf/irps/LimRNKYYLP1910.1109/IRPS.2019.8720611https://doi.org/10.1109/IRPS.2019.8720611https://dblp.org/rec/conf/irps/LimRNKYYLP19URL#2228418Ming-Hsien LinW. S. ChouY. T. YangA. S. OatesCharacterization of Critical Peak Current and General Model of Interconnect Systems Under Short Pulse-Width Conditions.IRPS1-72019Conference and Workshop Papersclosedconf/irps/LinCYO1910.1109/IRPS.2019.8720418https://doi.org/10.1109/IRPS.2019.8720418https://dblp.org/rec/conf/irps/LinCYO19URL#2228419S. E. LiuM. H. HsiehY. R. ChenJ. Y. JaoM. Z. LinY. H. FangM. J. LinHigh Voltage Tolerant Design with Advanced Process for TV Application.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LiuHCJLFL1910.1109/IRPS.2019.8720421https://doi.org/10.1109/IRPS.2019.8720421https://dblp.org/rec/conf/irps/LiuHCJLFL19URL#2228420Yueyang LiuXiangwei JiangLiwei Wang 0003Yunfei EnRunsheng WangDistinguishing Interfacial Hole Traps in (110), (100) High-K Gate Stack.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LiuJWEW1910.1109/IRPS.2019.8720414https://doi.org/10.1109/IRPS.2019.8720414https://dblp.org/rec/conf/irps/LiuJWEW19URL#2228421Jian Liu 0027Nathaniel PeacheyDesign and Optimization of the NAND ESD Clamp in CMOS Technology.IRPS1-42019Conference and Workshop Papersclosedconf/irps/LiuP1910.1109/IRPS.2019.8720605https://doi.org/10.1109/IRPS.2019.8720605https://dblp.org/rec/conf/irps/LiuP19URL#2228422Yefan LiuHao YuGaspard HiblotAnastasiia KruvMarc SchaekersNaoto HoriguchiDimitrios VelenisIngrid De WolfStudy of the Mechanical Stress Impact on Silicide Contact Resistance by 4-Point Bending.IRPS1-52019Conference and Workshop Papersclosedconf/irps/LiuYHKSHVW1910.1109/IRPS.2019.8720416https://doi.org/10.1109/IRPS.2019.8720416https://dblp.org/rec/conf/irps/LiuYHKSHVW19URL#2228423Xiaolei MaXiangwei JiangJiezhi ChenLiwei Wang 0003Yunfei EnScaling Behaviour of State-to-State Coupling During Hole Trapping at Si/SiO2.IRPS1-42019Conference and Workshop Papersclosedconf/irps/MaJCWE1910.1109/IRPS.2019.8720537https://doi.org/10.1109/IRPS.2019.8720537https://dblp.org/rec/conf/irps/MaJCWE19URL#2228424Mamathamba Kalishettyhalli MahadevaiahEduardo PérezChristian WengerAlessandro GrossiCristian ZambelliPiero OlivoFinn ZahariHermann KohlstedtMartin Ziegler 0004Reliability of CMOS Integrated Memristive HfO2 Arrays with Respect to Neuromorphic Computing.IRPS1-42019Conference and Workshop Papersclosedconf/irps/MahadevaiahPWGZ1910.1109/IRPS.2019.8720552https://doi.org/10.1109/IRPS.2019.8720552https://dblp.org/rec/conf/irps/MahadevaiahPWGZ19URL#2228425Niaz MahmudNabihah AzhariJ. R. LloydComparative Study of TDDB Models on BEOL Interconnects for Sub-20 nm Spacings.IRPS1-42019Conference and Workshop Papersclosedconf/irps/MahmudAL1910.1109/IRPS.2019.8720519https://doi.org/10.1109/IRPS.2019.8720519https://dblp.org/rec/conf/irps/MahmudAL19URL#2228426M. Iqbal MahmudAmit GuptaMaria Toledano-LuqueN. Rao MavillaJ. JohnsonP. Srinivasan 0002A. ZainuddinS. RaoSalvatore CiminoByoung MinTanya NigamHot Carrier Reliability Improvement of Thicker Gate Oxide nFET Devices in Advanced FinFETs.IRPS1-62019Conference and Workshop Papersclosedconf/irps/MahmudGTMJSZRCM1910.1109/IRPS.2019.8720535https://doi.org/10.1109/IRPS.2019.8720535https://dblp.org/rec/conf/irps/MahmudGTMJSZRCM19URL#2228427Alexander MakarovBen KaczerPhilippe RousselAdrian Vaisman ChasinAlexander GrillMichiel VandemaeleGeert HellingsAl-Moatasem El-SayedTibor GrasserDimitri LintenStanislav TyaginovModeling the Effect of Random Dopants on Hot-Carrier Degradation in FinFETs.IRPS1-72019Conference and Workshop Papersclosedconf/irps/MakarovKRCGVHEG1910.1109/IRPS.2019.8720584https://doi.org/10.1109/IRPS.2019.8720584https://dblp.org/rec/conf/irps/MakarovKRCGVHEG19URL#2228428Sandeep MallampatiZaeem BaigScott PozderEng Chye ChuaA Comparison of Environmental Stressing Data and Simulation at the Corner of a Test Chip in a FC-BGA Package.IRPS1-42019Conference and Workshop Papersclosedconf/irps/MallampatiBPC1910.1109/IRPS.2019.8720597https://doi.org/10.1109/IRPS.2019.8720597https://dblp.org/rec/conf/irps/MallampatiBPC19URL#2228429Elnatan MataevJames H. StathisGiuseppe La RosaBarry P. LinderLong Term NBTI Relaxation Under AC and DC Biased Stress and Recovery.IRPS1-52019Conference and Workshop Papersclosedconf/irps/MataevSRL1910.1109/IRPS.2019.8720442https://doi.org/10.1109/IRPS.2019.8720442https://dblp.org/rec/conf/irps/MataevSRL19URL#2228430Kevin MatochaIn-Hwan JiXuning ZhangSauvik ChowdhurySiC Power MOSFETs: Designing for Reliability in Wide-Bandgap Semiconductors.IRPS1-82019Conference and Workshop Papersclosedconf/irps/MatochaJZC1910.1109/IRPS.2019.8720509https://doi.org/10.1109/IRPS.2019.8720509https://dblp.org/rec/conf/irps/MatochaJZC19URL#2228431Hideya MatsuyamaTakashi SuzukiMotoki ShiozuHideo EharaTakeshi SoedaHirokazu HosoiMasao OshimaKikuo YamabeVerification of Copper Stress Migration Under Low Temperature Long Time Stress.IRPS1-52019Conference and Workshop Papersclosedconf/irps/MatsuyamaSSESHO1910.1109/IRPS.2019.8720511https://doi.org/10.1109/IRPS.2019.8720511https://dblp.org/rec/conf/irps/MatsuyamaSSESHO19URL#2228432Abhishek MishraAdil MeershaNagothu Karmel KranthiKruti TrivediHarsha B. VariarN. S. Veenadhari BellamkondaSrinivasan Raghavan 0002Mayank ShrivastavaFirst Demonstration and Physical Insights into Time-Dependent Breakdown of Graphene Channel and Interconnects.IRPS1-62019Conference and Workshop Papersclosedconf/irps/MishraMKTVBRS1910.1109/IRPS.2019.8720452https://doi.org/10.1109/IRPS.2019.8720452https://dblp.org/rec/conf/irps/MishraMKTVBRS19URL#2228433Kyoji MizoguchiKyosuke MaedaKen TakeuchiAutomatic Data Repair Overwrite Pulse for 3D-TLC NAND Flash Memories with 38x Data-Retention Lifetime Extension.IRPS1-52019Conference and Workshop Papersclosedconf/irps/MizoguchiMT1910.1109/IRPS.2019.8720420https://doi.org/10.1109/IRPS.2019.8720420https://dblp.org/rec/conf/irps/MizoguchiMT19URL#2228434Peter MoensArno StockmanA Physical-Statistical Approach to AlGaN/GaN HEMT Reliability.IRPS1-62019Conference and Workshop Papersclosedconf/irps/MoensS1910.1109/IRPS.2019.8720521https://doi.org/10.1109/IRPS.2019.8720521https://dblp.org/rec/conf/irps/MoensS19URL#2228435Vinayak Bharat NaikJ. H. LimK. YamaneDinggui ZengH. YangN. ThiyagarajahJae Hyun KwonN. L. ChungR. ChaoT. LingK. LeeSuperior Endurance Performance of 22-nm Embedded MRAM Technology.IRPS1-42019Conference and Workshop Papersclosedconf/irps/NaikLYZYTKCCLL1910.1109/IRPS.2019.8720435https://doi.org/10.1109/IRPS.2019.8720435https://dblp.org/rec/conf/irps/NaikLYZYTKCCLL19URL#2228436Sarath Mohanachandran NairRajendra BishnoiMehdi Baradaran TahooriHouman ZahedmaneshKristof CroesKevin GarelloGouri Sankar KarFrancky CatthoorVariation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects.IRPS1-62019Conference and Workshop Papersclosedconf/irps/NairBTZCGKC1910.1109/IRPS.2019.8720559https://doi.org/10.1109/IRPS.2019.8720559https://dblp.org/rec/conf/irps/NairBTZCGKC19URL#2228437Farid N. NajmValeriy SukharevEfficient Simulation of Electromigration Damage in Large Chip Power Grids Using Accurate Physical Models (Invited Paper).IRPS1-102019Conference and Workshop Papersclosedconf/irps/NajmS1910.1109/IRPS.2019.8720438https://doi.org/10.1109/IRPS.2019.8720438https://dblp.org/rec/conf/irps/NajmS19URL#2228438Balaji NarasimhamK. ChandrasekharanJ. K. WangBharat L. BhuvaSoft Error Performance of High-Speed Pulsed-DICE-Latch Design in 16 nm and 7 nm FinFET Processes.IRPS1-42019Conference and Workshop Papersclosedconf/irps/NarasimhamCWB1910.1109/IRPS.2019.8720408https://doi.org/10.1109/IRPS.2019.8720408https://dblp.org/rec/conf/irps/NarasimhamCWB19URL#2228439A. P. NguyenXavier GarrosM. RafikFlorian CachoDavid Roy 0001Xavier FederspielFred GaillardImpact of Passive & Active Load Gate Impedance on Breakdown Hardness in 28nm FDSOI Technology.IRPS1-52019Conference and Workshop Papersclosedconf/irps/NguyenGRCRFG1910.1109/IRPS.2019.8720591https://doi.org/10.1109/IRPS.2019.8720591https://dblp.org/rec/conf/irps/NguyenGRCRFG19URL#2228440Khai NguyenGeoff LiangModelling Degradation of Matched-Circuits in Operational Conditions: Active and Stand-by Modes.IRPS1-52019Conference and Workshop Papersclosedconf/irps/NguyenL1910.1109/IRPS.2019.8720593https://doi.org/10.1109/IRPS.2019.8720593https://dblp.org/rec/conf/irps/NguyenL19URL#2228441James A. O'DonnellChris ConnorTanmoy PramanikJeff HicksJuan G. AlzateFatih HamzaogluJustin BrockmanOleg GolonzkaKevin FischereNVM MRAM Retention Reliability Modeling in 22FFL FinFET Technology.IRPS1-32019Conference and Workshop Papersclosedconf/irps/ODonnellCPHAHBG1910.1109/IRPS.2019.8720520https://doi.org/10.1109/IRPS.2019.8720520https://dblp.org/rec/conf/irps/ODonnellCPHAHBG19URL#2228442Barry J. O'SullivanRomain RitzenthalerGerhard RzepaZ. WuE. Dentoni LittaO. RichardT. ConardV. MachkaoutsanPierre FazanC. KimJacopo FrancoBen KaczerTibor GrasserAlessio SpessotDimitri LintenN. HoriguchiGate-Stack Engineered NBTI Improvements in Highvoltage Logic-For-Memory High-ĸ/Metal Gate Devices.IRPS1-82019Conference and Workshop Papersclosedconf/irps/OSullivanRRWLRC1910.1109/IRPS.2019.8720598https://doi.org/10.1109/IRPS.2019.8720598https://dblp.org/rec/conf/irps/OSullivanRRWLRC19URL#2228443Andrea PadovaniMilan PesicMondol Anik KumarPieter BlommeAlexandre SubiratsSenthil VadakupudhupalayamZunaid BatenLuca LarcherUnderstanding and Variability of Lateral Charge Migration in 3D CT-NAND Flash with and Without Band-Gap Engineered Barriers.IRPS1-82019Conference and Workshop Papersclosedconf/irps/PadovaniPKBSVBL1910.1109/IRPS.2019.8720566https://doi.org/10.1109/IRPS.2019.8720566https://dblp.org/rec/conf/irps/PadovaniPKBSVBL19URL#2228444Nakul PandeGyusung ParkChris H. KimSrikanth KrishnanVijay ReddyInvestigating the Aging Dynamics of Diode-Connected MOS Devices Using an Array-Based Characterization Vehicle in a 65nm Process.IRPS1-62019Conference and Workshop Papersclosedconf/irps/PandePKKR1910.1109/IRPS.2019.8720564https://doi.org/10.1109/IRPS.2019.8720564https://dblp.org/rec/conf/irps/PandePKKR19URL#2228445Nikolaos PapandreouHaralampos PozidisThomas P. ParnellNikolas IoannouRoman A. PletkaSasa TomicPatrick BreenGary A. TresslerAaron FryTimothy FisherCharacterization and Analysis of Bit Errors in 3D TLC NAND Flash Memory.IRPS1-62019Conference and Workshop Papersclosedconf/irps/PapandreouPPIPT1910.1109/IRPS.2019.8720454https://doi.org/10.1109/IRPS.2019.8720454https://dblp.org/rec/conf/irps/PapandreouPPIPT19URL#2228446Narendra PariharUma SharmaRichard G. SouthwickMiaomiao Wang 0006James H. StathisSouvik MahapatraOn the Frequency Dependence of Bulk Trap Generation During AC Stress in Si and SiGe RMG P-FinFETs.IRPS1-82019Conference and Workshop Papersclosedconf/irps/PariharSSWSM1910.1109/IRPS.2019.8720577https://doi.org/10.1109/IRPS.2019.8720577https://dblp.org/rec/conf/irps/PariharSSWSM19URL#2228447Young-Joon ParkJungwoo JohJayhoon ChungSrikanth KrishnanCurrent Crowding Impact on Electromigration in Al Interconnects.IRPS1-62019Conference and Workshop Papersclosedconf/irps/ParkJCK1910.1109/IRPS.2019.8720448https://doi.org/10.1109/IRPS.2019.8720448https://dblp.org/rec/conf/irps/ParkJCK19URL#2228448J. M. PassageNabihah AzhariJ. R. LloydStress Migration Followed by Electromigration Reliability Testing.IRPS1-52019Conference and Workshop Papersclosedconf/irps/PassageAL1910.1109/IRPS.2019.8720473https://doi.org/10.1109/IRPS.2019.8720473https://dblp.org/rec/conf/irps/PassageAL19URL#2228449G. PedreiraJavier Martín-MartínezJavier Diaz-FortunyPablo Saraza-CanflancaRosana RodríguezRafael Castro-LópezElisenda RocaFrancisco V. Fernández 0001Montserrat NafríaA New Time Efficient Methodology for the Massive Characterization of RTN in CMOS Devices.IRPS1-52019Conference and Workshop Papersclosedconf/irps/PedreiraMDSRCRF1910.1109/IRPS.2019.8720582https://doi.org/10.1109/IRPS.2019.8720582https://dblp.org/rec/conf/irps/PedreiraMDSRCRF19URL#2228450Kin Leong PeyAlok Ranjan 0001Nagarajan RaghavanKalya ShubhakarSean J. O'SheaDielectric Breakdown in 2D Layered Hexagonal Boron Nitride - The Knowns and the Unknowns.IRPS1-122019Conference and Workshop Papersclosedconf/irps/PeyRRSO1910.1109/IRPS.2019.8720405https://doi.org/10.1109/IRPS.2019.8720405https://dblp.org/rec/conf/irps/PeyRRSO19URL#2228451Luca PirroAlban ZakaOlaf ZimmerhacklT. HermannMichael OttoE. M. BaziziJan HoentschelX. LiR. TaylorLow-Frequency Noise Reduction in 22FDX®: Impact of Device Geometry and Back Bias.IRPS1-52019Conference and Workshop Papersclosedconf/irps/PirroZZHOBHLT1910.1109/IRPS.2019.8720419https://doi.org/10.1109/IRPS.2019.8720419https://dblp.org/rec/conf/irps/PirroZZHOBHLT19URL#2228452C. S. PremachandranThuy Tran-QuinnLloyd BurrellPatrick JustisonA Comprehensive Wafer Level Reliability Study on 65nm Silicon Interposer.IRPS1-82019Conference and Workshop Papersclosedconf/irps/PremachandranTB1910.1109/IRPS.2019.8720515https://doi.org/10.1109/IRPS.2019.8720515https://dblp.org/rec/conf/irps/PremachandranTB19URL#2228453Roman RechterRobert KwasnickAlmog ReshefOren ZonensainTal RazAnisur RahmanPraveen PolasamMaxim LevitProduct Reliability Methods to Enable High Performance CPU's.IRPS1-52019Conference and Workshop Papersclosedconf/irps/RechterKRZRRPL1910.1109/IRPS.2019.8720500https://doi.org/10.1109/IRPS.2019.8720500https://dblp.org/rec/conf/irps/RechterKRZRRPL19URL#2228454Matt RingJohan De GreveBill CowellDarren MooreJeff GambinoBEOL Process Development Using Fast Power Cycling on Test Structures.IRPS1-62019Conference and Workshop Papersclosedconf/irps/RingGCMG1910.1109/IRPS.2019.8720455https://doi.org/10.1109/IRPS.2019.8720455https://dblp.org/rec/conf/irps/RingGCMG19URL#2228455Maria RuzzarinMatteo BorgaEnrico ZanoniMatteo MeneghiniGaudenzio MeneghessoDong JiWenwen LiSilvia H. ChanAnchal AgarwalChirag GuptaStacia KellerUmesh K. MishraSrabanti ChowdhuryGate Stability and Robustness of In-Situ Oxide GaN Interlayer Based Vertical Trench MOSFETs (OG-FETs).IRPS1-52019Conference and Workshop Papersclosedconf/irps/RuzzarinBZMMJLC1910.1109/IRPS.2019.8720589https://doi.org/10.1109/IRPS.2019.8720589https://dblp.org/rec/conf/irps/RuzzarinBZMMJLC19URL#2228456Yolène SacchettiniJean-Pierre CarrèreVincent GoiffonPierre MagnanPlasma Antenna Charging in CMOS Image Sensors.IRPS1-52019Conference and Workshop Papersclosedconf/irps/SacchettiniCGM1910.1109/IRPS.2019.8720518https://doi.org/10.1109/IRPS.2019.8720518https://dblp.org/rec/conf/irps/SacchettiniCGM19URL#2228457Jay SarkarCory PetersonOperational Workload Impact on Robust Solid-State Storage Analyzed with Interpretable Machine Learning.IRPS1-82019Conference and Workshop Papersclosedconf/irps/SarkarP1910.1109/IRPS.2019.8720510https://doi.org/10.1109/IRPS.2019.8720510https://dblp.org/rec/conf/irps/SarkarP19URL#2228458Peter F. SatterthwaiteAnanth Saran YalamarthySam VaziriMiguel Munoz-RojoEric PopDebbie G. SeneskyProcess-Induced Anomalous Current Transport in Graphene/InA1N/GaN Heterostructured Diodes.IRPS1-62019Conference and Workshop Papersclosedconf/irps/SatterthwaiteYV1910.1109/IRPS.2019.8720465https://doi.org/10.1109/IRPS.2019.8720465https://dblp.org/rec/conf/irps/SatterthwaiteYV19URL#2228459Art SchaldenbrandJushan XieHany ElhakRecent Updates to Transistor Level Reliability Analysis.IRPS1-82019Conference and Workshop Papersclosedconf/irps/SchaldenbrandXE1910.1109/IRPS.2019.8720540https://doi.org/10.1109/IRPS.2019.8720540https://dblp.org/rec/conf/irps/SchaldenbrandXE19URL#2228460Christian SchlünderKatja WaschneckPeter RotterSusanne LachenmannHans ReisingerFranz UngarGeorg GeorgakosFrom Device Aging Physics to Automated Circuit Reliability Sign Off.IRPS1-122019Conference and Workshop Papersclosedconf/irps/SchlunderWRLRUG1910.1109/IRPS.2019.8720457https://doi.org/10.1109/IRPS.2019.8720457https://dblp.org/rec/conf/irps/SchlunderWRLRUG19URL#2228461Timo SchosslerFlorian SchonChristian LemierGerald UrbanWafer Level Approach for the Investigation of the Long-Term Stability of Resistive Platinum Devices at Elevated Temperatures.IRPS1-52019Conference and Workshop Papersclosedconf/irps/SchosslerSLU1910.1109/IRPS.2019.8720464https://doi.org/10.1109/IRPS.2019.8720464https://dblp.org/rec/conf/irps/SchosslerSLU19URL#2228462Niloofar ShakoorzadehAmir HannaSubramanian S. IyerBilayer Passivation Film for Cu Interconnects on Si Interconnect Fabric.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ShakoorzadehHI1910.1109/IRPS.2019.8720532https://doi.org/10.1109/IRPS.2019.8720532https://dblp.org/rec/conf/irps/ShakoorzadehHI19URL#2228463Tian ShenAbu Naser ZainuddinPurushothaman SrinivasanZakariae ChbiliKai ZhaoPatrick JustisonNovel Oxide Top-Off Process Enabling Reliable PC-CA TDDB on IO Devices with Self Aligned Contact.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ShenZSCZJ1910.1109/IRPS.2019.8720542https://doi.org/10.1109/IRPS.2019.8720542https://dblp.org/rec/conf/irps/ShenZSCZJ19URL#2228464Hyewon ShimJeongmin JoYoohwan KimBongyong JeongMinji ShonHai Jiang 0005Sangwoo PaeAging-Aware Design Verification Methods Under Real Product Operating Conditions.IRPS1-42019Conference and Workshop Papersclosedconf/irps/ShimJKJSJP1910.1109/IRPS.2019.8720466https://doi.org/10.1109/IRPS.2019.8720466https://dblp.org/rec/conf/irps/ShimJKJSJP19URL#2228465Sridhar SrinivasanMatthew HoganPhysics to Tapeout: The Challenge of Scaling Reliability Verification.IRPS1-52019Conference and Workshop Papersclosedconf/irps/SrinivasanH1910.1109/IRPS.2019.8720440https://doi.org/10.1109/IRPS.2019.8720440https://dblp.org/rec/conf/irps/SrinivasanH19URL#2228466Steve StoffelsNiels PosthumaStefaan DecoutereBenoit BakerootAndrea Natale TallaricoEnrico SangiorgiClaudio FiegnaJ. ZhengX. MaMatteo BorgaElena FabrisMatteo MeneghiniEnrico ZanoniGaudenzio MeneghessoJuraj PriesolAlexander SatkaPerimeter Driven Transport in the p-GaN Gate as a Limiting Factor for Gate Reliability.IRPS1-102019Conference and Workshop Papersclosedconf/irps/StoffelsPDBTSFZ1910.1109/IRPS.2019.8720411https://doi.org/10.1109/IRPS.2019.8720411https://dblp.org/rec/conf/irps/StoffelsPDBTSFZ19URL#2228467Siddarth SundaresanVamsi MulpuriStoyan JeliazkovRanbir SinghAvalanche and Short-Circuit Robustness of 4600 V SiC DMOSFETs.IRPS1-72019Conference and Workshop Papersclosedconf/irps/SundaresanMJS1910.1109/IRPS.2019.8720488https://doi.org/10.1109/IRPS.2019.8720488https://dblp.org/rec/conf/irps/SundaresanMJS19URL#2228468Fatoumata SyQuentin RafhayJulien PoëtteGregory GrosaC. BessetGaelle BeylierPhilippe GrosseDavid RoyJean-Emmanuel BroquinCharacterization and Modelling of High Speed Ge Photodetectors Reliability.IRPS1-52019Conference and Workshop Papersclosedconf/irps/SyRPGBBGRB1910.1109/IRPS.2019.8720483https://doi.org/10.1109/IRPS.2019.8720483https://dblp.org/rec/conf/irps/SyRPGBBGRB19URL#2228469Alaleh TajalliEleonora CanatoArianna NardoMatteo MeneghiniArno StockmanPeter MoensEnrico ZanoniGaudenzio MeneghessoImpact of Sidewall Etching on the Dynamic Performance of GaN-on-Si E-Mode Transistors.IRPS1-62019Conference and Workshop Papersclosedconf/irps/TajalliCNMSMZM1910.1109/IRPS.2019.8720445https://doi.org/10.1109/IRPS.2019.8720445https://dblp.org/rec/conf/irps/TajalliCNMSMZM19URL#2228470Kan TakeuchiMasaki ShimadaShinya KonishiDaisuke OshidaNaoya OtaTakashi YasumasuKoji ShibutaniTomohiro IwashitaTetsuya KokubunFumio TsuchiyaExperimental Implementation of 8.9Kgate Stress Monitor in 28nm MCU Along with Safety Software Library for IoT Device Maintenance.IRPS1-72019Conference and Workshop Papersclosedconf/irps/TakeuchiSKOOYSI1910.1109/IRPS.2019.8720583https://doi.org/10.1109/IRPS.2019.8720583https://dblp.org/rec/conf/irps/TakeuchiSKOOYSI19URL#2228471Kenichiro TanakaMasahiro HikitaTetsuzo UedaInfluence of Donor-Type Hole Traps Under P-GaN Gate in GaN-Based Gate Injection Transistor (GIT).IRPS1-42019Conference and Workshop Papersclosedconf/irps/TanakaHU1910.1109/IRPS.2019.8720560https://doi.org/10.1109/IRPS.2019.8720560https://dblp.org/rec/conf/irps/TanakaHU19URL#2228472A. S. TengC. W. LinM. N. ChangAaron WangRyan LuA Novel Constant E-Field Methodology for Intrinsic TDDB Lifetime Projection.IRPS1-72019Conference and Workshop Papersclosedconf/irps/TengLCWL1910.1109/IRPS.2019.8720565https://doi.org/10.1109/IRPS.2019.8720565https://dblp.org/rec/conf/irps/TengLCWL19URL#2228473Kannan K. ThankappanAdeel Ahmad BajwaBoris VaisbandSivaChandra JangamSubramanian S. IyerReliability Evaluation of Silicon Interconnect Fabric Technology.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ThankappanBVJI1910.1109/IRPS.2019.8720516https://doi.org/10.1109/IRPS.2019.8720516https://dblp.org/rec/conf/irps/ThankappanBVJI19URL#2228474George ThielFlavio GriggioNovel Cumulative Degradation Approach to Predict Components Failure Rates.IRPS1-72019Conference and Workshop Papersclosedconf/irps/ThielG1910.1109/IRPS.2019.8720495https://doi.org/10.1109/IRPS.2019.8720495https://dblp.org/rec/conf/irps/ThielG19URL#2228475Frank Sill TorresHussam AmrouchJörg HenkelRolf DrechslerImpact of NBTI on Increasing the Susceptibility of FinFET to Radiation.IRPS1-62019Conference and Workshop Papersclosedconf/irps/TorresAHD1910.1109/IRPS.2019.8720468https://doi.org/10.1109/IRPS.2019.8720468https://dblp.org/rec/conf/irps/TorresAHD19URL#2228476Hideaki TsuchiyaNaohito SuzumuraRyuji ShibataHideki AonoMakoto OgasawaraToshihiko AkibaKenji SakataKazuyuki NakagawaTakuo FunayaElectromigration Early Failures for Cu Pillar Interconnections with an ENEPIG Pad Finish and its Suppression.IRPS1-62019Conference and Workshop Papersclosedconf/irps/TsuchiyaSSAOASN1910.1109/IRPS.2019.8720501https://doi.org/10.1109/IRPS.2019.8720501https://dblp.org/rec/conf/irps/TsuchiyaSSAOASN19URL#2228477Taiki UemuraSoonyoung LeeDahye MinIhlhwa MoonSeungbae LeeSangwoo PaeSEIFF: Soft Error Immune Flip-Flop for Mitigating Single Event Upset and Single Event Transient in 10 nm FinFET.IRPS1-62019Conference and Workshop Papersclosedconf/irps/UemuraLMMLP1910.1109/IRPS.2019.8720513https://doi.org/10.1109/IRPS.2019.8720513https://dblp.org/rec/conf/irps/UemuraLMMLP19URL#2228478Michiel VandemaeleBen KaczerStanislav TyaginovZlatan StanojevicAlexander MakarovAdrian Vaisman ChasinErik BuryHans MertensDimitri LintenGuido GroesenekenFull (Vg, Vd) Bias Space Modeling of Hot-Carrier Degradation in Nanowire FETs.IRPS1-72019Conference and Workshop Papersclosedconf/irps/VandemaeleKTSMC1910.1109/IRPS.2019.8720406https://doi.org/10.1109/IRPS.2019.8720406https://dblp.org/rec/conf/irps/VandemaeleKTSMC19URL#2228479Dmitry VekslerGennadi BersukerAdam W. BushmakerP. R. ShresthaKin P. CheungJason P. CampbellSwitching Variability Factors in Compliance-Free Metal Oxide RRAM.IRPS1-52019Conference and Workshop Papersclosedconf/irps/VekslerBBSCC1910.1109/IRPS.2019.8720579https://doi.org/10.1109/IRPS.2019.8720579https://dblp.org/rec/conf/irps/VekslerBBSCC19URL#2228480Aby-Gaël VieyWilliam VandendaeleMarie-Anne JaudRomain GwozieckiA. TorresMarc PlissonnierFred GaillardGérard GhibaudoRoberto ModicaFerdinando IucolanoMatteo MeneghiniGaudenzio MeneghessoInfluence of Gate Length on pBTI in GaN-on-Si E-Mode MOSc-HEMT.IRPS1-62019Conference and Workshop Papersclosedconf/irps/VieyVJGTPGGMIMM1910.1109/IRPS.2019.8720554https://doi.org/10.1109/IRPS.2019.8720554https://dblp.org/rec/conf/irps/VieyVJGTPGGMIMM19URL#2228481H. W. WanY. J. HongY. T. ChengM. HongBTI Characterization of MBE Si-Capped Ge Gate Stack and Defect Reduction via Forming Gas Annealing.IRPS1-42019Conference and Workshop Papersclosedconf/irps/WanHCH1910.1109/IRPS.2019.8720567https://doi.org/10.1109/IRPS.2019.8720567https://dblp.org/rec/conf/irps/WanHCH19URL#2228482H. W. WanY. J. HongL. B. YoungM. HongJ. KwoFundamental Understanding of Oxide Defects in HfO2 and Y2O3 on GaAs(001) with High Thermal Stability.IRPS1-42019Conference and Workshop Papersclosedconf/irps/WanHYHK1910.1109/IRPS.2019.8720415https://doi.org/10.1109/IRPS.2019.8720415https://dblp.org/rec/conf/irps/WanHYHK19URL#2228483Xinggon WanBaofu ZhuMeera MohanKeija WuDongil ChoiArfa GondalHCI Improvement on 14nm FinFET IO Device by Optimization of 3D Junction Profile.IRPS1-42019Conference and Workshop Papersclosedconf/irps/WanZMWCG1910.1109/IRPS.2019.8720526https://doi.org/10.1109/IRPS.2019.8720526https://dblp.org/rec/conf/irps/WanZMWCG19URL#2228484Miaomiao Wang 0006Jingyun ZhangHuimei ZhouRichard G. SouthwickRobin Hsin Kuo ChaoXin MiaoVeeraraghavan S. BaskerTenko YamashitaDechao GuoGauri KarveHuiming BuJames H. StathisBias Temperature Instability Reliability in Stacked Gate-All-Around Nanosheet Transistor.IRPS1-62019Conference and Workshop Papersclosedconf/irps/WangZZSCMBYGKBS1910.1109/IRPS.2019.8720573https://doi.org/10.1109/IRPS.2019.8720573https://dblp.org/rec/conf/irps/WangZZSCMBYGKBS19URL#2228485Bonnie E. WeirVani PrasadShahriar MoinianSangJune ParkJoseph BlaskoJason BrownJayanthi PallintiUtilizing a Thorough Understanding of Critical Aging and Failure Mechanisms in finFET Technologies to Enable Reliable High Performance Circuits.IRPS1-52019Conference and Workshop Papersclosedconf/irps/WeirPMPBBP1910.1109/IRPS.2019.8720475https://doi.org/10.1109/IRPS.2019.8720475https://dblp.org/rec/conf/irps/WeirPMPBBP19URL#2228486S. A. WenderJ. M. O'DonnellLukas ZavorkaBharat L. BhuvaNeutron Beam Attenuation Through Semiconductor Devices During SEU Testing.IRPS1-42019Conference and Workshop Papersclosedconf/irps/WenderOZB1910.1109/IRPS.2019.8720477https://doi.org/10.1109/IRPS.2019.8720477https://dblp.org/rec/conf/irps/WenderOZB19URL#2228487Dirk J. WoutersFrom Emerging Memory to Novel Devices for Neuromorphic Systems: Consequences for the Reliability Requirements of Memristive Devices.IRPS1-42019Conference and Workshop Papersclosedconf/irps/Wouters1910.1109/IRPS.2019.8720523https://doi.org/10.1109/IRPS.2019.8720523https://dblp.org/rec/conf/irps/Wouters19URL#2228488Chen WuAdrian Vaisman ChasinAndrea PadovaniAlicja LesniewskaSteven DemuynckKris CroesRole of Defects in the Reliability of HfO2/Si-Based Spacer Dielectric Stacks for Local Interconnects.IRPS1-62019Conference and Workshop Papersclosedconf/irps/WuCPLDC1910.1109/IRPS.2019.8720534https://doi.org/10.1109/IRPS.2019.8720534https://dblp.org/rec/conf/irps/WuCPLDC19URL#2228489Zhicheng WuJacopo FrancoDieter ClaesGerhard RzepaPhilippe J. RousselNadine CollaertGuido GroesenekenDimitri LintenTibor GrasserBen KaczerAccelerated Capture and Emission (ACE) Measurement Pattern for Efficient BTI Characterization and Modeling.IRPS1-72019Conference and Workshop Papersclosedconf/irps/WuFCRRCGLGK1910.1109/IRPS.2019.8720541https://doi.org/10.1109/IRPS.2019.8720541https://dblp.org/rec/conf/irps/WuFCRRCGLGK19URL#2228490Ernest Y. WuBaozhen LiJames H. StathisAndrew KimComprehensive Methodology for Multiple Spots Competing Progressive Breakdown for BEOL/FEOL Applications.IRPS1-82019Conference and Workshop Papersclosedconf/irps/WuLSK1910.1109/IRPS.2019.8720425https://doi.org/10.1109/IRPS.2019.8720425https://dblp.org/rec/conf/irps/WuLSK19URL#2228491Zhuo-Jie WuManish NayiniCharles CareySamantha DonovanDavid QuestadEdmund D. BlackshearCPI Reliability Challenges of Large Flip Chip Packages and Effects of Kerf Size and Substrate.IRPS1-72019Conference and Workshop Papersclosedconf/irps/WuNCDQB1910.1109/IRPS.2019.8720530https://doi.org/10.1109/IRPS.2019.8720530https://dblp.org/rec/conf/irps/WuNCDQB19URL#2228492Huaqiang WuMeiran ZhaoYuyi LiuPeng YaoYue XiXinyi LiWei WuQingtian ZhangJianshi TangBin Gao 0006He QianReliability Perspective on Neuromorphic Computing Based on Analog RRAM.IRPS1-42019Conference and Workshop Papersclosedconf/irps/WuZLYXLWZT0Q1910.1109/IRPS.2019.8720609https://doi.org/10.1109/IRPS.2019.8720609https://dblp.org/rec/conf/irps/WuZLYXLWZT0Q19URL#2228493Diang XingTianshi LiuSusanna YuMinseok KangArash SalemiMarvin H. WhiteAnant K. AgarwalDesign Strategies for Rugged SiC Power Devices.IRPS1-52019Conference and Workshop Papersclosedconf/irps/XingLYKSWA1910.1109/IRPS.2019.8720557https://doi.org/10.1109/IRPS.2019.8720557https://dblp.org/rec/conf/irps/XingLYKSWA19URL#2228494Lyuan XuJingchen CaoBharat L. BhuvaIndranil ChatterjeeShi-Jie WenRichard WongLloyd W. MassengillSingle-Event Upset Responses of Dual- and Triple-Well D Flip-Flop Designs in 7-nm Bulk FinFET Technology.IRPS1-52019Conference and Workshop Papersclosedconf/irps/XuCBCWWM1910.1109/IRPS.2019.8720514https://doi.org/10.1109/IRPS.2019.8720514https://dblp.org/rec/conf/irps/XuCBCWWM19URL#2228495Yuji YamagishiYasuo ChoHigh Resolution Observation of Subsurface Defects at SiO2/4H-SiC Interfaces by Local Deep Level Transient Spectroscopy Based on Time-Resolved Scanning Nonlinear Dielectric Microscopy.IRPS1-42019Conference and Workshop Papersclosedconf/irps/YamagishiC1910.1109/IRPS.2019.8720482https://doi.org/10.1109/IRPS.2019.8720482https://dblp.org/rec/conf/irps/YamagishiC19URL#2228496Wen YangJiann-Shiun YuanBalakrishnan KrishnanPatrick SheaLow-Side GaN Power Device Dynamic Ron Characteristics Under Different Substrate Biases.IRPS1-72019Conference and Workshop Papersclosedconf/irps/YangYKS1910.1109/IRPS.2019.8720433https://doi.org/10.1109/IRPS.2019.8720433https://dblp.org/rec/conf/irps/YangYKS19URL#2228497Ryutaro YasuharaTakashi OnoReiji MochidaShunsaku MuraokaKazuyuki KounoKoji KatayamaYuriko HayataMasayoshi NakayamaHitoshi SuwaYukio HayakawaTakumi MikawaYasushi GohouShinichi YonedaReliability Issues in Analog ReRAM Based Neural-Network Processor.IRPS1-52019Conference and Workshop Papersclosedconf/irps/YasuharaOMMKKHN1910.1109/IRPS.2019.8720588https://doi.org/10.1109/IRPS.2019.8720588https://dblp.org/rec/conf/irps/YasuharaOMMKKHN19URL#2228498Zhilu YeRui Liu 0005Hugh J. BarnabyShimeng YuEvaluation of Single Event Effects in SRAM and RRAM Based Neuromorphic Computing System for Inference.IRPS1-42019Conference and Workshop Papersclosedconf/irps/Ye0BY1910.1109/IRPS.2019.8720490https://doi.org/10.1109/IRPS.2019.8720490https://dblp.org/rec/conf/irps/Ye0BY19URL#2228499Shinji YokogawaKyosuke KuniiA Simple Prediction Method for Chip-Level Electromigration Lifetime Using Generalized Gamma Distribution.IRPS1-62019Conference and Workshop Papersclosedconf/irps/YokogawaK1910.1109/IRPS.2019.8720404https://doi.org/10.1109/IRPS.2019.8720404https://dblp.org/rec/conf/irps/YokogawaK19URL#2228500Jie Jack ZengRuchil JainKyong Jin HwangRobert Gauthier 0002A Novel HV-NPN ESD Protection Device with Buried Floating P-Type Implant.IRPS1-42019Conference and Workshop Papersclosedconf/irps/ZengJHG1910.1109/IRPS.2019.8720460https://doi.org/10.1109/IRPS.2019.8720460https://dblp.org/rec/conf/irps/ZengJHG19URL#2228501Zhuoyuan ZhengBo ChenYashraj GurumukhiJohn CookMehmet N. AtesNenad MiljkovicPaul V. BraunPingfeng WangSurrogate Model Assisted Design of Silicon Anode Considering Lithiation Induced Stresses.IRPS1-62019Conference and Workshop Papersclosedconf/irps/ZhengCGCAMBW1910.1109/IRPS.2019.8720601https://doi.org/10.1109/IRPS.2019.8720601https://dblp.org/rec/conf/irps/ZhengCGCAMBW19URL#2228502Benyuan ZhuE. M. BaziziJ. H. M. TngZ. LiE. K. BanghartM. K. HassanY. HuD. ZhouD. ChoiL. QinXuan WanTCAD Simulation on FinFET n-type Power Device HCI Reliability Improvement.IRPS1-42019Conference and Workshop Papersclosedconf/irps/ZhuBTLBHHZCQW1910.1109/IRPS.2019.8720558https://doi.org/10.1109/IRPS.2019.8720558https://dblp.org/rec/conf/irps/ZhuBTLBHHZCQW19URL#2228503Kaichen ZhuXianhu LiangBin YuanMarco A. VillenaChao WenTao WangShaochuan ChenMario LanzaFei HuiYuanyuan ShiTristate Resistive Switching in Heterogenous Van Der Waals Dielectric Structures.IRPS1-62019Conference and Workshop Papersclosedconf/irps/ZhuLYVWWCLHS1910.1109/IRPS.2019.8720485https://doi.org/10.1109/IRPS.2019.8720485https://dblp.org/rec/conf/irps/ZhuLYVWWCLHS19URL#2228504Xingqi ZouLiang YanLei JinDa LiFeng XuDi AiAn Zhang 0008Hongtao LiuMing WangWei LiYali SongHuazheng WeiYi ChenChunlong LiZongliang HuoCycling Induced Trap Generation and Recovery Near the Top Select Gate Transistor in 3D NAND.IRPS1-52019Conference and Workshop Papersclosedconf/irps/ZouYJLXAZLWLSWC1910.1109/IRPS.2019.8720607https://doi.org/10.1109/IRPS.2019.8720607https://dblp.org/rec/conf/irps/ZouYJLXAZLWLSWC19URL#2228505IEEE International Reliability Physics Symposium, IRPS 2019, Monterey, CA, USA, March 31 - April 4, 2019IRPSIEEE2019Editorshipconf/irps/2019https://ieeexplore.ieee.org/xpl/conhome/8712125/proceedinghttps://dblp.org/rec/conf/irps/2019URL#2298098Fernando L. AguirreSebastián Matías PazosFelix PalumboSivan FadidaRoy WinterMoshe EizenbergImpact of forming gas annealing on the degradation dynamics of Ge-based MOS stacks.IRPS3-12018Conference and Workshop Papersclosedconf/irps/AguirrePPFWE1810.1109/IRPS.2018.8353663https://doi.org/10.1109/IRPS.2018.8353663https://dblp.org/rec/conf/irps/AguirrePPFWE18URL#2618290Jae-Gyung AhnPing-Chin YehJonathan ChangElectromigration failure rate of redundant via.IRPS12018Conference and Workshop Papersclosedconf/irps/AhnYC1810.1109/IRPS.2018.8353671https://doi.org/10.1109/IRPS.2018.8353671https://dblp.org/rec/conf/irps/AhnYC18URL#2618291Akin AkturkJames McGarrityNeil GoldsmanDaniel J. LichtenwalnerBrett HullDave GriderRichard WilkinsThe effects of radiation on the terrestrial operation of SiC MOSFETs.IRPS22018Conference and Workshop Papersclosedconf/irps/AkturkMGLHGW1810.1109/IRPS.2018.8353543https://doi.org/10.1109/IRPS.2018.8353543https://dblp.org/rec/conf/irps/AkturkMGLHGW18URL#2618292Sami AlghamdiMengwei SiLingming YangPeide D. YeLow frequency noise in MOS2 negative capacitance field-effect transistor.IRPS12018Conference and Workshop Papersclosedconf/irps/AlghamdiSYY1810.1109/IRPS.2018.8353696https://doi.org/10.1109/IRPS.2018.8353696https://dblp.org/rec/conf/irps/AlghamdiSYY18URL#2618293M. ArabiA. CrosX. FederspielCheikh NdiayeVincent HuardM. RafikModeling self-heating effects in advanced CMOS nodes.IRPS3-12018Conference and Workshop Papersclosedconf/irps/ArabiCFNHR1810.1109/IRPS.2018.8353673https://doi.org/10.1109/IRPS.2018.8353673https://dblp.org/rec/conf/irps/ArabiCFNHR18URL#2618294Lucile ArnaudStéphane MoreauAmadine JouveImed JaniDidier LattardF. FournelC. EuvrardY. ExbrayatViorel BalanNicolas BressonS. LhostisJ. JourdonE. DeloffreS. GuillaumetAlexis FarcySimon GousseauM. ArnouxFine pitch 3D interconnections with hybrid bonding technology: From process robustness to reliability.IRPS42018Conference and Workshop Papersclosedconf/irps/ArnaudMJJLFEEBB1810.1109/IRPS.2018.8353591https://doi.org/10.1109/IRPS.2018.8353591https://dblp.org/rec/conf/irps/ArnaudMJJLFEEBB18URL#2618295Sofie BeyneShibesh DuttaOlalla Varela PedreiraNiels BosmanChristoph AdelmannIngrid De WolfZsolt TökeiKristof CroesThe first observation of p-type electromigration failure in full ruthenium interconnects.IRPS62018Conference and Workshop Papersclosedconf/irps/BeyneDPBAWTC1810.1109/IRPS.2018.8353638https://doi.org/10.1109/IRPS.2018.8353638https://dblp.org/rec/conf/irps/BeyneDPBAWTC18URL#2618296Roberta BottiniAndrea GhettiSara ViganoMaria Grazia ValentiniPratap MuraliChandra MouliNon-poissonian behavior of hot carrier degradation induced variability in MOSFETs.IRPS62018Conference and Workshop Papersclosedconf/irps/BottiniGVVMM1810.1109/IRPS.2018.8353645https://doi.org/10.1109/IRPS.2018.8353645https://dblp.org/rec/conf/irps/BottiniGVVMM18URL#2618297Erik BuryAdrian Vaisman ChasinBen KaczerKai-Hsin ChuangJacopo FrancoMarko SimicicPieter WeckxDimitri LintenSelf-heating-aware CMOS reliability characterization using degradation maps.IRPS22018Conference and Workshop Papersclosedconf/irps/BuryCKCFSWL1810.1109/IRPS.2018.8353541https://doi.org/10.1109/IRPS.2018.8353541https://dblp.org/rec/conf/irps/BuryCKCFSWL18URL#2618298Eduard CartierMartin M. FrankTakashi AndoJohn RozenVijay NarayananPBTI in InGaAs MOS capacitors with Al2O3/HfO2/TiN gate stacks: Interface-state generation.IRPS52018Conference and Workshop Papersclosedconf/irps/CartierFARN1810.1109/IRPS.2018.8353604https://doi.org/10.1109/IRPS.2018.8353604https://dblp.org/rec/conf/irps/CartierFARN18URL#2618299Alberto CastellazziAsad FayyazSiwei ZhuThorsten OederMartin PfostSingle pulse short-circuit robustness and repetitive stress aging of GaN GITs.IRPS42018Conference and Workshop Papersclosedconf/irps/CastellazziFZOP1810.1109/IRPS.2018.8353593https://doi.org/10.1109/IRPS.2018.8353593https://dblp.org/rec/conf/irps/CastellazziFZOP18URL#2618300I. K. ChenS. C. ChenS. MukhopadhyayD. S. HuangJ. H. LeeY. S. TsaiRyan LuJun HeThe physical mechanism investigation of off-state drain bias TDDB and its implication in advance HK/MG FinFETs.IRPS42018Conference and Workshop Papersclosedconf/irps/ChenCMHLTLH1810.1109/IRPS.2018.8353575https://doi.org/10.1109/IRPS.2018.8353575https://dblp.org/rec/conf/irps/ChenCMHLTLH18URL#2618301Pin-Shiang ChenShou-Chung LeeA. S. OatesChee Wee LiuBEOL TDDB reliability modeling and lifetime prediction using critical energy to breakdown.IRPS62018Conference and Workshop Papersclosedconf/irps/ChenLOL1810.1109/IRPS.2018.8353626https://doi.org/10.1109/IRPS.2018.8353626https://dblp.org/rec/conf/irps/ChenLOL18URL#2618302Pai-Yu ChenShimeng YuReliability perspective of resistive synaptic devices on the neuromorphic system performance.IRPS52018Conference and Workshop Papersclosedconf/irps/ChenY1810.1109/IRPS.2018.8353615https://doi.org/10.1109/IRPS.2018.8353615https://dblp.org/rec/conf/irps/ChenY18URL#2618303Kin P. CheungSiC power MOSFET gate oxide breakdown reliability - Current status.IRPS22018Conference and Workshop Papersclosedconf/irps/Cheung1810.1109/IRPS.2018.8353545https://doi.org/10.1109/IRPS.2018.8353545https://dblp.org/rec/conf/irps/Cheung18URL#2618304Omar ChihaniLoic ThéolierJean-Yves DelétageEric WoirgardAlain Bensoussan 0002André DurierTemperature and voltage effects on HTRB and HTGB stresses for AlGaN/GaN HEMTs.IRPS2-12018Conference and Workshop Papersclosedconf/irps/ChihaniTDWCBD1810.1109/IRPS.2018.8353685https://doi.org/10.1109/IRPS.2018.8353685https://dblp.org/rec/conf/irps/ChihaniTDWCBD18URL#2618305Seungman ChoiCathryn ChristiansenLinjun CaoJames ZhangRonald FilippiTian ShenKong Boon YeapSean P. OgdenHaojun ZhangBianzhu FuPatrick JustisonEffect of metal line width on electromigration of BEOL Cu interconnects.IRPS42018Conference and Workshop Papersclosedconf/irps/ChoiCCZFSYOZFJ1810.1109/IRPS.2018.8353600https://doi.org/10.1109/IRPS.2018.8353600https://dblp.org/rec/conf/irps/ChoiCCZFSYOZFJ18URL#2618306Yu-Lin ChuHsi-Yu KuoSheng-Fu HsuYung-Sheng TsaiMing-Yi WangChuan-Li ChangBill KiangKenneth WuA new mechanism of signal path charging damage across separated power domain deep N-Well interface.IRPS62018Conference and Workshop Papersclosedconf/irps/ChuKHTWCKW1810.1109/IRPS.2018.8353631https://doi.org/10.1109/IRPS.2018.8353631https://dblp.org/rec/conf/irps/ChuKHTWCKW18URL#2618307Kai-Hsin ChuangErik BuryRobin DegraeveBen KaczerT. KallsteniusGuido GroesenekenDimitri LintenIngrid VerbauwhedeA multi-bit/cell PUF using analog breakdown positions in CMOS.IRPS2-12018Conference and Workshop Papersclosedconf/irps/ChuangBDKKGLV1810.1109/IRPS.2018.8353655https://doi.org/10.1109/IRPS.2018.8353655https://dblp.org/rec/conf/irps/ChuangBDKKGLV18URL#2618308C. ChungD. KobayashiK. HiroseThreshold ion parameters of line-type soft-errors in biased thin-BOX SOI SRAMs: Difference between sensitivities to terrestrial and space radiation.IRPS42018Conference and Workshop Papersclosedconf/irps/ChungKH1810.1109/IRPS.2018.8353585https://doi.org/10.1109/IRPS.2018.8353585https://dblp.org/rec/conf/irps/ChungKH18URL#2618309André ClausnerSimon SchlipfGottfried KurzMichael OttoJens PaulKay-Uwe GieringJens WarmuthAndré LangeRoland JanckeAndreas AalRüdiger RosenkranzMartin GallEhrenfried ZschechAnalysis of 28 nm SRAM cell stability under mechanical load applied by nanoindentation.IRPS52018Conference and Workshop Papersclosedconf/irps/ClausnerSKOPGWL1810.1109/IRPS.2018.8353607https://doi.org/10.1109/IRPS.2018.8353607https://dblp.org/rec/conf/irps/ClausnerSKOPGWL18URL#2618310Kristof CroesVladimir ChermanMelina LofranoHouman ZahedmaneshLuka KljucarMario GonzalezIngrid De WolfZsolt TökeiEric BeyneStress mitigation of 3D-stacking/packaging induced stresses.IRPS42018Conference and Workshop Papersclosedconf/irps/CroesCLZKGWTB1810.1109/IRPS.2018.8353590https://doi.org/10.1109/IRPS.2018.8353590https://dblp.org/rec/conf/irps/CroesCLZKGWTB18URL#2618311Krzysztof DomanskiLatch-up in FinFET technologies.IRPS22018Conference and Workshop Papersclosedconf/irps/Domanski1810.1109/IRPS.2018.8353550https://doi.org/10.1109/IRPS.2018.8353550https://dblp.org/rec/conf/irps/Domanski18URL#2618312Lesly EndrinalRakesh KingerLavakumar RanganathanAmit Sheth 0001Solving critical issues in 10nm technology using innovative laser-based fault isolation and DFT diagnosis techniques.IRPS62018Conference and Workshop Papersclosedconf/irps/EndrinalKRS1810.1109/IRPS.2018.8353622https://doi.org/10.1109/IRPS.2018.8353622https://dblp.org/rec/conf/irps/EndrinalKRS18URL#2618313Chia-Chi FanChun-Yuan TuMing-Huei LinChun-Yen ChangChun-Hu ChengYen-Liang ChenGuan-Lin LiouChien LiuWu-Ching ChouHsiao-Hsuan HsuInterface engineering of ferroelectric negative capacitance FET for hysteresis-free switch and reliability improvement.IRPS8-12018Conference and Workshop Papersclosedconf/irps/FanTLCCCLLCH1810.1109/IRPS.2018.8353703https://doi.org/10.1109/IRPS.2018.8353703https://dblp.org/rec/conf/irps/FanTLCCCLLCH18URL#2618314Yi-Pin FangAnthony S. OatesSoft errors in 7nm FinFET SRAMs with integrated fan-out packaging.IRPS42018Conference and Workshop Papersclosedconf/irps/FangO1810.1109/IRPS.2018.8353584https://doi.org/10.1109/IRPS.2018.8353584https://dblp.org/rec/conf/irps/FangO18URL#2618315Andy FennerMark PorterRandy CrutchfieldMaking the connection between physics of failure and system-level reliability for medical devices.IRPS62018Conference and Workshop Papersclosedconf/irps/FennerPC1810.1109/IRPS.2018.8353619https://doi.org/10.1109/IRPS.2018.8353619https://dblp.org/rec/conf/irps/FennerPC18URL#2618316Karine FlorentA. SubiratsSimone LavizzariRobin DegraeveUmberto CelanoBen KaczerLuca Di PiazzaMihaela PopoviciGuido GroesenekenJan Van HoudtInvestigation of the endurance of FE-HfO2 devices by means of TDDB studies.IRPS62018Conference and Workshop Papersclosedconf/irps/FlorentSLDCKPPG1810.1109/IRPS.2018.8353634https://doi.org/10.1109/IRPS.2018.8353634https://dblp.org/rec/conf/irps/FlorentSLDCKPPG18URL#2618317Jacopo FrancoBen KaczerAdrian Vaisman ChasinErik BuryDimitri LintenHot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space.IRPS52018Conference and Workshop Papersclosedconf/irps/FrancoKCBL1810.1109/IRPS.2018.8353601https://doi.org/10.1109/IRPS.2018.8353601https://dblp.org/rec/conf/irps/FrancoKCBL18URL#2618318Shouhei FukuyamaKazuki MaedaShinpei MatsudaKen TakeuchiRyutaro YasuharaSuppression of endurance-stressed data-retention failures of 40nm TaOx-based ReRAM.IRPS4-12018Conference and Workshop Papersclosedconf/irps/FukuyamaMMTY1810.1109/IRPS.2018.8353677https://doi.org/10.1109/IRPS.2018.8353677https://dblp.org/rec/conf/irps/FukuyamaMMTY18URL#2618319Jeff Peter GambinoH. SoleimaniI. RahimB. RiebeekL. ShengG. HoseyH. TruongGavin D. R. HallR. JeromeD. PriceDevice reliability for CMOS image sensors with backside through-silicon vias.IRPS52018Conference and Workshop Papersclosedconf/irps/GambinoSRRSHTHJ1810.1109/IRPS.2018.8353611https://doi.org/10.1109/IRPS.2018.8353611https://dblp.org/rec/conf/irps/GambinoSRRSHTHJ18URL#2618320David Z. GaoJack StrandAl-Moatasem El-SayedAlexander L. ShlugerAndrea PadovaniLuca LarcherRole of electron and hole trapping in the degradation and breakdown of SiO2 and HfO2 films.IRPS52018Conference and Workshop Papersclosedconf/irps/GaoSESPL1810.1109/IRPS.2018.8353602https://doi.org/10.1109/IRPS.2018.8353602https://dblp.org/rec/conf/irps/GaoSESPL18URL#2618321Xavier GarrosAlexandre SubiratsGilles ReimboldFred GaillardCheikh DioufX. FederspielVincent HuardM. RafikA new method for quickly evaluating reversible and permanent components of the BTI degradation.IRPS6-12018Conference and Workshop Papersclosedconf/irps/GarrosSRGDFHR1810.1109/IRPS.2018.8353688https://doi.org/10.1109/IRPS.2018.8353688https://dblp.org/rec/conf/irps/GarrosSRGDFHR18URL#2618322Andreas GraffMichél Simon-NajasekDavid PoppitzFrank AltmannPhysical failure analysis methods for wide band gap semiconductor devices.IRPS32018Conference and Workshop Papersclosedconf/irps/GraffSPA1810.1109/IRPS.2018.8353557https://doi.org/10.1109/IRPS.2018.8353557https://dblp.org/rec/conf/irps/GraffSPA18URL#2618323Tibor GrasserBernhard StampferMichael WaltlGerhard RzepaKarl RuppFranz SchanovskyGregor PobegenKatja PuschkarskyHans ReisingerBarry J. O'SullivanBen KaczerCharacterization and physical modeling of the temporal evolution of near-interfacial states resulting from NBTI/PBTI stress in nMOS/pMOS transistors.IRPS22018Conference and Workshop Papersclosedconf/irps/GrasserSWRRSPPR1810.1109/IRPS.2018.8353540https://doi.org/10.1109/IRPS.2018.8353540https://dblp.org/rec/conf/irps/GrasserSWRRSPPR18URL#2618324F. GriggioJames PalmerF. PanN. ToledoAnthony SchmitzIlan TsameretR. KasimGerald S. LeathermanJeffery HicksA. MadhavanJ. ShinJ. SteigerwaldA. YeohC. AuthReliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology.IRPS62018Conference and Workshop Papersclosedconf/irps/GriggioPPTSTKLH1810.1109/IRPS.2018.8353641https://doi.org/10.1109/IRPS.2018.8353641https://dblp.org/rec/conf/irps/GriggioPPTSTKLH18URL#2618325Shaofeng GuoZhenghan LinRunsheng WangZexuan ZhangZhe ZhangYangyuan WangRu HuangInvestigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs.IRPS6-12018Conference and Workshop Papersclosedconf/irps/GuoLWZZWH1810.1109/IRPS.2018.8353701https://doi.org/10.1109/IRPS.2018.8353701https://dblp.org/rec/conf/irps/GuoLWZZWH18URL#2618326Jifa HaoAmartya GhoshMark RinehimerJoe YedinakMuhammad Ashraful AlamBVDSS (drain to source breakdown voltage) instability in shielded gate trench power MOSFETs.IRPS62018Conference and Workshop Papersclosedconf/irps/HaoGRYA1810.1109/IRPS.2018.8353643https://doi.org/10.1109/IRPS.2018.8353643https://dblp.org/rec/conf/irps/HaoGRYA18URL#2618327Christine S. Hau-RiegeHuilin XuYou-Wen YauManasi S. KakadeJianfeng LiXiaonan ZhangHosain FarrElectromigration of multi-solder ball test structures.IRPS52018Conference and Workshop Papersclosedconf/irps/Hau-RiegeXYKLZF1810.1109/IRPS.2018.8353608https://doi.org/10.1109/IRPS.2018.8353608https://dblp.org/rec/conf/irps/Hau-RiegeXYKLZF18URL#2618328Chao-Kun HuJames J. KellyHuai HuangKoichi MotoyamaHosadurga ShobhaYuri OstrovskiJames H.-C. ChenRaghuveer PatlollaBrown PeethalaPraneet AdusumilliTerry A. SpoonerRoger QuonLynne M. GignacChris M. BreslinG. LianM. AliJacob BenedictX. S. LinS. SmithVimal KamineniX. ZhangFrank Wilhelm MontShariq SiddiquiFrieder H. BaumannFuture on-chip interconnect metallization and electromigration.IRPS42018Conference and Workshop Papersclosedconf/irps/HuKHMSOCPPASQGB1810.1109/IRPS.2018.8353597https://doi.org/10.1109/IRPS.2018.8353597https://dblp.org/rec/conf/irps/HuKHMSOCPPASQGB18URL#2618329D. S. HuangJ. H. LeeY. S. TsaiY. F. WangY. S. HuangC. K. LinRyan LuJun HeComprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors.IRPS62018Conference and Workshop Papersclosedconf/irps/HuangLTWHLLH1810.1109/IRPS.2018.8353651https://doi.org/10.1109/IRPS.2018.8353651https://dblp.org/rec/conf/irps/HuangLTWHLLH18URL#2618330Vincent HuardSouhir MhiraA. BarclaisX. LecocqF. RaugiM. CantournetAlain BravaixManaging electrical reliability in consumer systems for improved energy efficiency.IRPS32018Conference and Workshop Papersclosedconf/irps/HuardMBLRCB1810.1109/IRPS.2018.8353561https://doi.org/10.1109/IRPS.2018.8353561https://dblp.org/rec/conf/irps/HuardMBLRCB18URL#2618331Vincent HuardCheikh NdiayeM. ArabiNarendra PariharX. FederspielSouhir MhiraS. MahapatraAlain BravaixKey parameters driving transistor degradation in advanced strained SiGe channels.IRPS4-12018Conference and Workshop Papersclosedconf/irps/HuardNAPFMMB1810.1109/IRPS.2018.8353699https://doi.org/10.1109/IRPS.2018.8353699https://dblp.org/rec/conf/irps/HuardNAPFMMB18URL#2618332Mitsuhiko IgarashiYuuki UchidaYoshio TakazawaYasumasa TsukamotoKoji ShibutaniKoji NiiStudy of impact of BTI's local layout effect including recovery effect on various standard-cells in 10nm FinFET.IRPS12018Conference and Workshop Papersclosedconf/irps/IgarashiUTTSN1810.1109/IRPS.2018.8353654https://doi.org/10.1109/IRPS.2018.8353654https://dblp.org/rec/conf/irps/IgarashiUTTSN18URL#2618333Ayanori IkoshiMasahiro TokiHiroto YamagiwaDaijiro ArisawaMasahiro HikitaKazuki SuzukiManabu YanagiharaYasuhiro UemotoKenichiro TanakaTetsuzo UedaLifetime evaluation for Hybrid-Drain-embedded Gate Injection Transistor (HD-GIT) under practical switching operations.IRPS42018Conference and Workshop Papersclosedconf/irps/IkoshiTYAHSYUTU1810.1109/IRPS.2018.8353594https://doi.org/10.1109/IRPS.2018.8353594https://dblp.org/rec/conf/irps/IkoshiTYAHSYUTU18URL#2618334Yury Yu. IllarionovAday J. Molina-MendozaMichael WaltlTheresia KnoblochMarco M. FurchiThomas MuellerTibor GrasserReliability of next-generation field-effect transistors with transition metal dichalcogenides.IRPS52018Conference and Workshop Papersclosedconf/irps/IllarionovMWKFM1810.1109/IRPS.2018.8353605https://doi.org/10.1109/IRPS.2018.8353605https://dblp.org/rec/conf/irps/IllarionovMWKFM18URL#2618335Dimitris P. IoannouY. TanR. LoganK. BandyR. AchantaP. C. WangDave BrochuM. JaffeHot carrier effects on the RF performance degradation of nanoscale LNA SOI nFETs.IRPS2-12018Conference and Workshop Papersclosedconf/irps/IoannouTLBAWBJ1810.1109/IRPS.2018.8353697https://doi.org/10.1109/IRPS.2018.8353697https://dblp.org/rec/conf/irps/IoannouTLBAWBJ18URL#2618336Ferdinando IucolanoAntonino Maurizio ParisiSanto ReinaAlessandro ChiniA novel GaN HEMT degradation mechanism observed during HTST test.IRPS4-12018Conference and Workshop Papersclosedconf/irps/IucolanoPRC1810.1109/IRPS.2018.8353707https://doi.org/10.1109/IRPS.2018.8353707https://dblp.org/rec/conf/irps/IucolanoPRC18URL#2618337Subramanian S. IyerAdeel Ahmad BajwaReliability challenges in advance packaging.IRPS42018Conference and Workshop Papersclosedconf/irps/IyerB1810.1109/IRPS.2018.8353592https://doi.org/10.1109/IRPS.2018.8353592https://dblp.org/rec/conf/irps/IyerB18URL#2618338Srikanth JagannathanKumar AbhishekNihaar N. MahatmeEnder YilmazDesign of aging aware 5 Gbps LVDS transmitter for automotive applications.IRPS52018Conference and Workshop Papersclosedconf/irps/JagannathanAMY1810.1109/IRPS.2018.8353614https://doi.org/10.1109/IRPS.2018.8353614https://dblp.org/rec/conf/irps/JagannathanAMY18URL#2618339Seongwon JeongJinseok Kim 0005Ayoung KimByungwook KimMoonsoo LeeJaewon ChangIn Hak BaickHanbyul KangYounggeun JiSangchul ShinSangwoo PaeOptimal design of dummy ball array in wafer level package to improve board level thermal cycle reliability (BLR).IRPS32018Conference and Workshop Papersclosedconf/irps/JeongKKKLCBKJSP1810.1109/IRPS.2018.8353653https://doi.org/10.1109/IRPS.2018.8353653https://dblp.org/rec/conf/irps/JeongKKKLCBKJSP18URL#2618340Younggeun JiJeonghoon KimJungin KimMiji LeeJaeheon NohTaeyoung JeongJuhyeon ShinJunho KimYoung HeoUng ChoHyun-Chul SagongJunekyun ParkYeonsik ChooGilhwan DoHoyoung KangEunkyeong ChoiDongyoon SunChangki KangSangchul ShinSangwoo PaeReliability characterization of advanced CMOS image sensor (CIS) with 3D stack and in-pixel DTI.IRPS32018Conference and Workshop Papersclosedconf/irps/JiKKLNJSKHCSPCD1810.1109/IRPS.2018.8353570https://doi.org/10.1109/IRPS.2018.8353570https://dblp.org/rec/conf/irps/JiKKLNJSKHCSPCD18URL#2618341Hui JiangH. ZhangR. C. HarringtonJ. A. MaharreyJ. S. KauppilaLloyd W. MassengillBharat L. BhuvaImpact of supply voltage and particle LET on the soft error rate of logic circuits.IRPS42018Conference and Workshop Papersclosedconf/irps/JiangZHMKMB1810.1109/IRPS.2018.8353586https://doi.org/10.1109/IRPS.2018.8353586https://dblp.org/rec/conf/irps/JiangZHMKMB18URL#2618342H. JiangH. ZhangBalaji NarasimhamLloyd W. MassengillBharat L. BhuvaDesigning soft-error-aware circuits with power and speed optimization.IRPS5-12018Conference and Workshop Papersclosedconf/irps/JiangZNMB1810.1109/IRPS.2018.8353692https://doi.org/10.1109/IRPS.2018.8353692https://dblp.org/rec/conf/irps/JiangZNMB18URL#2618343Kaustubh JoshiShu-Wen ChangD. S. HuangP. J. LiaoYung-Huei LeeStudy of dynamic TDDB in scaled FinFET technologies.IRPS5-12018Conference and Workshop Papersclosedconf/irps/JoshiCHLL1810.1109/IRPS.2018.8353665https://doi.org/10.1109/IRPS.2018.8353665https://dblp.org/rec/conf/irps/JoshiCHLL18URL#2618344Kento KakikawaYuji YamagishiYasuo ChoKatsuto TanahashiHidetaka TakatoCharge state evaluation of passivation layers for silicon solar cells by scanning nonlinear dielectric microscopy.IRPS12018Conference and Workshop Papersclosedconf/irps/KakikawaYCTT1810.1109/IRPS.2018.8353683https://doi.org/10.1109/IRPS.2018.8353683https://dblp.org/rec/conf/irps/KakikawaYCTT18URL#2618345Amit A. KaleAmit MaratheAjay KamathMachine learning based dynamic cause maps for condition monitoring and life estimation.IRPS32018Conference and Workshop Papersclosedconf/irps/KaleMK1810.1109/IRPS.2018.8353562https://doi.org/10.1109/IRPS.2018.8353562https://dblp.org/rec/conf/irps/KaleMK18URL#2618346Soo Cheol KangSang Kyung LeeS. HeoS. M. KimSung Kwan LimByoung Hun LeeReliability characteristics of MIM capacitor studied with ΔC-F characteristics.IRPS5-12018Conference and Workshop Papersclosedconf/irps/KangLHKLL1810.1109/IRPS.2018.8353687https://doi.org/10.1109/IRPS.2018.8353687https://dblp.org/rec/conf/irps/KangLHKLL18URL#2618347Thibault KempfVincenzo Della MarcaL. BaronF. MaugainFrancesco La RosaStephan NielArnaud RégnierJean-Michel PortalPascal MassonThreshold voltage bitmap analysis methodology: Application to a 512kB 40nm Flash memory test chip.IRPS62018Conference and Workshop Papersclosedconf/irps/KempfMBMRNRPM1810.1109/IRPS.2018.8353642https://doi.org/10.1109/IRPS.2018.8353642https://dblp.org/rec/conf/irps/KempfMBMRNRPM18URL#2618348Chris KendrickMichael Cook 0004Jeff P. GambinoT. MyersJ. SlezakT. HiranoT. SanoY. WatanabeK. OzekiPolysilicon resistor stability under voltage stress for safe-operating area characterization.IRPS4-12018Conference and Workshop Papersclosedconf/irps/KendrickCGMSHSW1810.1109/IRPS.2018.8353686https://doi.org/10.1109/IRPS.2018.8353686https://dblp.org/rec/conf/irps/KendrickCGMSHSW18URL#2618349Ahmad KhanFrede BlaabjergModified transformerless dual buck inverter with improved lifetime for PV applications.IRPS62018Conference and Workshop Papersclosedconf/irps/KhanB1810.1109/IRPS.2018.8353628https://doi.org/10.1109/IRPS.2018.8353628https://dblp.org/rec/conf/irps/KhanB18URL#2618350Hyunjin KimMinjung JinHyun-Chul SagongJinju KimUkjin JungMinhyuck ChoiJunekyun ParkSangchul ShinSangwoo PaeA systematic study of gate dielectric TDDB in FinFET technology.IRPS42018Conference and Workshop Papersclosedconf/irps/KimJSKJCPSP1810.1109/IRPS.2018.8353577https://doi.org/10.1109/IRPS.2018.8353577https://dblp.org/rec/conf/irps/KimJSKJCPSP18URL#2618351Wanki KimSangBum KimRobert L. BruceFabio CartaG. FraczakA. RayChung LamMatthew BrightSkyYu ZhuT. MasudaK. SuuYujun XieYerin KimJudy J. ChaReliability benefits of a metallic liner in confined PCM.IRPS62018Conference and Workshop Papersclosedconf/irps/KimKBCFRLBZMSXK1810.1109/IRPS.2018.8353636https://doi.org/10.1109/IRPS.2018.8353636https://dblp.org/rec/conf/irps/KimKBCFRLBZMSXK18URL#2618352Andrew KimBaozhen LiBarry P. LinderTransient self-heating modeling and simulations of back-end-of-line interconnects.IRPS2-12018Conference and Workshop Papersclosedconf/irps/KimLL1810.1109/IRPS.2018.8353672https://doi.org/10.1109/IRPS.2018.8353672https://dblp.org/rec/conf/irps/KimLL18URL#2618353Heejin KimHayeon ShinJiyoung ParkYoungtae ChoiJongwoo Park 0001Statistical modeling and reliability prediction for transient luminance degradation of flexible OLEDs.IRPS32018Conference and Workshop Papersclosedconf/irps/KimSPCP1810.1109/IRPS.2018.8353566https://doi.org/10.1109/IRPS.2018.8353566https://dblp.org/rec/conf/irps/KimSPCP18URL#2618354Deniz KocaayPhilippe RousselKristof CroesIvan CiofiAlicja LesniewskaIngrid De WolfMethod to assess the impact of LER and spacing variation on BEOL dielectric reliability using 2D-field simulations for <20nm spacing.IRPS10-12018Conference and Workshop Papersclosedconf/irps/KocaayRCCLW1810.1109/IRPS.2018.8353670https://doi.org/10.1109/IRPS.2018.8353670https://dblp.org/rec/conf/irps/KocaayRCCLW18URL#2618355Brian KosinskiKen DodsonKey attributes to achieving > 99.99 satellite availability.IRPS62018Conference and Workshop Papersclosedconf/irps/KosinskiD1810.1109/IRPS.2018.8353620https://doi.org/10.1109/IRPS.2018.8353620https://dblp.org/rec/conf/irps/KosinskiD18URL#2618356M. KraatzChristoph SanderAndré ClausnerM. HauschildtYvonne StandkeMartin GallEhrenfried ZschechAnalysis of electromigration-induced backflow stresses in Cu(Mn) interconnects using high statistical sampling.IRPS42018Conference and Workshop Papersclosedconf/irps/KraatzSCHSGZ1810.1109/IRPS.2018.8353598https://doi.org/10.1109/IRPS.2018.8353598https://dblp.org/rec/conf/irps/KraatzSCHSGZ18URL#2618357Nagothu Karmel KranthiAbhishek MishraAdil MeershaHarsha B. VariarMayank ShrivastavaDefect-Assisted Safe Operating Area Limits and High Current Failure in Graphene FETs.IRPS32018Conference and Workshop Papersclosedconf/irps/KranthiMMVS1810.1109/IRPS.2018.8353571https://doi.org/10.1109/IRPS.2018.8353571https://dblp.org/rec/conf/irps/KranthiMMVS18URL#2618358Yasuyo KurachiHiroshi YamamotoYukinori NoseSatoshi ShimizuYasunori TatenoTakumi YonemuraMasato FurukawaFailure mode analysis of GaN-HEMT under high temperature operation.IRPS3-12018Conference and Workshop Papersclosedconf/irps/KurachiYNSTYF1810.1109/IRPS.2018.8353706https://doi.org/10.1109/IRPS.2018.8353706https://dblp.org/rec/conf/irps/KurachiYNSTYF18URL#2618359Rania LajmiFlorian CachoO. DavidJean-Pierre BlancEmmanuel RouatSébastien HaendlerPh. BenechEstelle Lauga-LarrozeSylvain BourdelReliability assessment of 4GSP/s interleaved SAR ADC.IRPS5-12018Conference and Workshop Papersclosedconf/irps/LajmiCDBRHBLB1810.1109/IRPS.2018.8353658https://doi.org/10.1109/IRPS.2018.8353658https://dblp.org/rec/conf/irps/LajmiCDBRHBLB18URL#2618360Pradeep LallKazi MirzaDavid LockerPrognostics health management of electronic systems - A reliability physics approach.IRPS62018Conference and Workshop Papersclosedconf/irps/LallML1810.1109/IRPS.2018.8353621https://doi.org/10.1109/IRPS.2018.8353621https://dblp.org/rec/conf/irps/LallML18URL#2618361Pradeep LallHao ZhangRahul LallPHM of state-of-charge for flexible power sources in wearable electronics with EKF.IRPS2-12018Conference and Workshop Papersclosedconf/irps/LallZL1810.1109/IRPS.2018.8353695https://doi.org/10.1109/IRPS.2018.8353695https://dblp.org/rec/conf/irps/LallZL18URL#2618362Giovanni LandiCarlo BaroneC. MauroS. PaganoHeinz-Christoph NeitzertEvaluation of silicon, organic, and perovskite solar cell reliability with low-frequency noise spectroscopy.IRPS62018Conference and Workshop Papersclosedconf/irps/LandiBMPN1810.1109/IRPS.2018.8353629https://doi.org/10.1109/IRPS.2018.8353629https://dblp.org/rec/conf/irps/LandiBMPN18URL#2618363Antoine LaurentXavier GarrosSylvain BarraudJ. Pelloux-PrayerMikaël CasséFred GaillardX. FederspielDavid Roy 0001E. VincentGérard GhibaudoPerformance & reliability of 3D architectures (πfet, Finfet, Ωfet).IRPS62018Conference and Workshop Papersclosedconf/irps/LaurentGBPCGFRV1810.1109/IRPS.2018.8353647https://doi.org/10.1109/IRPS.2018.8353647https://dblp.org/rec/conf/irps/LaurentGBPCGFRV18URL#2618364Kangwook Lee 0005High-density fan-out technology for advanced SiP and 3D heterogeneous integration.IRPS42018Conference and Workshop Papersclosedconf/irps/Lee1810.1109/IRPS.2018.8353588https://doi.org/10.1109/IRPS.2018.8353588https://dblp.org/rec/conf/irps/Lee18URL#2618365Ming-Yi LeeT.-Y. ChangW.-F. HsuehLi-Kuang KuoDing-Jhang LinYen-Hai ChaoU. J. TzengChih-Yuan LuElectrical method to localize the high-resistance of nanoscale CoSi2 word-line for OTP memories.IRPS62018Conference and Workshop Papersclosedconf/irps/LeeCHKLCTL1810.1109/IRPS.2018.8353624https://doi.org/10.1109/IRPS.2018.8353624https://dblp.org/rec/conf/irps/LeeCHKLCTL18URL#2618366Sol-Kyu LeeKyung-Tae JangSeol-Min YiYoung-Chang JooSuccessive breakdown mode of time-dependent dielectric breakdown for Cu interconnects and lifetime enhancement under dynamic bias stress.IRPS42018Conference and Workshop Papersclosedconf/irps/LeeJYJ1810.1109/IRPS.2018.8353578https://doi.org/10.1109/IRPS.2018.8353578https://dblp.org/rec/conf/irps/LeeJYJ18URL#2618367Miky LeeK. KimD. LimD. ChoCk. HanWeibull cumulative distribution function (CDF) analysis with life expectancy endurance test result of power window switch.IRPS12018Conference and Workshop Papersclosedconf/irps/LeeKLCH1810.1109/IRPS.2018.8353694https://doi.org/10.1109/IRPS.2018.8353694https://dblp.org/rec/conf/irps/LeeKLCH18URL#2618368Kurt J. LezonShi-Jie WenY.-F. DanRichard WongBharat L. BhuvaSingle-event effects on optical transceiver.IRPS6-12018Conference and Workshop Papersclosedconf/irps/LezonWDWB1810.1109/IRPS.2018.8353693https://doi.org/10.1109/IRPS.2018.8353693https://dblp.org/rec/conf/irps/LezonWDWB18URL#2618369Baozhen LiAndrew KimPaul McLaughlinBarry P. LinderCathryn ChristiansenElectromigration characteristics of power grid like structures.IRPS42018Conference and Workshop Papersclosedconf/irps/LiKMLC1810.1109/IRPS.2018.8353599https://doi.org/10.1109/IRPS.2018.8353599https://dblp.org/rec/conf/irps/LiKMLC18URL#2618370Yunlong LiMichele StucchiStefaan Van HuylenbroeckGeert Van der PlasGerald BeyerEric BeyneKristof CroesTSV process-induced MOS reliability degradation.IRPS52018Conference and Workshop Papersclosedconf/irps/LiSHPBBC1810.1109/IRPS.2018.8353610https://doi.org/10.1109/IRPS.2018.8353610https://dblp.org/rec/conf/irps/LiSHPBBC18URL#2618371Yun LiK. L. WangShaoyan DiPeng Huang 0004Gang DuXiao-Yan LiuPBTI evaluation of In0.65Ga0.35As/In0.53Ga0.47As nanowire FETs with Al2O3 and LaAlO3 gate dielectrics.IRPS7-12018Conference and Workshop Papersclosedconf/irps/LiWDHDL1810.1109/IRPS.2018.8353702https://doi.org/10.1109/IRPS.2018.8353702https://dblp.org/rec/conf/irps/LiWDHDL18URL#2618372Xianhu LiangBin YuanYuanyuan ShiFei HuiXu JingMario LanzaFelix PalumboEnhanced reliability of hexagonal boron nitride dielectric stacks due to high thermal conductivity.IRPS6-12018Conference and Workshop Papersclosedconf/irps/LiangYSHJLP1810.1109/IRPS.2018.8353666https://doi.org/10.1109/IRPS.2018.8353666https://dblp.org/rec/conf/irps/LiangYSHJLP18URL#2618373Daniel J. LichtenwalnerBrett HullEdward Van BruntShadi SabriDonald A. GajewskiDave GriderScott AllenJohn W. PalmourAkin AkturkJames McGarrityReliability studies of SiC vertical power MOSFETs.IRPS22018Conference and Workshop Papersclosedconf/irps/LichtenwalnerHB1810.1109/IRPS.2018.8353544https://doi.org/10.1109/IRPS.2018.8353544https://dblp.org/rec/conf/irps/LichtenwalnerHB18URL#2618374Jia Hao LimNagarajan RaghavanSen MeiVinayak Bharat NaikJae Hyun KwonS. M. NohB. LiuE. H. TohNyuk Leong ChungRobin ChaoK. H. LeeKin Leong PeyArea and pulsewidth dependence of bipolar TDDB in MgO magnetic tunnel junction.IRPS62018Conference and Workshop Papersclosedconf/irps/LimRMNKNLTCCLP1810.1109/IRPS.2018.8353637https://doi.org/10.1109/IRPS.2018.8353637https://dblp.org/rec/conf/irps/LimRMNKNLTCCLP18URL#2618375T. W. LinS. H. KuC. H. ChengC. W. LeeIjen HuangWen-Jer TsaiT. C. LuW. P. LuK. C. ChenTahui WangChih-Yuan LuChip-level characterization and RTN-induced error mitigation beyond 20nm floating gate flash memory.IRPS6-12018Conference and Workshop Papersclosedconf/irps/LinKCLHTLLCWL1810.1109/IRPS.2018.8353679https://doi.org/10.1109/IRPS.2018.8353679https://dblp.org/rec/conf/irps/LinKCLHTLLCWL18URL#2618376S. E. LiuG. Y. ChenM. K. ChenDavid YenW. A. KuoC. S. FuY. S. TsaiM. Z. LinY. H. FangM. J. LinFast chip aging prediction by product-like VMIN drift characterization on test structures.IRPS32018Conference and Workshop Papersclosedconf/irps/LiuCCYKFTLFL1810.1109/IRPS.2018.8353569https://doi.org/10.1109/IRPS.2018.8353569https://dblp.org/rec/conf/irps/LiuCCYKFTLFL18URL#2618377Wen LiuAndreas KerberFernando GuarinClaude OrtollandCap layer and multi-work-function tuning impact on TDDB/BTI in SOI FinFET devices.IRPS22018Conference and Workshop Papersclosedconf/irps/LiuKGO1810.1109/IRPS.2018.8353542https://doi.org/10.1109/IRPS.2018.8353542https://dblp.org/rec/conf/irps/LiuKGO18URL#2618378Y. H. LiuH. Y. LinC. M. JiangTahui WangW. J. TsaiT. C. LuK. C. ChenChih-Yuan LuInvestigation of data pattern effects on nitride charge lateral migration in a charge trap flash memory by using a random telegraph signal method.IRPS62018Conference and Workshop Papersclosedconf/irps/LiuLJWTLCL1810.1109/IRPS.2018.8353632https://doi.org/10.1109/IRPS.2018.8353632https://dblp.org/rec/conf/irps/LiuLJWTLCL18URL#2618379Riccardo MarianiAn overview of autonomous vehicles safety.IRPS62018Conference and Workshop Papersclosedconf/irps/Mariani1810.1109/IRPS.2018.8353618https://doi.org/10.1109/IRPS.2018.8353618https://dblp.org/rec/conf/irps/Mariani18URL#2618380Cameron McNairyExascale fault tolerance challenge and approaches.IRPS32018Conference and Workshop Papersclosedconf/irps/McNairy1810.1109/IRPS.2018.8353563https://doi.org/10.1109/IRPS.2018.8353563https://dblp.org/rec/conf/irps/McNairy18URL#2618381J. W. McPhersonBrief history of JEDEC qualification standards for silicon technology and their applicability(?) to WBG semiconductors.IRPS32018Conference and Workshop Papersclosedconf/irps/McPherson1810.1109/IRPS.2018.8353556https://doi.org/10.1109/IRPS.2018.8353556https://dblp.org/rec/conf/irps/McPherson18URL#2618382Kuo-Hsuan MengMohamed MoosaCynthia A. TorresJames W. MillerA case study of ESD trigger circuit: Time-out and stability.IRPS12018Conference and Workshop Papersclosedconf/irps/MengMTM1810.1109/IRPS.2018.8353660https://doi.org/10.1109/IRPS.2018.8353660https://dblp.org/rec/conf/irps/MengMTM18URL#2618383Souhir MhiraVincent HuardD. AroraPhilippe FlatresseAlain BravaixResilient automotive products through process, temperature and aging compensation schemes.IRPS32018Conference and Workshop Papersclosedconf/irps/MhiraHAFB1810.1109/IRPS.2018.8353568https://doi.org/10.1109/IRPS.2018.8353568https://dblp.org/rec/conf/irps/MhiraHAFB18URL#2618384Yuichiro MitaniYusuke HigashiYasushi NakasakiStudy on mechanism of thermal curing in ultra-thin gate dielectrics.IRPS32018Conference and Workshop Papersclosedconf/irps/MitaniHN1810.1109/IRPS.2018.8353554https://doi.org/10.1109/IRPS.2018.8353554https://dblp.org/rec/conf/irps/MitaniHN18URL#2618385C. MonachonMarcin Stefan ZielinskiJ. BerneyD. PoppitzAndreas GraffSteffen BreuerLutz KirsteCathodoluminescence spectroscopy for failure analysis and process development of GaN-based microelectronic devices.IRPS62018Conference and Workshop Papersclosedconf/irps/MonachonZBPGBK1810.1109/IRPS.2018.8353623https://doi.org/10.1109/IRPS.2018.8353623https://dblp.org/rec/conf/irps/MonachonZBPGBK18URL#2618386Kalparupa MukherjeeFrédéric DarracqArnaud CurutchetNathalie MalbertNathalie LabatComprehensive study into underlying mechanisms of anomalous gate leakage degradation in GaN high electron mobility transistors.IRPS42018Conference and Workshop Papersclosedconf/irps/MukherjeeDCML1810.1109/IRPS.2018.8353581https://doi.org/10.1109/IRPS.2018.8353581https://dblp.org/rec/conf/irps/MukherjeeDCML18URL#2618387Mariappan MurugesanTakafumi FukushimaJi Chel BeaHiroyuki HashimotoMitsu KoyanagiIntra- and inter-chip electrical interconnection formed by directed self assembly of nanocomposite containing diblock copolymer and nanometal.IRPS42018Conference and Workshop Papersclosedconf/irps/MurugesanFBHK1810.1109/IRPS.2018.8353589https://doi.org/10.1109/IRPS.2018.8353589https://dblp.org/rec/conf/irps/MurugesanFBHK18URL#2618388Sachin NadigAmit LalIn-situ calibration Of MEMS inertial sensors for long-term reliability.IRPS32018Conference and Workshop Papersclosedconf/irps/NadigL1810.1109/IRPS.2018.8353567https://doi.org/10.1109/IRPS.2018.8353567https://dblp.org/rec/conf/irps/NadigL18URL#2618389Balaji NarasimhamSaket GuptaDaniel S. ReedJ. K. WangNick HendricksonHasan TaufiqueScaling trends and bias dependence of the soft error rate of 16 nm and 7 nm FinFET SRAMs.IRPS42018Conference and Workshop Papersclosedconf/irps/NarasimhamGRWHT1810.1109/IRPS.2018.8353583https://doi.org/10.1109/IRPS.2018.8353583https://dblp.org/rec/conf/irps/NarasimhamGRWHT18URL#2618390Balaji NarasimhamTim WuJung K. WangBruce ConwayEvaluation of the system-level SER performance of gigabit ethernet transceiver devices.IRPS42018Conference and Workshop Papersclosedconf/irps/NarasimhamWWC1810.1109/IRPS.2018.8353587https://doi.org/10.1109/IRPS.2018.8353587https://dblp.org/rec/conf/irps/NarasimhamWWC18URL#2618391Philippe NivelleTom BorgersEszter VoroshaziJef PoortmansJan D'HaenWard De CeuninckMichael DaenenMechanical and chemical adhesion at the encapsulant interfaces in laminated photovoltaic modules.IRPS62018Conference and Workshop Papersclosedconf/irps/NivelleBVPDCD1810.1109/IRPS.2018.8353630https://doi.org/10.1109/IRPS.2018.8353630https://dblp.org/rec/conf/irps/NivelleBVPDCD18URL#2618392Barry J. O'SullivanSimon Van BeekPhilippe J. RousselSidharth RaoWonsub KimS. CouetJohan SwertsFarrukh YasinDimitri CrottiDimitri LintenGouri Sankar KarExtended RVS characterisation of STT-MRAM devices: Enabling detection of AP/P switching and breakdown.IRPS5-12018Conference and Workshop Papersclosedconf/irps/OSullivanBRRKCS1810.1109/IRPS.2018.8353678https://doi.org/10.1109/IRPS.2018.8353678https://dblp.org/rec/conf/irps/OSullivanBRRKCS18URL#2618393Yi Ching OngShou-Chung LeeA. S. OatesPercolation defect nucleation and growth as a description of the statistics of electrical breakdown for gate, MOL and BEOL dielectrics.IRPS7-12018Conference and Workshop Papersclosedconf/irps/OngLO1810.1109/IRPS.2018.8353667https://doi.org/10.1109/IRPS.2018.8353667https://dblp.org/rec/conf/irps/OngLO18URL#2618394Andrea PadovaniLuca LarcherTime-dependent dielectric breakdown statistics in SiO2 and HfO2 dielectrics: Insights from a multi-scale modeling approach.IRPS32018Conference and Workshop Papersclosedconf/irps/PadovaniL1810.1109/IRPS.2018.8353552https://doi.org/10.1109/IRPS.2018.8353552https://dblp.org/rec/conf/irps/PadovaniL18URL#2618395Peter C. PaliwodaZakariae ChbiliA. KerberD. SinghDurga MisraAmbient temperature and layout impact on self-heating characterization in FinFET devices.IRPS62018Conference and Workshop Papersclosedconf/irps/PaliwodaCKSM1810.1109/IRPS.2018.8353640https://doi.org/10.1109/IRPS.2018.8353640https://dblp.org/rec/conf/irps/PaliwodaCKSM18URL#2618396Narendra PariharSouvik MahapatraPrediction of NBTI stress and recovery time kinetics in Si capped SiGe p-MOSFETs.IRPS5-12018Conference and Workshop Papersclosedconf/irps/PariharM1810.1109/IRPS.2018.8353700https://doi.org/10.1109/IRPS.2018.8353700https://dblp.org/rec/conf/irps/PariharM18URL#2618397Gyusung ParkMinsu KimChris H. KimBongjin KimVijay ReddyAll-digital PLL frequency and phase noise degradation measurements using simple on-chip monitoring circuits.IRPS52018Conference and Workshop Papersclosedconf/irps/ParkKKKR1810.1109/IRPS.2018.8353613https://doi.org/10.1109/IRPS.2018.8353613https://dblp.org/rec/conf/irps/ParkKKKR18URL#2618398Devyani PatraAhmed Kamal RezaMehdi KatooziEthan H. CannonKaushik Roy 0001Yu Cao 0001Accelerated BTI degradation under stochastic TDDB effect.IRPS52018Conference and Workshop Papersclosedconf/irps/PatraRKC0C1810.1109/IRPS.2018.8353616https://doi.org/10.1109/IRPS.2018.8353616https://dblp.org/rec/conf/irps/PatraRKC0C18URL#2618399Milova PaulBoeila Sampath KumarHarald GossnerMayank ShrivastavaContact and junction engineering in bulk FinFET technology for improved ESD/latch-up performance with design trade-offs and its implications on hot carrier reliability.IRPS32018Conference and Workshop Papersclosedconf/irps/PaulKGS1810.1109/IRPS.2018.8353573https://doi.org/10.1109/IRPS.2018.8353573https://dblp.org/rec/conf/irps/PaulKGS18URL#2618400Marta PedroJavier Martín-MartínezE. MirandaRosana RodríguezMontserrat NafríaM. B. GonzálezFrancesca CampabadalDevice variability tolerance of a RRAM-based self-organizing neuromorphic system.IRPS4-12018Conference and Workshop Papersclosedconf/irps/PedroMMRNGC1810.1109/IRPS.2018.8353657https://doi.org/10.1109/IRPS.2018.8353657https://dblp.org/rec/conf/irps/PedroMMRNGC18URL#2618401C. S. PremachandranSeungman ChoiSalvatore CiminoThuy Tran-QuinnLloyd BurrellPatrick JustisonReliability challenges for 2.5D/3D integration: An overview.IRPS52018Conference and Workshop Papersclosedconf/irps/PremachandranCC1810.1109/IRPS.2018.8353609https://doi.org/10.1109/IRPS.2018.8353609https://dblp.org/rec/conf/irps/PremachandranCC18URL#2618402Katja PuschkarskyTibor GrasserThomas AichingerWolfgang GustinHans ReisingerUnderstanding and modeling transient threshold voltage instabilities in SiC MOSFETs.IRPS32018Conference and Workshop Papersclosedconf/irps/PuschkarskyGAGR1810.1109/IRPS.2018.8353560https://doi.org/10.1109/IRPS.2018.8353560https://dblp.org/rec/conf/irps/PuschkarskyGAGR18URL#2618403Vamsi PutchaJacopo FrancoAbhitosh VaisBen KaczerS. SionckeDimitri LintenGuido GroesenekenImpact of slow and fast oxide traps on In0.53Ga0.47As device operation studied using CET maps.IRPS52018Conference and Workshop Papersclosedconf/irps/PutchaFVKSLG1810.1109/IRPS.2018.8353603https://doi.org/10.1109/IRPS.2018.8353603https://dblp.org/rec/conf/irps/PutchaFVKSLG18URL#2618404Yiming QuRan ChengWei LiuJunkang LiBich-Yen NguyenOlivier FaynotNuo XuBing ChenYi ZhaoEffect of measurement speed (μs-800 ps) on the characterization of reliability behaviors for FDSOI nMOSFETs.IRPS62018Conference and Workshop Papersclosedconf/irps/QuCLLNFXCZ1810.1109/IRPS.2018.8353644https://doi.org/10.1109/IRPS.2018.8353644https://dblp.org/rec/conf/irps/QuCLLNFXCZ18URL#2618405Guido QuaxTheo SmedesAn integral injector-victim current transfer model for latchup design rule optimization.IRPS22018Conference and Workshop Papersclosedconf/irps/QuaxS1810.1109/IRPS.2018.8353549https://doi.org/10.1109/IRPS.2018.8353549https://dblp.org/rec/conf/irps/QuaxS18URL#2618406M. RafikA. P. NguyenXavier GarrosM. ArabiX. FederspielCheikh DioufAC TDDB extensive study for an enlargement of its impact and benefit on circuit lifetime assessment.IRPS42018Conference and Workshop Papersclosedconf/irps/RafikNGAFD1810.1109/IRPS.2018.8353576https://doi.org/10.1109/IRPS.2018.8353576https://dblp.org/rec/conf/irps/RafikNGAFD18URL#2618407Anisur RahmanJavier DacuñaPinakpani NayakGerald S. LeathermanStephen RameyReliability studies of a 10nm high-performance and low-power CMOS technology featuring 3rd generation FinFET and 5th generation HK/MG.IRPS62018Conference and Workshop Papersclosedconf/irps/RahmanDNLR1810.1109/IRPS.2018.8353648https://doi.org/10.1109/IRPS.2018.8353648https://dblp.org/rec/conf/irps/RahmanDNLR18URL#2618408Anapathur V. RameshShilpa M. ReddyDan K. FitzsimmonsAirplane system design for reliability and quality.IRPS32018Conference and Workshop Papersclosedconf/irps/RameshRF1810.1109/IRPS.2018.8353564https://doi.org/10.1109/IRPS.2018.8353564https://dblp.org/rec/conf/irps/RameshRF18URL#2618409Alok Ranjan 0001Nagarajan RaghavanSean J. O'SheaSen MeiMichel BosmanKalya ShubhakarKin Leong PeyMechanism of soft and hard breakdown in hexagonal boron nitride 2D dielectrics.IRPS42018Conference and Workshop Papersclosedconf/irps/RanjanROMBSP1810.1109/IRPS.2018.8353574https://doi.org/10.1109/IRPS.2018.8353574https://dblp.org/rec/conf/irps/RanjanROMBSP18URL#2618410Pengpeng RenChangze LiuSanping WanJiayang ZhangZhuoqing YuNie LiuYongsheng SunRunsheng WangCanhui ZhanZhenghao GanWaisum WongYu XiaRu HuangNew insights into the HCI degradation of pass-gate transistor in advanced FinFET technology.IRPS3-12018Conference and Workshop Papersclosedconf/irps/RenLWZYLSWZGWXH1810.1109/IRPS.2018.8353656https://doi.org/10.1109/IRPS.2018.8353656https://dblp.org/rec/conf/irps/RenLWZYLSWZGWXH18URL#2618411Philippe J. RousselAdrian Vaisman ChasinSteven DemuynckNaoto HoriguchiDimitri LintenAnda MocutaNew methodology for modelling MOL TDDB coping with variability.IRPS32018Conference and Workshop Papersclosedconf/irps/RousselCDHLM1810.1109/IRPS.2018.8353555https://doi.org/10.1109/IRPS.2018.8353555https://dblp.org/rec/conf/irps/RousselCDHLM18URL#2618412Maria RuzzarinMatteo MeneghiniCarlo De SantiGaudenzio MeneghessoEnrico ZanoniMin SunTomás PalaciosDegradation of vertical GaN FETs under gate and drain stress.IRPS42018Conference and Workshop Papersclosedconf/irps/RuzzarinMSMZSP1810.1109/IRPS.2018.8353579https://doi.org/10.1109/IRPS.2018.8353579https://dblp.org/rec/conf/irps/RuzzarinMSMZSP18URL#2618413Hyun-Chul SagongHyunjin KimSeungjin ChooSungyoung YoonHyewon ShimSangsu HaTae-Young JeongMinhyeok ChoeJunekyun ParkSangchul ShinSangwoo PaeEffects of Far-BEOL anneal on the WLR and product reliability characterization of FinFET process technology.IRPS62018Conference and Workshop Papersclosedconf/irps/SagongKCYSHJCPS1810.1109/IRPS.2018.8353649https://doi.org/10.1109/IRPS.2018.8353649https://dblp.org/rec/conf/irps/SagongKCYSHJCPS18URL#2618414Victor M. van SantenJavier Diaz-FortunyHussam AmrouchJavier Martín-MartínezRosana RodríguezRafael Castro-LópezElisenda RocaFrancisco V. Fernández 0001Jörg HenkelMontserrat NafríaWeighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability.IRPS6-12018Conference and Workshop Papersclosedconf/irps/SantenDAMRCRFHN1810.1109/IRPS.2018.8353659https://doi.org/10.1109/IRPS.2018.8353659https://dblp.org/rec/conf/irps/SantenDAMRCRFHN18URL#2618415Jay SarkarCory PetersonAmir SanayeiMachine-learned assessment and prediction of robust solid state storage system reliability physics.IRPS32018Conference and Workshop Papersclosedconf/irps/SarkarPS1810.1109/IRPS.2018.8353565https://doi.org/10.1109/IRPS.2018.8353565https://dblp.org/rec/conf/irps/SarkarPS18URL#2618416Guido T. SasseHot carrier induced TDDB in HV MOS: Lifetime model and extrapolation to use conditions.IRPS3-12018Conference and Workshop Papersclosedconf/irps/Sasse1810.1109/IRPS.2018.8353698https://doi.org/10.1109/IRPS.2018.8353698https://dblp.org/rec/conf/irps/Sasse18URL#2618417Gilbert SassineCecile NailLuc TillieDiego Alfaro RobayoAlexandre LevisseCarlo CagliKhalil El HajjamJean-Francois NodinElisa VianelloMathieu BernardGabriel MolasEtienne NowakSub-pJ consumption and short latency time in RRAM arrays for high endurance applications.IRPS2-12018Conference and Workshop Papersclosedconf/irps/SassineNTRLCHNV1810.1109/IRPS.2018.8353675https://doi.org/10.1109/IRPS.2018.8353675https://dblp.org/rec/conf/irps/SassineNTRLCHNV18URL#2618418Nirmal R. SaxenaSanu MathewKrishna SaraswatKeynote 1: The road to resilient computing in autonomous driving is paved with redundancy.IRPS1-32018Conference and Workshop Papersclosedconf/irps/SaxenaMS1810.1109/IRPS.2018.8353536https://doi.org/10.1109/IRPS.2018.8353536https://dblp.org/rec/conf/irps/SaxenaMS18URL#2618419Junji SenzakiShohei HayashiYoshiyuki YonezawaHajime OkumuraChallenges to realize highly reliable SiC power devices: From the current status and issues of SiC wafers.IRPS32018Conference and Workshop Papersclosedconf/irps/SenzakiHYO1810.1109/IRPS.2018.8353558https://doi.org/10.1109/IRPS.2018.8353558https://dblp.org/rec/conf/irps/SenzakiHYO18URL#2618420Mingoo SeokPeter R. KingetTeng YangJiangyi LiDoyun KimRecent advances in in-situ and in-field aging monitoring and compensation for integrated circuits: Invited paper.IRPS52018Conference and Workshop Papersclosedconf/irps/SeokKYLK1810.1109/IRPS.2018.8353612https://doi.org/10.1109/IRPS.2018.8353612https://dblp.org/rec/conf/irps/SeokKYLK18URL#2618421Riddhi Jitendrakumar ShahFlorian CachoVincent HuardSouhir MhiraD. AroraP. AgarwalS. KumarS. BalaramanB. SinghLorena AnghelInvestigation of speed sensors accuracy for process and aging compensation.IRPS52018Conference and Workshop Papersclosedconf/irps/ShahCHMAAKBSA1810.1109/IRPS.2018.8353617https://doi.org/10.1109/IRPS.2018.8353617https://dblp.org/rec/conf/irps/ShahCHMAAKBSA18URL#2618422Bhawani ShankarAnkit SoniSayak Dutta GuptaMayank ShrivastavaSafe Operating Area (SOA) reliability of Polarization Super Junction (PSJ) GaN FETs.IRPS42018Conference and Workshop Papersclosedconf/irps/ShankarSGS1810.1109/IRPS.2018.8353595https://doi.org/10.1109/IRPS.2018.8353595https://dblp.org/rec/conf/irps/ShankarSGS18URL#2618423Bhawani ShankarAnkit SoniSayak Dutta GuptaR. SenguptaHeena KhandN. MohanSrinivasan Raghavan 0002Mayank ShrivastavaOn the trap assisted stress induced safe operating area limits of AlGaN/GaN HEMTs.IRPS42018Conference and Workshop Papersclosedconf/irps/ShankarSGSKMRS1810.1109/IRPS.2018.8353596https://doi.org/10.1109/IRPS.2018.8353596https://dblp.org/rec/conf/irps/ShankarSGSKMRS18URL#2618424Tian ShenKong Boon YeapSean P. OgdenCathryn ChristiansenPatrick JustisonNew insight on TDDB area scaling methodology of non-Poisson systems.IRPS12018Conference and Workshop Papersclosedconf/irps/ShenYOCJ1810.1109/IRPS.2018.8353661https://doi.org/10.1109/IRPS.2018.8353661https://dblp.org/rec/conf/irps/ShenYOCJ18URL#2618425SangHoon ShinYen-Pu ChenWoojin AhnHonglin GuoByron WilliamsJeff WestTom BonifieldDhanoop VargheseSrikanth KrishnanMuhammad Ashraful AlamHigh voltage time-dependent dielectric breakdown in stacked intermetal dielectrics.IRPS9-12018Conference and Workshop Papersclosedconf/irps/ShinCAGWWBVKA1810.1109/IRPS.2018.8353669https://doi.org/10.1109/IRPS.2018.8353669https://dblp.org/rec/conf/irps/ShinCAGWWBVKA18URL#2618426Timothy J. SilvermanSteve JohnstonPermanent shunts from passing shadows: Reverse-bias damage in thin-film photovoltaic modules.IRPS62018Conference and Workshop Papersclosedconf/irps/SilvermanJ1810.1109/IRPS.2018.8353627https://doi.org/10.1109/IRPS.2018.8353627https://dblp.org/rec/conf/irps/SilvermanJ18URL#2618427D. SinghOscar D. RestrepoP. P. ManikN. Rao MavillaH. ZhangPeter C. PaliwodaS. PinkettY. DengEduardo Cruz SilvaJ. B. JohnsonM. BajajS. FurkayZ. ChbiliA. KerberC. ChristiansenS. NarasimhaE. MaciejewskiS. SamavedamC.-H. LinBottom-up methodology for predictive simulations of self-heating in aggressively scaled process technologies.IRPS62018Conference and Workshop Papersclosedconf/irps/SinghRMMZPPDSJB1810.1109/IRPS.2018.8353650https://doi.org/10.1109/IRPS.2018.8353650https://dblp.org/rec/conf/irps/SinghRMMZPPDSJB18URL#2618428Rajat SinhaPrasenjit BhattacharyaSanjiv SambandanMayank ShrivastavaOn the ESD behavior of a-Si: H based thin film transistors: Physical insights, design and technological implications.IRPS32018Conference and Workshop Papersclosedconf/irps/SinhaBSS1810.1109/IRPS.2018.8353572https://doi.org/10.1109/IRPS.2018.8353572https://dblp.org/rec/conf/irps/SinhaBSS18URL#2618429Kirby K. H. SmitheZhongwei ZhuConnor S. BaileyEric PopAlex YoonInvestigation of monolayer MX2 as sub-nanometer copper diffusion barriers.IRPS12018Conference and Workshop Papersclosedconf/irps/SmitheZBPY1810.1109/IRPS.2018.8353681https://doi.org/10.1109/IRPS.2018.8353681https://dblp.org/rec/conf/irps/SmitheZBPY18URL#2618430P. SrinivasanRakesh RanjanS. CiminoA. ZainuddinB. KannanL. PantisanoI. MahmudG. DilliwayTanya NigamUnderstanding gate metal work function (mWF) impact on device reliability - A holistic approach.IRPS62018Conference and Workshop Papersclosedconf/irps/SrinivasanRCZKP1810.1109/IRPS.2018.8353646https://doi.org/10.1109/IRPS.2018.8353646https://dblp.org/rec/conf/irps/SrinivasanRCZKP18URL#2618431R. E. StahbushNadeemullah A. MahadikDefects affecting SiC power device reliability.IRPS22018Conference and Workshop Papersclosedconf/irps/StahbushM1810.1109/IRPS.2018.8353546https://doi.org/10.1109/IRPS.2018.8353546https://dblp.org/rec/conf/irps/StahbushM18URL#2618432James H. StathisThe physics of NBTI: What do we really know?IRPS22018Conference and Workshop Papersclosedconf/irps/Stathis1810.1109/IRPS.2018.8353539https://doi.org/10.1109/IRPS.2018.8353539https://dblp.org/rec/conf/irps/Stathis18URL#2618433Franco StellariAlan J. WegerKeith A. JenkinsGiuseppe La RosaBarry P. LinderPeilin SongEstimating transistor channel temperature using time-resolved and time-integrated NIR emission.IRPS62018Conference and Workshop Papersclosedconf/irps/StellariWJRLS1810.1109/IRPS.2018.8353625https://doi.org/10.1109/IRPS.2018.8353625https://dblp.org/rec/conf/irps/StellariWJRLS18URL#2618434