"3-D WiRED: A Novel WIDE I/O DRAM With Energy-Efficient 3-D Bank Organization."

Ishan G. Thakkar, Sudeep Pasricha (2015)

Details and statistics

DOI: 10.1109/MDAT.2015.2440411

access: closed

type: Journal Article

metadata version: 2020-03-13

a service of  Schloss Dagstuhl - Leibniz Center for Informatics