"Flattened Butterfly Topology for On-Chip Networks."

John Kim, James D. Balfour, William J. Dally (2007)

Details and statistics

DOI: 10.1109/MICRO.2007.29

access: closed

type: Conference or Workshop Paper

metadata version: 2022-05-31

a service of  Schloss Dagstuhl - Leibniz Center for Informatics