


default search action
Mahmut T. Kandemir
Mahmut Taylan Kandemir
Person information
- affiliation: Penn State, University Park, USA
Refine list

refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2025
- [j148]Yilin Feng, Zheyu Li, Gulsum Gudukbay Akbulut, Vijaykrishnan Narayanan, Mahmut Taylan Kandemir, Chita R. Das:
FPGA-based accelerator for adaptive banded event alignment in nanopore sequencing data analysis. BMC Bioinform. 26(1): 83 (2025) - [c642]Chanyoung Park
, Jungho Lee
, Chun-Yi Liu
, Kyungtae Kang
, Mahmut Taylan Kandemir
, Wonil Choi
:
AnyKey: A Key-Value SSD for All Workload Types. ASPLOS (1) 2025: 47-63 - [c641]Rishabh Jain, Teyuh Chou, Onur Kayiran, John Kalamatianos, Gabriel H. Loh, Mahmut T. Kandemir, Chita R. Das:
Load and MLP-Aware Thread Orchestration for Recommendation Systems Inference on CPUs. ASPLOS (2) 2025: 589-603 - [c640]Yingtian Zhang, Yan Kang, Ziyu Ying, Wanhang Lu, Sijie Lan, Huijuan Xu, Kiwan Maeng, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Pirate: No Compromise Low-Bandwidth VR Streaming for Edge Devices. ASPLOS (2) 2025: 882-896 - [i36]Ahmed Burak Gulhan, Krishna Teja Chitty-Venkata, Murali Emani, Mahmut T. Kandemir, Venkatram Vishwanath:
BaKlaVa - Budgeted Allocation of KV cache for Long-context Inference. CoRR abs/2502.13176 (2025) - 2024
- [j147]Tianyi Shen
, Cyan Subhra Mishra
, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
An Efficient Edge-Cloud Partitioning of Random Forests for Distributed Sensor Networks. IEEE Embed. Syst. Lett. 16(1): 21-24 (2024) - [j146]Krishnakumar Nair
, Avinash-Chandra Pandey
, Siddappa Karabannavar
, Meena Arunachalam
, John Kalamatianos
, Varun Agrawal
, Saurabh Gupta
, Ashish Sirasao
, Elliott Delaye
, Steven K. Reinhardt
, Rajesh Vivekanandham
, Ralph Wittig
, Vinod Kathail
, Padmini Gopalakrishnan
, Satyaprakash Pareek
, Rishabh Jain
, Mahmut Taylan Kandemir
, Jun-Liang Lin
, Gulsum Gudukbay Akbulut
, Chita R. Das
:
Parallelization Strategies for DLRM Embedding Bag Operator on AMD CPUs. IEEE Micro 44(6): 44-51 (2024) - [j145]Scott Cheng
, Jun-Liang Lin
, Murali Emani
, Siddhisanket Raskar
, Sam Foreman
, Zhen Xie
, Venkatram Vishwanath
, Mahmut Taylan Kandemir
:
Thorough Characterization and Analysis of Large Transformer Model Training At-Scale. Proc. ACM Meas. Anal. Comput. Syst. 8(1): 8:1-8:25 (2024) - [c639]Soheil Khadirsharbiyani
, Nima Elyasi
, Armin Haj Aboutalebi
, Chun-Yi Liu
, Changho Choi
, Mahmut Taylan Kandemir
:
SmartGraph: A Framework for Graph Processing in Computational Storage. SoCC 2024: 737-754 - [c638]Shruti Mohanty
, Vivek M. Bhasi
, Myungjun Son
, Mahmut Taylan Kandemir
, Chita R. Das
:
FAAStloop: Optimizing Loop-Based Applications for Serverless Computing. SoCC 2024: 943-960 - [c637]Dongjoon Lee, Jongin Choe, Chanyoung Park, Kyungtae Kang, Mahmut T. Kandemir, Wonil Choi:
An Autonomic Resource Allocating SSD. DATE 2024: 1-6 - [c636]Cyan Subhra Mishra, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan, Chita R. Das:
Usas: A Sustainable Continuous-Learning' Framework for Edge Servers. HPCA 2024: 891-907 - [c635]Yan Kang
, Sayan Ghosh
, Mahmut T. Kandemir
, Andrés Márquez
:
Impact of Write-Allocate Elimination on Fujitsu A64FX. HPC Asia Workshops 2024: 24-35 - [c634]Ziyu Ying, Sandeepa Bhuyan, Yingtian Zhang, Yan Kang, Mahmut T. Kandemir, Chita R. Das:
Foveated HDR: Efficient HDR Content Generation on Edge Devices Leveraging User's Visual Attention. ICCAD 2024: 70:1-70:9 - [c633]Soheil Khadirsharbiyani
, Movahhed Sadeghi
, Mostafa Eghbali Zarch
, Mahmut Taylan Kandemir
:
Minimizing Coherence Errors via Dynamic Decoupling. ICS 2024: 164-175 - [c632]Vivek M. Bhasi, Aakash Sharma, Shruti Mohanty, Mahmut Taylan Kandemir, Chita R. Das:
Paldia: Enabling SLO-Compliant and Cost-Effective Serverless Computing on Heterogeneous Hardware. IPDPS 2024: 100-113 - [c631]Sandeepa Bhuyan, Ziyu Ying, Mahmut T. Kandemir, Mahanth Gowda
, Chita R. Das:
GameStreamSR: Enabling Neural-Augmented Game Streaming on Commodity Mobile Platforms. ISCA 2024: 1309-1322 - [c630]Yan Kang
, Sayan Ghosh
, Mahmut T. Kandemir
, Andrés Márquez
:
Studying CPU and memory utilization of applications on Fujitsu A64FX and Nvidia Grace Superchip. MEMSYS 2024: 198-207 - [c629]Pingyi Huo, Anusha Devulapally, Hasan Al Maruf, Minseo Park, Krishnakumar Nair, Meena Arunachalam, Gulsum Gudukbay Akbulut, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
PIFS-Rec: Process-In-Fabric-Switch for Large-Scale Recommendation System Inferences. MICRO 2024: 612-626 - [c628]Yuanqing Miao, Yingtian Zhang, Dinghao Wu, Danfeng Zhang, Gang Tan, Rui Zhang, Mahmut Taylan Kandemir:
Veiled Pathways: Investigating Covert and Side Channels Within GPU Uncore. MICRO 2024: 1169-1183 - [c627]Rishabh Jain, Vivek M. Bhasi, Adwait Jog
, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Pushing the Performance Envelope of DNN-based Recommendation Systems Inference on GPUs. MICRO 2024: 1217-1232 - [c626]Myungjun Son
, Gulsum Gudukbay Akbulut
, Mahmut Taylan Kandemir
:
SpotVerse: Optimizing Bioinformatics Workflows with Multi-Region Spot Instances in Galaxy and Beyond. Middleware 2024: 74-87 - [c625]Vivek M. Bhasi
, Aakash Sharma
, Rishabh Jain
, Jashwant Raj Gunasekaran
, Ashutosh Pattnaik
, Mahmut Taylan Kandemir
, Chita R. Das
:
Towards SLO-Compliant and Cost-Effective Serverless Computing on Emerging GPU Architectures. Middleware 2024: 211-224 - [c624]Scott Cheng, Mahmut T. Kandemir, Ding-Yong Hong:
Speculative Monte-Carlo Tree Search. NeurIPS 2024 - [c623]Scott Cheng
, Jun-Liang Lin
, Murali Emani
, Siddhisanket Raskar
, Sam Foreman
, Zhen Xie
, Venkatram Vishwanath
, Mahmut T. Kandemir
:
Thorough Characterization and Analysis of Large Transformer Model Training At-Scale. SIGMETRICS/Performance (Abstracts) 2024: 39-40 - [i35]Aakash Sharma, Vivek M. Bhasi, Sonali Singh, George Kesidis, Mahmut T. Kandemir, Chita R. Das:
GPU Cluster Scheduling for Network-Sensitive Deep Learning. CoRR abs/2401.16492 (2024) - [i34]Pingyi Huo, Anusha Devulapally, Hasan Al Maruf, Minseo Park, Krishnakumar Nair, Meena Arunachalam, Gulsum Gudukbay Akbulut, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
PIFS-Rec: Process-In-Fabric-Switch for Large-Scale Recommendation System Inferences. CoRR abs/2409.16633 (2024) - [i33]Rishabh Jain, Vivek M. Bhasi, Adwait Jog, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Pushing the Performance Envelope of DNN-based Recommendation Systems Inference on GPUs. CoRR abs/2410.22249 (2024) - 2023
- [j144]Zuhal Ozturk, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir:
Quantifying the impact of data replication on error propagation. Clust. Comput. 26(3): 1985-1999 (2023) - [j143]Cong Ma
, Dinghao Wu
, Gang Tan
, Mahmut Taylan Kandemir
, Danfeng Zhang
:
Quantifying and Mitigating Cache Side Channel Leakage with Differential Set. Proc. ACM Program. Lang. 7(OOPSLA2): 1470-1498 (2023) - [c622]Narges Shahidi, Jashwant Raj Gunasekaran, Mahmut Taylan Kandemir, Bhuvan Urgaonkar:
SCOOP: A Scalable Object-Oriented Serverless Platform. CLOUD 2023: 1-3 - [c621]Myungjun Son
, Shruti Mohanty, Jashwant Raj Gunasekaran, Mahmut T. Kandemir:
MicroBlend: An Automated Service-Blending Framework for Microservice-Based Cloud Applications. CLOUD 2023: 460-470 - [c620]Mahmut Taylan Kandemir, Gulsum Gudukbay Akbulut, Wonil Choi, Mustafa Karaköy:
Architecture-Aware Currying. PACT 2023: 250-264 - [c619]Gulsum Gudukbay Akbulut, Mahmut T. Kandemir, Mustafa Karaköy, Wonil Choi:
Data Recomputation for Multithreaded Applications. ICCAD 2023: 1-9 - [c618]Aakash Sharma, Vivek M. Bhasi, Sonali Singh, Rishabh Jain
, Jashwant Raj Gunasekaran, Subrata Mitra, Mahmut Taylan Kandemir, George Kesidis, Chita R. Das:
Stash: A Comprehensive Stall-Centric Characterization of Public Cloud VMs for Distributed Deep Learning. ICDCS 2023: 1-12 - [c617]Jun-Liang Lin
, Ranganath Krishnan, Keyur Ruganathbhai Ranipa, Mahesh Subedar, Vrushabh Sanghavi, Meena Arunachalam, Omesh Tickoo, Ravishankar Iyer, Mahmut Taylan Kandemir:
Quantization for Bayesian Deep Learning: Low-Precision Characterization and Robustness. IISWC 2023: 180-192 - [c616]Rishabh Jain
, Scott Cheng
, Vishwas Kalagi
, Vrushabh Sanghavi
, Samvit Kaul
, Meena Arunachalam
, Kiwan Maeng
, Adwait Jog
, Anand Sivasubramaniam
, Mahmut Taylan Kandemir
, Chita R. Das
:
Optimizing CPU Performance for Recommendation Systems At-Scale. ISCA 2023: 77:1-77:15 - [c615]Ziyu Ying
, Sandeepa Bhuyan
, Yan Kang
, Yingtian Zhang
, Mahmut T. Kandemir
, Chita R. Das
:
EdgePC: Efficient Deep Learning Analytics for Point Clouds on Edge Devices. ISCA 2023: 78:1-78:14 - [c614]Sadia Anjum Tumpa, Sonali Singh, Md Fahim Faysal Khan
, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan, Chita R. Das:
Federated Learning with Spiking Neural Networks in Heterogeneous Systems. ISVLSI 2023: 1-6 - [c613]Ahmed Burak Gulhan, Gulsum Gudukbay Akbulut, Amit Amritkar, Jack Sampson, Vasant Honovar, Adam Focht, Chuck Pavloski, Mahmut T. Kandemir:
License Forecasting and Scheduling for HPC. MASCOTS 2023: 1-8 - [c612]Yuanqing Miao
, Mahmut Taylan Kandemir
, Danfeng Zhang
, Yingtian Zhang
, Gang Tan
, Dinghao Wu
:
Hardware Support for Constant-Time Programming. MICRO 2023: 856-870 - [c611]Soheil Khadirsharbiyani, Movahhed Sadeghi, Mostafa Eghbali Zarch, Jagadish Kotra, Mahmut Taylan Kandemir:
TRIM: crossTalk-awaRe qubIt Mapping for multiprogrammed quantum systems. QSW 2023: 138-148 - [c610]Chun-Yi Liu
, Wonil Choi
, Soheil Khadirsharbiyani
, Mahmut T. Kandemir
:
MBFGraph: An SSD-based External Graph System for Evolving Graphs. SC 2023: 24:1-24:13 - [d1]Chun-Yi Liu
, Wonil Choi
, Soheil Khadirsharbiyani
, Mahmut T. Kandemir
:
MBFGraph: An SSD-Based Analytics System for Evolving Graphs (SC'23) Docker image. Zenodo, 2023 - [i32]Movahhed Sadeghi, Soheil Khadirsharbiyani, Mahmut Taylan Kandemir:
Quantum Circuit Resizing. CoRR abs/2301.00720 (2023) - 2022
- [j142]Huaipan Jiang
, Jian Wang
, Weilin Cong
, Yihe Huang
, Morteza Ramezani
, Anup Sarma
, Nikolay V. Dokholyan
, Mehrdad Mahdavi
, Mahmut T. Kandemir
:
Predicting Protein-Ligand Docking Structure with Graph Neural Network. J. Chem. Inf. Model. 62(12): 2923-2932 (2022) - [j141]Soheil Khadirsharbiyani, Jagadish Kotra, Karthik Rao, Mahmut T. Kandemir:
Data Convection: A GPU-Driven Case Study for Thermal-Aware Data Placement in 3D DRAMs. Proc. ACM Meas. Anal. Comput. Syst. 6(1): 7:1-7:25 (2022) - [j140]Sandeepa Bhuyan, Shulin Zhao, Ziyu Ying, Mahmut T. Kandemir, Chita R. Das:
End-to-end Characterization of Game Streaming Applications on Mobile Platforms. Proc. ACM Meas. Anal. Comput. Syst. 6(1): 10:1-10:25 (2022) - [j139]Jihyun Ryoo, Mahmut Taylan Kandemir, Mustafa Karaköy:
Memory Space Recycling. Proc. ACM Meas. Anal. Comput. Syst. 6(1): 14:1-14:24 (2022) - [j138]Zuhal Ozturk, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir:
Studying error propagation on application data structure and hardware. J. Supercomput. 78(17): 18691-18724 (2022) - [c609]Seyed Armin Vakil-Ghahani, Soheil Khadirsharbiyani, Jagadish B. Kotra, Mahmut T. Kandemir:
Athena: An Early-Fetch Architecture to Reduce on-Chip Page Walk Latencies. PACT 2022: 359-371 - [c608]Prashanth Thinakaran, Kanak Mahadik, Jashwant Raj Gunasekaran, Mahmut Taylan Kandemir, Chita R. Das:
SandPiper: A Cost-Efficient Adaptive Framework for Online Recommender Systems. IEEE Big Data 2022: 423-430 - [c607]Myungjun Son
, Shruti Mohanty, Jashwant Raj Gunasekaran, Aman Jain, Mahmut Taylan Kandemir, George Kesidis, Bhuvan Urgaonkar:
Splice: An Automated Framework for Cost-and Performance-Aware Blending of Cloud Services. CCGRID 2022: 119-128 - [c606]Vivek M. Bhasi, Jashwant Raj Gunasekaran, Aakash Sharma, Mahmut Taylan Kandemir, Chita R. Das:
Cypress: input size-sensitive container provisioning and request scheduling for serverless platforms. SoCC 2022: 257-272 - [c605]Zuhal Ozturk, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir:
Paralellism-Based Techniques for Slowing Down Soft Error Propagation. DASC/PiCom/CBDCom/CyberSciTech 2022: 1-6 - [c604]Morteza Ramezani, Mahmut T. Kandemir, Anand Sivasubramaniam:
GraphGuess: Approximate Graph Processing System with Adaptive Correction. Euro-Par 2022: 285-300 - [c603]Sethu Jose, John Sampson, Vijaykrishnan Narayanan, Mahmut Taylan Kandemir:
A Scheduling Framework for Decomposable Kernels on Energy Harvesting IoT Edge Nodes. ACM Great Lakes Symposium on VLSI 2022: 91-96 - [c602]Mahmut T. Kandemir, Xulong Tang
, Jagadish Kotra, Mustafa Karaköy:
Fine-Granular Computation and Data Layout Reorganization for Improving Locality. ICCAD 2022: 5:1-5:9 - [c601]Ziyu Ying, Shulin Zhao, Haibo Zhang, Cyan Subhra Mishra, Sandeepa Bhuyan, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
Exploiting Frame Similarity for Efficient Inference on Edge Devices. ICDCS 2022: 1073-1084 - [c600]Morteza Ramezani, Weilin Cong, Mehrdad Mahdavi, Mahmut T. Kandemir, Anand Sivasubramaniam:
Learn Locally, Correct Globally: A Distributed Algorithm for Training Graph Neural Networks. ICLR 2022 - [c599]Ziyu Ying, Shulin Zhao, Sandeepa Bhuyan, Cyan Subhra Mishra, Mahmut T. Kandemir, Chita R. Das:
Pushing Point Cloud Compression to the Edge. MICRO 2022: 282-299 - [c598]Sonali Singh, Anup Sarma, Sen Lu, Abhronil Sengupta, Mahmut T. Kandemir, Emre Neftci, Vijaykrishnan Narayanan, Chita R. Das:
Skipper: Enabling efficient SNN training through activation-checkpointing and time-skipping. MICRO 2022: 565-581 - [c597]Saambhavi Baskaran, Mahmut Taylan Kandemir, Jack Sampson:
An architecture interface and offload model for low-overhead, near-data, distributed accelerators. MICRO 2022: 1160-1177 - [c596]Wonil Choi, Bhuvan Urgaonkar, Mahmut Taylan Kandemir, George Kesidis:
Multi-resource fair allocation for consolidated flash-based caching systems. Middleware 2022: 202-215 - [c595]Jashwant Raj Gunasekaran, Cyan Subhra Mishra, Prashanth Thinakaran, Bikash Sharma, Mahmut Taylan Kandemir, Chita R. Das:
Cocktail: A Multidimensional Optimization for Model Serving in Cloud. NSDI 2022: 1041-1057 - [c594]Sandeepa Bhuyan, Shulin Zhao, Ziyu Ying, Mahmut T. Kandemir, Chita R. Das:
End-to-end Characterization of Game Streaming Applications on Mobile Platforms. SIGMETRICS (Abstracts) 2022: 11-12 - [c593]Jihyun Ryoo, Mahmut Taylan Kandemir, Mustafa Karaköy:
Memory Space Recycling. SIGMETRICS (Abstracts) 2022: 35-36 - [c592]Soheil Khadirsharbiyani, Jagadish Kotra, Karthik Rao, Mahmut Taylan Kandemir:
Data Convection: A GPU-Driven Case Study for Thermal-Aware Data Placement in 3D DRAMs. SIGMETRICS (Abstracts) 2022: 37-38 - [i31]Cyan Subhra Mishra, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
Seeker: Synergizing Mobile and Energy Harvesting Wearable Sensors for Human Activity Recognition. CoRR abs/2204.13106 (2022) - [i30]Aakash Sharma, Vivek M. Bhasi, Sonali Singh, Rishabh Jain, Jashwant Raj Gunasekaran, Subrata Mitra, Mahmut Taylan Kandemir, George Kesidis, Chita R. Das:
Analysis of Distributed Deep Learning in the Cloud. CoRR abs/2208.14344 (2022) - 2021
- [j137]Robert Brotzman, Danfeng Zhang
, Mahmut Taylan Kandemir, Gang Tan
:
SpecSafe: detecting cache side channels in a speculative world. Proc. ACM Program. Lang. 5(OOPSLA): 1-28 (2021) - [j136]Xulong Tang
, Mahmut Taylan Kandemir, Mustafa Karaköy:
Mix and Match: Reorganizing Tasks for Enhancing Data Locality. Proc. ACM Meas. Anal. Comput. Syst. 5(2): 20:1-20:24 (2021) - [j135]Keni Qiu, Nicholas Jao, Kunyu Zhou
, Yongpan Liu, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
MaxTracker: Continuously Tracking the Maximum Computation Progress for Energy Harvesting ReRAM-based CNN Accelerators. ACM Trans. Embed. Comput. Syst. 20(5s): 78:1-78:23 (2021) - [c591]Chun-Yi Liu
, Yunju Lee, Myoungsoo Jung, Mahmut Taylan Kandemir, Wonil Choi:
Prolonging 3D NAND SSD lifetime via read latency relaxation. ASPLOS 2021: 730-742 - [c590]Vivek M. Bhasi, Jashwant Raj Gunasekaran, Prashanth Thinakaran, Cyan Subhra Mishra, Mahmut Taylan Kandemir, Chita R. Das:
Kraken: Adaptive Container Provisioning for Deploying Dynamic DAGs in Serverless Platforms. SoCC 2021: 153-167 - [c589]Robert Brotzman, Danfeng Zhang
, Mahmut T. Kandemir, Gang Tan:
Ghost Thread: Effective User-Space Cache Side Channel Protection. CODASPY 2021: 233-244 - [c588]Cyan Subhra Mishra, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan
:
Origin: Enabling On-Device Intelligence for Human Activity Recognition Using Energy Harvesting Wireless Sensor Networks. DATE 2021: 1414-1419 - [c587]Huaipan Jiang, Anup Sarma, Mengran Fan, Jihyun Ryoo, Meenakshi Arunachalam, Sharada Naveen, Mahmut T. Kandemir:
Morphable Convolutional Neural Network for Biomedical Image Segmentation. DATE 2021: 1522-1525 - [c586]Chun-Yi Liu
, Yunju Lee, Wonil Choi, Myoungsoo Jung, Mahmut Taylan Kandemir, Chita R. Das:
GSSA: A Resource Allocation Scheme Customized for 3D NAND SSDs. HPCA 2021: 426-439 - [c585]Narges Shahidi, Jashwant Raj Gunasekaran, Mahmut Taylan Kandemir:
Cross-Platform Performance Evaluation of Stateful Serverless Workflows. IISWC 2021: 63-73 - [c584]Gulsum Gudukbay, Jashwant Raj Gunasekaran, Yilin Feng, Mahmut T. Kandemir, Anton Nekrutenko, Chita R. Das, Paul Medvedev, Björn A. Grüning, Nate Coraor, Nathan Roach, Enis Afgan:
GYAN: Accelerating Bioinformatics Tools in Galaxy with GPU-Aware Computation Mapping. IPDPS Workshops 2021: 194-203 - [c583]Jie Zhang, Miryeong Kwon, Donghyun Gouk, Sungjoon Koh, Nam Sung Kim, Mahmut Taylan Kandemir, Myoungsoo Jung:
Revamping Storage Class Memory With Hardware Automated Memory-Over-Storage Solution. ISCA 2021: 762-775 - [c582]Shulin Zhao, Haibo Zhang, Cyan Subhra Mishra, Sandeepa Bhuyan, Ziyu Ying, Mahmut Taylan Kandemir, Anand Sivasubramaniam, Chita R. Das:
HoloAR: On-the-fly Optimization of 3D Holographic Processing for Augmented Reality. MICRO 2021: 494-506 - [c581]Jagadish B. Kotra, Michael LeBeane, Mahmut T. Kandemir, Gabriel H. Loh:
Increasing GPU Translation Reach by Leveraging Under-Utilized On-Chip Resources. MICRO 2021: 1169-1181 - [c580]Vineetha Govindaraj, Sumitha George, Mahmut T. Kandemir, John Sampson, Vijaykrishnan Narayanan:
PowerPrep: A power management proposal for user-facing datacenter workloads. NAS 2021: 1-7 - [c579]Anup Sarma, Sonali Singh, Huaipan Jiang, Rui Zhang, Mahmut T. Kandemir, Chita R. Das:
Structured in Space, Randomized in Time: Leveraging Dropout in RNNs for Efficient Training. NeurIPS 2021: 24545-24555 - [c578]Huaipan Jiang, Haibo Zhang, Xulong Tang
, Vineetha Govindaraj, Jack Sampson, Mahmut Taylan Kandemir, Danfeng Zhang
:
Fluid: a framework for approximate concurrency via controlled dependency relaxation. PLDI 2021: 252-267 - [c577]Mahmut Taylan Kandemir, Xulong Tang
, Hui Zhao
, Jihyun Ryoo
, Mustafa Karaköy
:
Distance-in-time versus distance-in-space. PLDI 2021: 665-680 - [c576]Mahmut Taylan Kandemir, Jihyun Ryoo, Xulong Tang
, Mustafa Karaköy:
Compiler support for near data computing. PPoPP 2021: 90-104 - [c575]Xulong Tang
, Mahmut Taylan Kandemir, Mustafa Karaköy:
Mix and Match: Reorganizing Tasks for Enhancing Data Locality. SIGMETRICS (Abstracts) 2021: 47-48 - [i29]Morteza Ramezani, Anand Sivasubramaniam, Mahmut T. Kandemir:
GraphGuess: Approximate Graph Processing System with Adaptive Correction. CoRR abs/2104.10039 (2021) - [i28]Jashwant Raj Gunasekaran, Cyan Subhra Mishra, Prashanth Thinakaran, Mahmut Taylan Kandemir, Chita R. Das:
Cocktail: Leveraging Ensemble Learning for Optimized Model Serving in Public Cloud. CoRR abs/2106.05345 (2021) - [i27]Anup Sarma, Sonali Singh, Huaipan Jiang, Rui Zhang, Mahmut T. Kandemir, Chita R. Das:
Structured in Space, Randomized in Time: Leveraging Dropout in RNNs for Efficient Training. CoRR abs/2106.12089 (2021) - [i26]Jie Zhang, Miryeong Kwon, Donghyun Gouk, Sungjoon Koh, Nam Sung Kim, Mahmut Taylan Kandemir, Myoungsoo Jung:
Revamping Storage Class Memory With Hardware Automated Memory-Over-Storage Solution. CoRR abs/2106.14241 (2021) - [i25]Anup Sarma, Sonali Singh, Huaipan Jiang, Ashutosh Pattnaik, Asit K. Mishra, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Chita R. Das:
Exploiting Activation based Gradient Output Sparsity to Accelerate Backpropagation in CNNs. CoRR abs/2109.07710 (2021) - [i24]Morteza Ramezani, Weilin Cong, Mehrdad Mahdavi, Mahmut T. Kandemir, Anand Sivasubramaniam:
Learn Locally, Correct Globally: A Distributed Algorithm for Training Graph Neural Networks. CoRR abs/2111.08202 (2021) - 2020
- [j134]Jie Zhang
, Miryeong Kwon
, Sanghyun Han
, Nam Sung Kim
, Mahmut T. Kandemir, Myoungsoo Jung
:
FastDrain: Removing Page Victimization Overheads in NVMe Storage Stack. IEEE Comput. Archit. Lett. 19(2): 92-96 (2020) - [j133]Huaipan Jiang
, Mengran Fan
, Jian Wang
, Anup Sarma
, Shruti Mohanty
, Nikolay V. Dokholyan
, Mehrdad Mahdavi
, Mahmut T. Kandemir
:
Guiding Conventional Protein-Ligand Docking Software with Convolutional Neural Networks. J. Chem. Inf. Model. 60(10): 4594-4602 (2020) - [j132]Chun-Yi Liu
, Jagadish Kotra, Myoungsoo Jung, Mahmut Taylan Kandemir:
Centaur: A Novel Architecture for Reliable, Low-Wear, High-Density 3D NAND Storage. Proc. ACM Meas. Anal. Comput. Syst. 4(2): 28:1-28:25 (2020) - [j131]Seyed Armin Vakil-Ghahani
, Mahmut Taylan Kandemir, Jagadish B. Kotra:
DSM: A Case for Hardware-Assisted Merging of DRAM Rows with Same Content. Proc. ACM Meas. Anal. Comput. Syst. 4(2): 33:1-33:26 (2020) - [j130]Myoungsoo Jung
, Wonil Choi
, Miryeong Kwon
, Shekhar Srikantaiah, Joonhyuk Yoo
, Mahmut Taylan Kandemir:
Design of a Host Interface Logic for GC-Free SSDs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(8): 1674-1687 (2020) - [j129]S. R. Swamy Saranam Chongala
, Sumitha George
, Hariram Thirucherai Govindarajan, Jagadish Kotra, Madhu Mutyam
, John Sampson, Mahmut T. Kandemir, Vijaykrishnan Narayanan
:
Optimization of Intercache Traffic Entanglement in Tagless Caches With Tiling Opportunities. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11): 3881-3892 (2020) - [c574]Xulong Tang
, Ziyu Zhang, Weizheng Xu, Mahmut Taylan Kandemir, Rami G. Melhem, Jun Yang:
Enhancing Address Translations in Throughput Processors via Compression. PACT 2020: 191-204 - [c573]Mahmut T. Kandemir, Jihyun Ryoo, Hui Zhao, Myoungsoo Jung, Mustafa Karaköy:
Collective Affinity Aware Computation Mapping. PACT 2020: 343-344 - [c572]Wonil Choi, Bhuvan Urgaonkar, Mahmut T. Kandemir, Myoungsoo Jung, David Evans:
Fair Write Attribution and Allocation for Consolidated Flash Cache. ASPLOS 2020: 1063-1076 - [c571]Jashwant Raj Gunasekaran, Michael Cui, Prashanth Thinakaran
, Josh Simons, Mahmut T. Kandemir, Chita R. Das:
Multiverse: Dynamic VM Provisioning for Virtualized High Performance Computing Clusters. CCGRID 2020: 131-141 - [c570]Keni Qiu, Nicholas Jao, Mengying Zhao, Cyan Subhra Mishra
, Gulsum Gudukbay
, Sethu Jose, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan
:
ResiRCA: A Resilient Energy Harvesting ReRAM Crossbar-Based Accelerator for Intelligent Embedded Processors. HPCA 2020: 315-327 - [c569]Jashwant Raj Gunasekaran, Prashanth Thinakaran, Nachiappan Chidambaram Nachiappan, Ram Srivatsa Kannan, Mahmut Taylan Kandemir, Chita R. Das:
Characterizing Bottlenecks in Scheduling Microservices on Serverless Platforms. ICDCS 2020: 1197-1198 - [c568]Xianwei Cheng, Hui Zhao, Mahmut T. Kandemir, Beilei Jiang
, Gayatri Mehta:
AMOEBA: a coarse grained reconfigurable architecture for dynamic GPU scaling. ICS 2020: 17:1-17:13 - [c567]Prasanna Venkatesh Rengasamy, Haibo Zhang, Shulin Zhao, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Selective Event Processing for Energy Efficient Mobile Gaming with SNIP. IISWC 2020: 288-299 - [c566]Shulin Zhao, Haibo Zhang, Sandeepa Bhuyan, Cyan Subhra Mishra
, Ziyu Ying, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
Déjà View: Spatio-Temporal Compute Reuse for' Energy-Efficient 360° VR Video Streaming. ISCA 2020: 241-253 - [c565]Xianwei Cheng, Hui Zhao, Mahmut T. Kandemir, Saraju P. Mohanty, Beilei Jiang
:
Alleviating Bottlenecks for DNN Execution on GPUs via Opportunistic Computing. ISQED 2020: 261-267 - [c564]Weilin Cong, Rana Forsati, Mahmut T. Kandemir, Mehrdad Mahdavi:
Minimal Variance Sampling with Provable Guarantees for Fast Training of Graph Neural Networks. KDD 2020: 1393-1403 - [c563]Jashwant Raj Gunasekaran, Cyan Subhra Mishra, Prashanth Thinakaran, Mahmut Taylan Kandemir, Chita R. Das:
Implications of Public Cloud Resource Heterogeneity for Inference Serving. WOSC@Middleware 2020: 7-12 - [c562]Aman Jain, Ata F. Baarzi, George Kesidis, Bhuvan Urgaonkar, Nader Alfares, Mahmut T. Kandemir:
SplitServe: Efficiently Splitting Apache Spark Jobs Across FaaS and IaaS. Middleware 2020: 236-250 - [c561]Jashwant Raj Gunasekaran, Prashanth Thinakaran, Nachiappan Chidambaram Nachiappan, Mahmut Taylan Kandemir, Chita R. Das:
Fifer: Tackling Resource Underutilization in the Serverless Era. Middleware 2020: 280-295 - [c560]Morteza Ramezani, Weilin Cong, Mehrdad Mahdavi, Anand Sivasubramaniam, Mahmut T. Kandemir:
GCN meets GPU: Decoupling "When to Sample" from "How to Sample". NeurIPS 2020 - [c559]Amin Jadidi, Mahmut T. Kandemir, Chita R. Das:
Selective Caching: Avoiding Performance Valleys in Massively Parallel Architectures. PDP 2020: 290-298 - [c558]Seyed Armin Vakil-Ghahani
, Mahmut Taylan Kandemir, Jagadish B. Kotra:
DSM: A Case for Hardware-Assisted Merging of DRAM Rows with Same Content. SIGMETRICS (Abstracts) 2020: 91-92 - [c557]Chun-Yi Liu, Jagadish Kotra, Myoungsoo Jung, Mahmut Taylan Kandemir:
Centaur: A Novel Architecture for Reliable, Low-Wear, High-Density 3D NAND Storage. SIGMETRICS (Abstracts) 2020: 93-94 - [i23]Jie Zhang, Miryeong Kwon, Sanghyun Han, Nam Sung Kim, Mahmut T. Kandemir, Myoungsoo Jung:
FastDrain: Removing Page Victimization Overheads in NVMe Storage Stack. CoRR abs/2006.08966 (2020) - [i22]Jashwant Raj Gunasekaran, Michael Cui, Prashanth Thinakaran, Josh Simons, Mahmut Taylan Kandemir, Chita R. Das:
Multiverse: Dynamic VM Provisioning for Virtualized High Performance Computing Clusters. CoRR abs/2006.12560 (2020) - [i21]Weilin Cong, Rana Forsati, Mahmut T. Kandemir, Mehrdad Mahdavi:
Minimal Variance Sampling with Provable Guarantees for Fast Training of Graph Neural Networks. CoRR abs/2006.13866 (2020) - [i20]Jashwant Raj Gunasekaran, Prashanth Thinakaran, Cyan Subhra Mishra
, Mahmut Taylan Kandemir, Chita R. Das:
Towards Designing a Self-Managed Machine Learning Inference Serving System inPublic Cloud. CoRR abs/2008.09491 (2020) - [i19]Jashwant Raj Gunasekaran, Prashanth Thinakaran, Nachiappan Chidambaram Nachiappan, Mahmut T. Kandemir, Chita R. Das:
Fifer: Tackling Underutilization in the Serverless Era. CoRR abs/2008.12819 (2020)
2010 – 2019
- 2019
- [j128]Sanem Arslan, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir, Oguz Tosun:
Scheduling opportunities for asymmetrically reliable caches. J. Parallel Distributed Comput. 126: 134-151 (2019) - [j127]Mustafa Karaköy, Orhan Kislal, Xulong Tang, Mahmut Taylan Kandemir, Meenakshi Arunachalam:
Architecture-Aware Approximate Computing. Proc. ACM Meas. Anal. Comput. Syst. 3(2): 38:1-38:24 (2019) - [j126]Gabriel Rodríguez
, Mahmut T. Kandemir, Juan Touriño
:
Affine Modeling of Program Traces. IEEE Trans. Computers 68(2): 294-300 (2019) - [c556]Jashwant Raj Gunasekaran, Prashanth Thinakaran
, Mahmut Taylan Kandemir, Bhuvan Urgaonkar, George Kesidis, Chita R. Das:
Spock: Exploiting Serverless Functions for SLO and Cost Aware Resource Procurement in Public Cloud. CLOUD 2019: 199-208 - [c555]Chun-Yi Liu
, Jagadish B. Kotra, Myoungsoo Jung, Mahmut T. Kandemir, Chita R. Das:
SOML Read: Rethinking the Read Operation Granularity of 3D NAND SSDs. ASPLOS 2019: 955-969 - [c554]Aman Jain, Ata F. Baarzi, Nader Alfares, George Kesidis, Bhuvan Urgaonkar, Mahmut T. Kandemir:
SpIitServe: Efficiently Splitting Complex Workloads Across FaaS and IaaS. SoCC 2019: 487 - [c553]Prashanth Thinakaran
, Jashwant Raj Gunasekaran, Bikash Sharma, Mahmut Taylan Kandemir, Chita R. Das:
Kube-Knots: Resource Harvesting through Dynamic Container Orchestration in GPU-based Datacenters. CLUSTER 2019: 1-13 - [c552]Marcos Horro
, Mahmut T. Kandemir, Louis-Noël Pouchet, Gabriel Rodríguez
, Juan Touriño
:
Effect of Distributed Directories in Mesh Interconnects. DAC 2019: 51 - [c551]Jihyun Ryoo, Mengran Fan, Xulong Tang
, Huaipan Jiang, Meena Arunachalam, Sharada Naveen, Mahmut T. Kandemir:
Architecture-Centric Bottleneck Analysis for Deep Neural Network Applications. HiPC 2019: 205-214 - [c550]Wonil Choi, Bhuvan Urgaonkar, Mahmut T. Kandemir, Myoungsoo Jung:
Fair Resource Allocation in Consolidated Flash Systems. HotStorage 2019 - [c549]Jie Zhang, Myoungsoo Jung, Mahmut T. Kandemir:
FUSE: Fusing STT-MRAM into GPUs to Alleviate Off-Chip Memory Access Overheads. HPCA 2019: 426-439 - [c548]Shulin Zhao, Prasanna Venkatesh Rengasamy, Haibo Zhang, Sandeepa Bhuyan, Nachiappan Chidambaram Nachiappan, Anand Sivasubramaniam, Mahmut Taylan Kandemir, Chita R. Das:
Understanding Energy Efficiency in IoT App Executions. ICDCS 2019: 742-755 - [c547]Ashutosh Pattnaik, Xulong Tang
, Onur Kayiran, Adwait Jog, Asit K. Mishra, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
Opportunistic computing in GPU architectures. ISCA 2019: 210-223 - [c546]Anup Sarma, Huaipan Jiang, Ashutosh Pattnaik, Jagadish Kotra, Mahmut Taylan Kandemir, Chita R. Das:
CASH: compiler assisted hardware design for improving DRAM energy efficiency in CNN inference. MEMSYS 2019: 396-407 - [c545]Haibo Zhang, Shulin Zhao, Ashutosh Pattnaik, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
Distilling the Essence of Raw Video to Reduce Memory Usage and Energy at Edge Devices. MICRO 2019: 657-669 - [c544]Xulong Tang
, Mahmut Taylan Kandemir, Mustafa Karaköy, Meenakshi Arunachalam:
Co-optimizing memory-level parallelism and cache-level parallelism. PLDI 2019: 935-949 - [c543]Mustafa Karaköy, Orhan Kislal, Xulong Tang
, Mahmut Taylan Kandemir, Meenakshi Arunachalam:
Architecture-Aware Approximate Computing. SIGMETRICS (Abstracts) 2019: 23-24 - [c542]Xulong Tang
, Ashutosh Pattnaik, Onur Kayiran, Adwait Jog, Mahmut Taylan Kandemir, Chita R. Das:
Quantifying Data Locality in Dynamic Parallelism in GPUs. SIGMETRICS (Abstracts) 2019: 25-26 - [c541]Xulong Tang
, Mahmut Taylan Kandemir, Hui Zhao, Myoungsoo Jung, Mustafa Karaköy:
Computing with Near Data. SIGMETRICS (Abstracts) 2019: 27-28 - [c540]Robert Brotzman, Shen Liu
, Danfeng Zhang
, Gang Tan
, Mahmut T. Kandemir:
CaSym: Cache Aware Symbolic Execution for Side Channel Detection and Mitigation. IEEE Symposium on Security and Privacy 2019: 505-521 - [e4]Mahmut Taylan Kandemir, Alexandra Jimborean, Tipp Moseley:
IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2019, Washington, DC, USA, February 16-20, 2019. IEEE 2019, ISBN 978-1-7281-1436-1 [contents] - [i18]Jie Zhang, Myoungsoo Jung, Mahmut Taylan Kandemir:
FUSE: Fusing STT-MRAM into GPUs to Alleviate Off-Chip Memory Access Overheads. CoRR abs/1903.01776 (2019) - [i17]George Kesidis, Bhuvan Urgaonkar, Mahmut T. Kandemir, Takis Konstantopoulos:
A caching system with object sharing. CoRR abs/1905.07641 (2019) - [i16]Xianwei Cheng, Hui Zhao, Mahmut T. Kandemir, Saraju P. Mohanty, Beilei Jiang:
Alleviating Bottlenecks for DNN Execution on GPUs via Opportunistic Computing. CoRR abs/1910.07055 (2019) - [i15]Xianwei Cheng, Hui Zhao, Mahmut T. Kandemir, Beilei Jiang, Gayatri Mehta:
AMOEBA: A Coarse Grained Reconfigurable Architecture for Dynamic GPU Scaling. CoRR abs/1911.03364 (2019) - 2018
- [j125]Myoungsoo Jung
, Jie Zhang, Ahmed H. M. O. Abulila, Miryeong Kwon, Narges Shahidi, John Shalf
, Nam Sung Kim, Mahmut T. Kandemir:
SimpleSSD: Modeling Solid State Drives for Holistic System Simulation. IEEE Comput. Archit. Lett. 17(1): 37-41 (2018) - [j124]Orhan Kislal, Mahmut T. Kandemir:
Data access skipping for recursive partitioning methods. Comput. Lang. Syst. Struct. 53: 143-162 (2018) - [j123]Kaisheng Ma
, Jinyang Li, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut T. Kandemir, Jack Sampson, Vijaykrishnan Narayanan:
IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors. IEEE Micro 38(4): 11-19 (2018) - [j122]Xulong Tang, Ashutosh Pattnaik, Onur Kayiran, Adwait Jog, Mahmut Taylan Kandemir, Chita R. Das:
Quantifying Data Locality in Dynamic Parallelism in GPUs. Proc. ACM Meas. Anal. Comput. Syst. 2(3): 39:1-39:24 (2018) - [j121]Xulong Tang, Mahmut Taylan Kandemir, Hui Zhao, Myoungsoo Jung, Mustafa Karaköy:
Computing with Near Data. Proc. ACM Meas. Anal. Comput. Syst. 2(3): 42:1-42:30 (2018) - [j120]Mustafa M. Shihab, Jie Zhang, Myoungsoo Jung, Mahmut T. Kandemir:
ReveNAND: A Fast-Drift-Aware Resilient 3D NAND Flash Design. ACM Trans. Archit. Code Optim. 15(2): 17:1-17:26 (2018) - [j119]Amin Jadidi
, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das:
Performance and Power-Efficient Design of Dense Non-Volatile Cache in CMPs. IEEE Trans. Computers 67(7): 1054-1061 (2018) - [j118]Farshid Farhat
, Diman Zad Tootaghaj, Yuxiong He, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Stochastic Modeling and Optimization of Stragglers. IEEE Trans. Cloud Comput. 6(4): 1164-1177 (2018) - [c539]Kaisheng Ma
, Xueqing Li, Mahmut Taylan Kandemir, Jack Sampson, Vijaykrishnan Narayanan, Jinyang Li, Tongda Wu, Zhibo Wang, Yongpan Liu, Yuan Xie:
NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing. ASPLOS 2018: 782-796 - [c538]Prashanth Thinakaran
, Jashwant Raj Gunasekaran, Bikash Sharma, Mahmut T. Kandemir, Chita R. Das:
The Curious Case of Container Orchestration and Scheduling in GPU-based Datacenters. SoCC 2018: 524 - [c537]Haibo Zhang, Prasanna Venkatesh Rengasamy, Nachiappan Chidambaram Nachiappan, Shulin Zhao, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
FLOSS: FLOw sensitive scheduling on mobile platforms. DAC 2018: 173:1-173:6 - [c536]Zuhal Ozturk, Haluk Rahmi Topcuoglu
, Sanem Arslan, Mahmut Taylan Kandemir:
Soft Error Characterization on Scientific Applications. DASC/PiCom/DataCom/CyberSciTech 2018: 592-599 - [c535]Chun-Yi Liu, Jagadish Kotra, Myoungsoo Jung, Mahmut T. Kandemir:
PEN: Design and Evaluation of Partial-Erase for 3D NAND-Based High Density SSDs. FAST 2018: 67-82 - [c534]Vasudevan Rengasamy, Mahmut T. Kandemir, Paul Medvedev, Kamesh Madduri:
Parallel Read Partitioning for Concurrent Assembly of Metagenomic Data. HiPC 2018: 324-333 - [c533]Wonil Choi, Myoungsoo Jung, Mahmut T. Kandemir, Chita R. Das:
Parallelizing garbage collection with I/O to improve flash resource utilization. HPDC 2018: 243-254 - [c532]Nima Elyasi, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Reviving Zombie Pages on SSDs. IISWC 2018: 156-167 - [c531]Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das:
Hybrid-comp: A criticality-aware compressed last-level cache. ISQED 2018: 25-30 - [c530]Jihyun Ryoo, Meena Arunachalam, Rahul Khanna
, Mahmut T. Kandemir:
Efficient K nearest neighbor algorithm implementations for throughput-oriented architectures. ISQED 2018: 144-150 - [c529]Nima Elyasi, Mohammad Arjomand, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Content Popularity-Based Selective Replication for Read Redirection in SSDs. MASCOTS 2018: 1-15 - [c528]Amin Jadidi, Mahmut T. Kandemir, Chita R. Das:
Tolerating Write Disturbance Errors in PCM: Experimental Characterization, Analysis, and Mechanisms. MASCOTS 2018: 53-65 - [c527]Narges Shahidi, Mahmut T. Kandemir:
CachedGC: Cache-Assisted Garbage Collection in Modern Solid State Drives. MASCOTS 2018: 79-86 - [c526]Jihyun Ryoo, Orhan Kislal, Xulong Tang
, Mahmut T. Kandemir:
Quantifying and Optimizing Data Access Parallelism on Manycores. MASCOTS 2018: 131-144 - [c525]Donghyun Gouk, Miryeong Kwon, Jie Zhang, Sungjoon Koh, Wonil Choi, Nam Sung Kim, Mahmut T. Kandemir, Myoungsoo Jung:
Amber*: Enabling Precise Full-System Simulation with Detailed Modeling of All SSD Resources. MICRO 2018: 469-481 - [c524]Wonil Choi, Myoungsoo Jung, Mahmut T. Kandemir:
Invalid Data-Aware Coding to Enhance the Read Performance of High-Density Flash Memories. MICRO 2018: 482-493 - [c523]Jagadish B. Kotra, Haibo Zhang, Alaa R. Alameldeen, Chris Wilkerson, Mahmut T. Kandemir:
CHAMELEON: A Dynamically Reconfigurable Heterogeneous Memory System. MICRO 2018: 533-545 - [c522]Sumitha George, Minli Julie Liao, Huaipan Jiang, Jagadish B. Kotra, Mahmut T. Kandemir, Jack Sampson, Vijaykrishnan Narayanan:
MDACache: Caching for Multi-Dimensional-Access Memories. MICRO 2018: 841-854 - [c521]Prasanna Venkatesh Rengasamy, Haibo Zhang, Shulin Zhao, Nachiappan Chidambaram Nachiappan, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
CritICs Critiquing Criticality in Mobile Apps. MICRO 2018: 867-880 - [c520]Jie Zhang, Miryeong Kwon, Donghyun Gouk, Sungjoon Koh, Changlim Lee, Mohammad Alian, Myoungjun Chun, Mahmut Taylan Kandemir, Nam Sung Kim, Jihong Kim, Myoungsoo Jung:
FlashShare: Punching Through Server Storage Stack from Kernel to Firmware for Ultra-Low Latency SSDs. OSDI 2018: 477-492 - [c519]Orhan Kislal, Jagadish Kotra, Xulong Tang
, Mahmut Taylan Kandemir, Myoungsoo Jung:
Enhancing computation-to-core assignment with physical location information. PLDI 2018: 312-327 - [c518]Huaipan Jiang, Anup Sarma, Jihyun Ryoo, Jagadish B. Kotra, Meena Arunachalam, Chita R. Das, Mahmut T. Kandemir:
A Learning-Guided Hierarchical Approach for Biomedical Image Segmentation. SoCC 2018: 227-232 - [i14]Rachata Ausavarungnirun, Saugata Ghose, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Mahmut T. Kandemir, Onur Mutlu:
Holistic Management of the GPGPU Memory Hierarchy to Manage Warp-level Latency Tolerance. CoRR abs/1804.11038 (2018) - [i13]Marcos Horro, Gabriel Rodríguez, Juan Touriño, Mahmut T. Kandemir:
Architectural exploration of heterogeneous memory systems. CoRR abs/1810.12573 (2018) - [i12]Donghyun Gouk, Miryeong Kwon, Jie Zhang, Sungjoon Koh, Wonil Choi, Nam Sung Kim, Mahmut T. Kandemir, Myoungsoo Jung:
Amber: Enabling Precise Full-System Simulation with Detailed Modeling of All SSD Resources. CoRR abs/1811.01544 (2018) - 2017
- [j117]Sanem Arslan
, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir, Oguz Tosun:
A selective protection scheme of applications using asymmetrically reliable caches. J. Syst. Archit. 75: 133-144 (2017) - [j116]Wonil Choi, Mohammad Arjomand, Myoungsoo Jung, Mahmut T. Kandemir:
Exploiting Data Longevity for Enhancing the Lifetime of Flash-based Storage Class Memory. Proc. ACM Meas. Anal. Comput. Syst. 1(1): 21:1-21:26 (2017) - [j115]Özcan Özturk, Umut Orhan, Wei Ding, Praveen Yedlapalli, Mahmut Taylan Kandemir:
Cache Hierarchy-Aware Query Mapping on Emerging Multicore Architectures. IEEE Trans. Computers 66(3): 403-415 (2017) - [j114]Mohammad Arjomand
, Amin Jadidi, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
HL-PCM: MLC PCM Main Memory with Accelerated Read. IEEE Trans. Parallel Distributed Syst. 28(11): 3188-3200 (2017) - [c517]Orhan Kislal, Jagadish Kotra, Xulong Tang
, Mahmut Taylan Kandemir, Myoungsoo Jung:
POSTER: Location-Aware Computation Mapping for Manycore Processors. PACT 2017: 138-139 - [c516]Nima Elyasi, Mohammad Arjomand, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das, Myoungsoo Jung:
Exploiting Intra-Request Slack to Improve SSD Performance. ASPLOS 2017: 375-388 - [c515]Jagadish B. Kotra, Narges Shahidi, Zeshan A. Chishti, Mahmut T. Kandemir:
Hardware-Software Co-design to Mitigate DRAM Refresh Overheads: A Case for Refresh-Aware Process Scheduling. ASPLOS 2017: 723-736 - [c514]Amin Jadidi, Mohammad Arjomand, Mohammad Khavari Tavana, David R. Kaeli, Mahmut T. Kandemir, Chita R. Das:
Exploring the Potential for Collaborative Data Compression and Hard-Error Tolerance in PCM Memories. DSN 2017: 85-96 - [c513]Xulong Tang
, Ashutosh Pattnaik, Huaipan Jiang, Onur Kayiran, Adwait Jog, Sreepathi Pai
, Mohamed Assem Ibrahim
, Mahmut T. Kandemir, Chita R. Das:
Controlled Kernel Launch for Dynamic Parallelism in GPUs. HPCA 2017: 649-660 - [c512]Mohammad Arjomand, Amin Jadidi, Mahmut T. Kandemir, Chita R. Das:
Leveraging value locality for efficient design of a hybrid cache in multicore processors. ICCAD 2017: 1-8 - [c511]Wonil Choi, Myoungsoo Jung, Mahmut T. Kandemir, Chita R. Das:
A Scale-Out Enterprise Storage Architecture. ICCD 2017: 549-556 - [c510]Prashanth Thinakaran
, Jashwant Raj Gunasekaran, Bikash Sharma, Mahmut Taylan Kandemir, Chita R. Das:
Phoenix: A Constraint-Aware Scheduler for Heterogeneous Datacenters. ICDCS 2017: 977-987 - [c509]Miryeong Kwon, Jie Zhang, Gyuyoung Park, Wonil Choi, David Donofrio, John Shalf
, Mahmut T. Kandemir, Myoungsoo Jung:
TraceTracker: Hardware/software co-evaluation for large-scale I/O workload reconstruction. IISWC 2017: 87-96 - [c508]Jagadish B. Kotra, Seongbeom Kim, Kamesh Madduri, Mahmut T. Kandemir:
Congestion-aware memory management on NUMA platforms: A VMware ESXi case study. IISWC 2017: 146-155 - [c507]Morteza Ramezani, Nima Elyasi, Mohammad Arjomand, Mahmut T. Kandemir, Anand Sivasubramaniam:
Exploring the impact of memory block permutation on performance of a crossbar ReRAM main memory. IISWC 2017: 167-176 - [c506]Prasanna Venkatesh Rengasamy, Haibo Zhang, Nachiappan Chidambaram Nachiappan, Shulin Zhao, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Characterizing diverse handheld apps for customized hardware acceleration. IISWC 2017: 187-196 - [c505]Jagadish B. Kotra, Diana R. Guttman
, Nachiappan Chidambaram Nachiappan, Mahmut T. Kandemir, Chita R. Das:
Quantifying the Potential Benefits of On-chip Near-Data Computing in Manycore Processors. MASCOTS 2017: 198-209 - [c504]Akbar Sharifi, Wei Ding, Diana R. Guttman
, Hui Zhao, Xulong Tang
, Mahmut T. Kandemir, Chita R. Das:
DEMM: A Dynamic Energy-Saving Mechanism for Multicore Memories. MASCOTS 2017: 210-220 - [c503]Mohammad Khavari Tavana, Amir Kavyan Ziabari, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das, David R. Kaeli:
REMAP: a reliability/endurance mechanism for advancing PCM. MEMSYS 2017: 385-398 - [c502]Kaisheng Ma
, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan:
Incidental computing on IoT nonvolatile processors. MICRO 2017: 204-218 - [c501]Haibo Zhang, Prasanna Venkatesh Rengasamy, Shulin Zhao, Nachiappan Chidambaram Nachiappan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravi R. Iyer, Chita R. Das:
Race-to-sleep + content caching + display caching: a recipe for energy-efficient video streaming on handhelds. MICRO 2017: 517-531 - [c500]Xulong Tang
, Orhan Kislal, Mahmut T. Kandemir, Mustafa Karaköy:
Data movement aware computation partitioning. MICRO 2017: 730-744 - [c499]Muhammad Aditya Sasongko, Haluk Rahmi Topcuoglu
, Sanem Arslan
, Mahmut Taylan Kandemir:
Compiler-Enhanced Reliability for Network-on-Chip Architectures. PDP 2017: 584-588 - [c498]Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das:
A Study on Performance and Power Efficiency of Dense Non-Volatile Caches in Multi-Core Systems. SIGMETRICS (Abstracts) 2017: 27-28 - [c497]Wonil Choi, Mohammad Arjomand, Myoungsoo Jung, Mahmut T. Kandemir:
Exploiting Data Longevity for Enhancing the Lifetime of Flash-based Storage Class Memory. SIGMETRICS (Abstracts) 2017: 53 - [c496]Amin Jadidi, Mohammad Arjomand, Mahmut Taylan Kandemir, Chita R. Das:
Optimizing energy consumption in GPUS through feedback-driven CTA scheduling. SpringSim (HPC) 2017: 12:1-12:12 - [e3]Xuanhua Shi, Hong An, Chao Wang, Mahmut T. Kandemir, Hai Jin:
Network and Parallel Computing - 14th IFIP WG 10.3 International Conference, NPC 2017, Hefei, China, October 20-21, 2017, Proceedings. Lecture Notes in Computer Science 10578, Springer 2017, ISBN 978-3-319-68209-9 [contents] - [i11]Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das:
A Study on Performance and Power Efficiency of Dense Non-Volatile Caches in Multi-Core Systems. CoRR abs/1704.05044 (2017) - [i10]Wonil Choi, Mohammad Arjomand, Myoungsoo Jung, Mahmut T. Kandemir:
Exploiting Data Longevity for Enhancing the Lifetime of Flash-based Storage Class Memory. CoRR abs/1704.05138 (2017) - [i9]Myoungsoo Jung, Mahmut T. Kandemir:
Sprinkler: Maximizing Resource Utilization in Many-Chip Solid State Disks. CoRR abs/1705.04627 (2017) - [i8]Myoungsoo Jung, Jie Zhang, Ahmed H. M. O. Abulila, Miryeong Kwon, Narges Shahidi, John Shalf, Nam Sung Kim, Mahmut T. Kandemir:
SimpleSSD: Modeling Solid State Drives for Holistic System Simulation. CoRR abs/1705.06419 (2017) - [i7]Miryeong Kwon, Jie Zhang, Gyuyoung Park, Wonil Choi, David Donofrio, John Shalf, Mahmut T. Kandemir, Myoungsoo Jung:
TraceTracker: Hardware/Software Co-Evaluation for Large-Scale I/O Workload Reconstruction. CoRR abs/1709.04806 (2017) - 2016
- [j113]Sanem Arslan
, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir, Oguz Tosun:
Asymmetrically reliable caches for multicore architectures under performance and energy constraints. Clust. Comput. 19(4): 1819-1833 (2016) - [j112]Emre Kultursay, Kemal Ebcioglu, Gürhan Küçük, Mahmut T. Kandemir:
Memory Partitioning in the Limit. Int. J. Parallel Program. 44(2): 337-380 (2016) - [j111]Myoungsoo Jung, Wonil Choi, Shuwen Gao, Ellis Herbert Wilson III, David Donofrio, John Shalf
, Mahmut Taylan Kandemir:
NANDFlashSim: High-Fidelity, Microarchitecture-Aware NAND Flash Memory Simulation. ACM Trans. Storage 12(2): 6:1-6:32 (2016) - [c495]Onur Kayiran, Adwait Jog, Ashutosh Pattnaik, Rachata Ausavarungnirun, Xulong Tang
, Mahmut T. Kandemir, Gabriel H. Loh, Onur Mutlu, Chita R. Das:
μC-States: Fine-grained GPU Datapath Power Management. PACT 2016: 17-30 - [c494]Ashutosh Pattnaik, Xulong Tang
, Adwait Jog, Onur Kayiran, Asit K. Mishra, Mahmut T. Kandemir, Onur Mutlu, Chita R. Das:
Scheduling Techniques for GPU Architectures with Processing-In-Memory Capabilities. PACT 2016: 31-44 - [c493]Sanem Arslan
, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir, Oguz Tosun:
Protecting Code Regions on Asymmetrically Reliable Caches. ARCS 2016: 375-387 - [c492]Gabriel Rodríguez
, José M. Andión
, Mahmut T. Kandemir, Juan Touriño
:
Trace-based affine reconstruction of codes. CGO 2016: 139-149 - [c491]Mahmut T. Kandemir:
HCW 2016 Keynote Talk. IPDPS Workshops 2016: 6 - [c490]Jagadish Kotra, Mohammad Arjomand, Diana R. Guttman
, Mahmut T. Kandemir, Chita R. Das:
Re-NUCA: A Practical NUCA Architecture for ReRAM Based Last-Level Caches. IPDPS 2016: 576-585 - [c489]Orhan Kislal, Mahmut T. Kandemir, Jagadish Kotra:
Cache-Aware Approximate Computing for Decision Tree Learning. IPDPS Workshops 2016: 1413-1422 - [c488]Mohammad Arjomand, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
Boosting Access Parallelism to PCM-Based Main Memory. ISCA 2016: 695-706 - [c487]Mohammad Arjomand, Amin Jadidi, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
MLC PCM main memory with accelerated read. ISPASS 2016: 143-144 - [c486]Narges Shahidi, Mohammad Arjomand, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Storage consolidation: Not always a panacea, but can we ease the pain? ISPASS 2016: 159-160 - [c485]Xulong Tang
, Mahmut T. Kandemir, Praveen Yedlapalli, Jagadish Kotra:
Improving bank-level parallelism for irregular applications. MICRO 2016: 57:1-57:12 - [c484]Wonil Choi, Jie Zhang, Shuwen Gao, Jaesoo Lee, Myoungsoo Jung, Mahmut T. Kandemir:
An in-depth study of next generation interface for emerging non-volatile memories. NVMSA 2016: 1-6 - [c483]Narges Shahidi, Mohammad Arjomand, Myoungsoo Jung, Mahmut T. Kandemir, Chita R. Das, Anand Sivasubramaniam:
Exploring the potentials of parallel garbage collection in SSDs for enterprise storage systems. SC 2016: 561-572 - [c482]Adwait Jog, Onur Kayiran, Ashutosh Pattnaik, Mahmut T. Kandemir, Onur Mutlu, Ravishankar R. Iyer, Chita R. Das:
Exploiting Core Criticality for Enhanced GPU Performance. SIGMETRICS 2016: 351-363 - [e2]Ozcan Ozturk, Kemal Ebcioglu, Mahmut T. Kandemir, Onur Mutlu:
Proceedings of the 2016 International Conference on Supercomputing, ICS 2016, Istanbul, Turkey, June 1-3, 2016. ACM 2016, ISBN 978-1-4503-4361-9 [contents] - [i6]Nandita Vijaykumar, Gennady Pekhimenko, Adwait Jog, Saugata Ghose, Abhishek Bhowmick, Rachata Ausavarungnirun, Chita R. Das, Mahmut T. Kandemir, Todd C. Mowry, Onur Mutlu:
A Framework for Accelerating Bottlenecks in GPU Execution with Assist Warps. CoRR abs/1602.01348 (2016) - 2015
- [j110]Hsiang-Yun Cheng
, Matt Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie:
EECache: A Comprehensive Study on the Architectural Design for Energy-Efficient Last-Level Caches in Chip Multiprocessors. ACM Trans. Archit. Code Optim. 12(2): 17:1-17:22 (2015) - [j109]Seong Jo Kim, Yuanrui Zhang, Seung Woo Son, Mahmut T. Kandemir, Wei-keng Liao
, Rajeev Thakur
, Alok N. Choudhary:
IOPro: a parallel I/O profiling and visualization framework for high-performance storage systems. J. Supercomput. 71(3): 840-870 (2015) - [c481]Jie Zhang, David Donofrio, John Shalf
, Mahmut T. Kandemir, Myoungsoo Jung:
NVMMU: A Non-volatile Memory Management Unit for Heterogeneous GPU-SSD Architectures. PACT 2015: 13-24 - [c480]Rachata Ausavarungnirun, Saugata Ghose, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Mahmut T. Kandemir, Onur Mutlu:
Exploiting Inter-Warp Heterogeneity to Improve GPGPU Performance. PACT 2015: 25-38 - [c479]Prasanna Venkatesh Rengasamy, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Exploiting Staleness for Approximating Loads on CMPs. PACT 2015: 343-354 - [c478]Narges Shahidi, Anand Sivasubramanian, Mahmut T. Kandemir, Chita R. Das:
Storage Consolidation on SSDs: Not Always a Panacea, but Can We Ease the Pain? PACT 2015: 498-499 - [c477]Hui Zhao, Mahmut T. Kandemir, Mary Jane Irwin:
TaPEr: tackling power emergencies in the dark silicon era by exploiting resource scalability. Conf. Computing Frontiers 2015: 16:1-16:8 - [c476]Jithendra Srinivas, Wei Ding, Mahmut T. Kandemir:
Reactive tiling. CGO 2015: 91-102 - [c475]Jun Liu, Jagadish Kotra, Wei Ding, Mahmut T. Kandemir:
Network footprint reduction through data access and computation placement in NoC-based manycores. DAC 2015: 181:1-181:6 - [c474]Nachiappan Chidambaram Nachiappan
, Praveen Yedlapalli, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravishankar R. Iyer, Chita R. Das:
Domain knowledge based energy management in handhelds. HPCA 2015: 150-160 - [c473]Joshua Dennis Booth, Jagadish Kotra, Hui Zhao, Mahmut T. Kandemir, Padma Raghavan:
Phase Detection with Hidden Markov Models for DVFS on Many-Core Processors. ICDCS 2015: 185-195 - [c472]Diana R. Guttman
, Mahmut Taylan Kandemir, Meena Arunachalam, Rahul Khanna
:
Machine learning techniques for improved data prefetching. ICEAC 2015: 1-4 - [c471]Diman Zad Tootaghaj, Farshid Farhat, Mohammad Arjomand, Paolo Faraboschi
, Mahmut Taylan Kandemir, Anand Sivasubramaniam, Chita R. Das:
Evaluating the Combined Impact of Node Architecture and Cloud Workload Characteristics on Network Traffic and Performance/Cost. IISWC 2015: 203-212 - [c470]Sanem Arslan
, Haluk Rahmi Topcuoglu
, Mahmut Taylan Kandemir, Oguz Tosun:
Performance and Energy Efficient Asymmetrically Reliable Caches for Multicore Architectures. IPDPS Workshops 2015: 1025-1032 - [c469]Nandita Vijaykumar, Gennady Pekhimenko, Adwait Jog, Abhishek Bhowmick, Rachata Ausavarungnirun, Chita R. Das, Mahmut T. Kandemir, Todd C. Mowry, Onur Mutlu:
A case for core-assisted bottleneck acceleration in GPUs: enabling flexible data compression with assist warps. ISCA 2015: 41-53 - [c468]Nachiappan Chidambaram Nachiappan
, Haibo Zhang, Jihyun Ryoo, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Ravishankar R. Iyer, Chita R. Das:
VIP: virtualizing IP chains on handheld platforms. ISCA 2015: 655-667 - [c467]Diana R. Guttman
, Mahmut T. Kandemir, Meenakshi Arunachalam, Vlad Calina:
Performance and energy evaluation of data prefetching on intel Xeon Phi. ISPASS 2015: 288-297 - [c466]Adwait Jog, Onur Kayiran, Tuba Kesten, Ashutosh Pattnaik, Evgeny Bolotin, Niladrish Chatterjee, Stephen W. Keckler, Mahmut T. Kandemir, Chita R. Das:
Anatomy of GPU Memory System for Multi-Application Execution. MEMSYS 2015: 223-234 - [c465]Wei Ding, Xulong Tang
, Mahmut T. Kandemir, Yuanrui Zhang, Emre Kultursay:
Optimizing off-chip accesses in multicores. PLDI 2015: 131-142 - [c464]Mahmut T. Kandemir, Hui Zhao, Xulong Tang
, Mustafa Karaköy:
Memory Row Reuse Distance and its Role in Optimizing Application Performance. SIGMETRICS 2015: 137-149 - [c463]Karthik Swaminathan, Jagadish Kotra, Huichu Liu, Jack Sampson, Mahmut T. Kandemir, Vijaykrishnan Narayanan:
Thermal-Aware Application Scheduling on Device-Heterogeneous Embedded Architectures. VLSID 2015: 221-226 - 2014
- [j108]Shankar P. Sastry, Emre Kultursay, Suzanne M. Shontz
, Mahmut T. Kandemir:
Improved cache utilization and preconditioner efficiency through use of a space-filling curve mesh element- and vertex-reordering technique. Eng. Comput. 30(4): 535-547 (2014) - [j107]Myoungsoo Jung, Ellis Herbert Wilson III, Wonil Choi, John Shalf
, Hasan Metin Aktulga, Chao Yang, Erik Saule
, Ümit V. Çatalyürek
, Mahmut T. Kandemir:
Exploring the future of out-of-core computing with compute-local non-volatile memory. Sci. Program. 22(2): 125-139 (2014) - [j106]Gabriel Rodríguez
, Juan Touriño
, Mahmut T. Kandemir:
Volatile STT-RAM Scratchpad Design and Data Allocation for Low Energy. ACM Trans. Archit. Code Optim. 11(4): 38:1-38:26 (2014) - [c462]Wei Ding, Mahmut T. Kandemir, Diana R. Guttman
, Adwait Jog, Chita R. Das, Praveen Yedlapalli:
Trading cache hit rate for memory performance. PACT 2014: 357-368 - [c461]Adwait Jog, Evgeny Bolotin, Zvika Guz, Mike Parker, Stephen W. Keckler, Mahmut T. Kandemir, Chita R. Das:
Application-aware Memory System for Fair and Efficient Execution of Concurrent GPGPU Applications. GPGPU@ASPLOS 2014: 1 - [c460]Myoungsoo Jung, Wonil Choi, John Shalf
, Mahmut T. Kandemir:
Triple-A: a Non-SSD based autonomic all-flash array for high performance storage systems. ASPLOS 2014: 441-454 - [c459]Myoungsoo Jung, Mahmut T. Kandemir:
Sprinkler: Maximizing resource utilization in many-chip solid state disks. HPCA 2014: 524-535 - [c458]Ellis Herbert Wilson, Mahmut T. Kandemir, Garth Gibson
:
Will They Blend?: Exploring Big Data Computation Atop Traditional HPC NAS Storage. ICDCS 2014: 524-534 - [c457]Yang Ding, Praveen Yedlapalli, Mahmut T. Kandemir:
QoS aware dynamic time-slice tuning. IISWC 2014: 84-85 - [c456]Umut Orhan, Wei Ding, Praveen Yedlapalli, Mahmut T. Kandemir, Özcan Özturk:
A cache topology-aware multi-query scheduler for multicore architectures. IISWC 2014: 86-87 - [c455]Myoungsoo Jung, Wonil Choi, Shekhar Srikantaiah, Joonhyuk Yoo, Mahmut T. Kandemir:
HIOS: A host interface I/O scheduler for Solid State Disks. ISCA 2014: 289-300 - [c454]Hsiang-Yun Cheng
, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie:
EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors. ISLPED 2014: 303-306 - [c453]Ellis Herbert Wilson, Myoungsoo Jung, Mahmut T. Kandemir:
ZombieNAND: Resurrecting Dead NAND Flash for Improved SSD Longevity. MASCOTS 2014: 229-238 - [c452]Mahmut T. Kandemir, Wei Ding, Diana R. Guttman
:
Quantifying and Optimizing the Impact of Victim Cache Line Selection in Manycore Systems. MASCOTS 2014: 385-394 - [c451]Onur Kayiran, Nachiappan Chidambaram Nachiappan
, Adwait Jog, Rachata Ausavarungnirun, Mahmut T. Kandemir, Gabriel H. Loh, Onur Mutlu, Chita R. Das:
Managing GPU Concurrency in Heterogeneous Architectures. MICRO 2014: 114-126 - [c450]Praveen Yedlapalli, Nachiappan Chidambaram Nachiappan
, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das:
Short-Circuiting Memory Traffic in Handheld Platforms. MICRO 2014: 166-177 - [c449]Wei Ding, Diana R. Guttman
, Mahmut T. Kandemir:
Compiler Support for Optimizing Memory Bank-Level Parallelism. MICRO 2014: 571-582 - [c448]Nachiappan Chidambaram Nachiappan
, Praveen Yedlapalli, Niranjan Soundararajan, Mahmut T. Kandemir, Anand Sivasubramaniam, Chita R. Das:
GemDroid: a framework to evaluate mobile platforms. SIGMETRICS 2014: 355-366 - [c447]Wei Ding, Mahmut T. Kandemir:
CApRI: CAche-conscious data reordering for irregular codes. SIGMETRICS 2014: 477-489 - 2013
- [j105]Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Suman Datta:
Steep-Slope Devices: From Dark to Dim Silicon. IEEE Micro 33(5): 50-59 (2013) - [j104]Wei Ding, Yuanrui Zhang, Mahmut T. Kandemir, Seung Woo Son:
Compiler-directed file layout optimization for hierarchical storage systems. Sci. Program. 21(3-4): 65-78 (2013) - [j103]Ozcan Ozturk, Mahmut T. Kandemir, Guangyu Chen:
Compiler-Directed Energy Reduction Using Dynamic Voltage Scaling and Voltage Islands for Embedded Systems. IEEE Trans. Computers 62(2): 268-278 (2013) - [c446]Onur Kayiran, Adwait Jog, Mahmut T. Kandemir, Chita R. Das:
Neither more nor less: Optimizing thread-level parallelism for GPGPUs. PACT 2013: 157-166 - [c445]Wei Ding, Jun Liu, Mahmut T. Kandemir, Mary Jane Irwin:
Reshaping cache misses to improve row-buffer locality in multicore systems. PACT 2013: 235-244 - [c444]Praveen Yedlapalli, Jagadish Kotra, Emre Kultursay, Mahmut T. Kandemir, Chita R. Das, Anand Sivasubramaniam:
Meeting midway: Improving CMP performance with memory-side prefetching. PACT 2013: 289-298 - [c443]Adwait Jog, Onur Kayiran, Nachiappan Chidambaram Nachiappan
, Asit K. Mishra, Mahmut T. Kandemir, Onur Mutlu, Ravishankar R. Iyer, Chita R. Das:
OWL: cooperative thread array aware scheduling techniques for improving GPGPU performance. ASPLOS 2013: 395-406 - [c442]Wei Ding, Yuanrui Zhang, Mahmut T. Kandemir, Jithendra Srinivas, Praveen Yedlapalli:
Locality-aware mapping and scheduling for multicores. CGO 2013: 12:1-12:12 - [c441]Myoungsoo Jung, Mahmut T. Kandemir:
Challenges in Getting Flash Drives Closer to CPU. HotStorage 2013 - [c440]Myoungsoo Jung, John Shalf
, Mahmut T. Kandemir:
Design of a large-scale storage-class RRAM system. ICS 2013: 103-114 - [c439]Ramya Prabhakar, Mahmut T. Kandemir, Myoungsoo Jung:
Disk-Cache and Parallelism Aware I/O Scheduling to Improve Storage System Performance. IPDPS 2013: 357-368 - [c438]Ramya Prabhakar, Mahmut T. Kandemir, Padma Raghavan, Myoungsoo Jung:
Interference Resolver in Shared Storage Systems to Provide Fairness to I/O Intensive Applications. IPDPS Workshops 2013: 1971-1980 - [c437]Adwait Jog, Onur Kayiran, Asit K. Mishra, Mahmut T. Kandemir, Onur Mutlu, Ravishankar R. Iyer, Chita R. Das:
Orchestrated scheduling and prefetching for GPGPUs. ISCA 2013: 332-343 - [c436]Emre Kultursay, Mahmut T. Kandemir, Anand Sivasubramaniam, Onur Mutlu:
Evaluating STT-RAM as an energy-efficient main memory alternative. ISPASS 2013: 256-267 - [c435]Jun Liu, Wei Ding, Ohyoung Jang, Mahmut T. Kandemir:
Data layout optimization for GPGPU architectures. PPoPP 2013: 283-284 - [c434]Myoungsoo Jung, Ellis Herbert Wilson, Wonil Choi, John Shalf
, Hasan Metin Aktulga
, Chao Yang, Erik Saule, Ümit V. Çatalyürek
, Mahmut T. Kandemir:
Exploring the future of out-of-core computing with compute-local non-volatile memory. SC 2013: 75:1-75:11 - [c433]Myoungsoo Jung, Mahmut T. Kandemir:
Revisiting widely held SSD expectations and rethinking system-level implications. SIGMETRICS 2013: 203-216 - [c432]Isil Öz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Examining Thread Vulnerability analysis using fault-injection. VLSI-SoC 2013: 240-245 - 2012
- [j102]Yuanrui Zhang, Jun Liu, Emre Kultursay, Mahmut T. Kandemir, Nikos Pitsianis
, Xiaobai Sun:
Automatic Parallel Code Generation for NUFFT Data Translation on multicores. J. Circuits Syst. Comput. 21(2) (2012) - [j101]Isil Öz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Thread vulnerability in parallel applications. J. Parallel Distributed Comput. 72(10): 1171-1185 (2012) - [j100]Isil Öz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Reliability-aware core partitioning in chip multiprocessors. J. Syst. Archit. 58(3-4): 160-176 (2012) - [c431]Bikash Sharma, Ramya Prabhakar, Seung-Hwan Lim, Mahmut T. Kandemir, Chita R. Das:
MROrchestrator: A Fine-Grained Resource Orchestration Framework for MapReduce Clusters. IEEE CLOUD 2012: 1-8 - [c430]Akbar Sharifi, Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das:
PEPON: performance-aware hierarchical power budgeting for NoC based multicores. PACT 2012: 65-74 - [c429]Nachiappan Chidambaram Nachiappan
, Asit K. Mishra, Mahmut T. Kandemir, Anand Sivasubramaniam, Onur Mutlu, Chita R. Das:
Application-aware prefetch prioritization in on-chip networks. PACT 2012: 441-442 - [c428]Wei Ding, Mahmut T. Kandemir, Yuanrui Zhang, Emre Kultursay:
Off-chip access localization for NoC-based multicores. PACT 2012: 447-448 - [c427]Mahmut T. Kandemir, Taylan Yemliha, Ramya Prabhakar, Myoungsoo Jung:
On Urgency of I/O Operations. CCGRID 2012: 188-195 - [c426]Sai Prashanth Muralidhara, Mahmut T. Kandemir, Orhan Kislal:
Reuse distance based performance modeling and workload mapping. Conf. Computing Frontiers 2012: 193-202 - [c425]Orhan Kislal, Piotr Berman, Mahmut T. Kandemir:
Improving the performance of k-means clustering through computation skipping and data locality optimizations. Conf. Computing Frontiers 2012: 273-276 - [c424]Jun Liu, Nishkam Ravi, Srimat T. Chakradhar, Mahmut T. Kandemir:
Panacea: towards holistic optimization of MapReduce applications. CGO 2012: 33-43 - [c423]Emre Kultursay, Karthik Swaminathan, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Suman Datta:
Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores. CODES+ISSS 2012: 245-254 - [c422]Akbar Sharifi, Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin:
Courteous cache sharing: being nice to others in capacity management. DAC 2012: 678-687 - [c421]Hui Zhao, Ohyoung Jang, Wei Ding, Yuanrui Zhang, Mahmut T. Kandemir, Mary Jane Irwin:
A hybrid NoC design for cache coherence optimization for chip multiprocessors. DAC 2012: 834-842 - [c420]Isil Öz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Performance-reliability tradeoff analysis for multithreaded applications. DATE 2012: 893-898 - [c419]Myoungsoo Jung, Mahmut T. Kandemir:
An Evaluation of Different Page Allocation Strategies on High-Speed SSDs. HotStorage 2012 - [c418]Wei Ding, Mahmut T. Kandemir:
Improving last level cache locality by integrating loop and data transformations. ICCAD 2012: 65-72 - [c417]Yuanrui Zhang, Jun Liu, Mahmut T. Kandemir:
Software-Directed Data Access Scheduling for Reducing Disk Energy Consumption. ICDCS 2012: 596-605 - [c416]Myoungsoo Jung, Ellis Herbert Wilson, Mahmut T. Kandemir:
Physically Addressed Queueing (PAQ): Improving parallelism in Solid State Disks. ISCA 2012: 404-415 - [c415]Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir:
Design space exploration of workload-specific last-level caches. ISLPED 2012: 243-248 - [c414]Akbar Sharifi, Emre Kultursay, Mahmut T. Kandemir, Chita R. Das:
Addressing End-to-End Memory Access Latency in NoC-Based Multicores. MICRO 2012: 294-304 - [c413]Myoungsoo Jung, Ramya Prabhakar, Mahmut T. Kandemir:
Taking Garbage Collection Overheads Off the Critical Path in SSDs. Middleware 2012: 164-186 - [c412]Myoungsoo Jung, Ellis Herbert Wilson, David Donofrio, John Shalf
, Mahmut T. Kandemir:
NANDFlashSim: Intrinsic latency variation aware NAND flash memory system modeling and simulation at microarchitecture level. MSST 2012: 1-12 - [c411]Betül Demiröz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Locality-Aware Dynamic Mapping for Multithreaded Applications. PDP 2012: 185-189 - [c410]Jun Liu, Yuanrui Zhang, Ohyoung Jang, Wei Ding, Mahmut T. Kandemir:
A compiler framework for extracting superword level parallelism. PLDI 2012: 347-358 - [c409]Seong Jo Kim, Seung Woo Son, Wei-keng Liao
, Mahmut T. Kandemir, Rajeev Thakur
, Alok N. Choudhary:
IOPin: Runtime Profiling of Parallel I/O in HPC Systems. SC Companion 2012: 18-23 - [c408]David Goodell, Seong Jo Kim, Robert Latham, Mahmut T. Kandemir, Robert B. Ross:
An Evolutionary Path to Object Storage Access. SC Companion 2012: 36-41 - [c407]Wei Ding, Yuanrui Zhang, Mahmut T. Kandemir, Seung Woo Son:
Compiler-directed file layout optimization for hierarchical storage systems. SC 2012: 41 - [c406]Wei Wang
, Tanima Dey, Ryan W. Moore, Mahmut Aktasoglu, Bruce R. Childers, Jack W. Davidson, Mary Jane Irwin, Mahmut T. Kandemir, Mary Lou Soffa:
REEact: a customizable virtual execution manager for multicore platforms. VEE 2012: 27-38 - 2011
- [j99]Betül Demiröz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Particle simulation on the Cell BE architecture. Clust. Comput. 14(4): 419-432 (2011) - [j98]Yuanrui Zhang, Jun Liu, Sai Prashanth Muralidhara, Mahmut T. Kandemir:
BrickX: building hybrid systems for recursive computations. SIGMETRICS Perform. Evaluation Rev. 39(3): 98-100 (2011) - [j97]Sai Prashanth Muralidhara, Mahmut T. Kandemir:
Communication Based Proactive Link Power Management. Trans. High Perform. Embed. Archit. Compil. 4: 135-154 (2011) - [c405]Yuanrui Zhang, Wei Ding, Jun Liu, Mahmut T. Kandemir:
Optimizing Data Layouts for Parallel Computation on Multicores. PACT 2011: 143-154 - [c404]Wei Ding, Jithendra Srinivas, Mahmut T. Kandemir, Mustafa Karaköy:
Compiler Directed Data Locality Optimization for Multicore Architectures. PACT 2011: 171-172 - [c403]Christina M. Patrick, Nicholas Voshell, Mahmut T. Kandemir:
APP: Minimizing Interference Using Aggressive Pipelined Prefetching in Multi-level Buffer Caches. CCGRID 2011: 254-264 - [c402]Ramya Prabhakar, Shekhar Srikantaiah, Rajat Garg, Mahmut T. Kandemir:
Adaptive QoS Decomposition and Control for Storage Cache Management in Multi-server Environments. CCGRID 2011: 402-413 - [c401]Jun Liu, Yuanrui Zhang, Wei Ding, Mahmut T. Kandemir:
On-chip cache hierarchy-aware tile scheduling for multicore machines. CGO 2011: 161-170 - [c400]Mahmut T. Kandemir, Yuanrui Zhang, Jun Liu, Taylan Yemliha:
Neighborhood-aware data locality optimization for NoC-based multicores. CGO 2011: 191-200 - [c399]Akbar Sharifi, Mahmut T. Kandemir:
Process variation-aware routing in NoC based multicores. DAC 2011: 924-929 - [c398]Mahmut T. Kandemir, Taylan Yemliha, Emre Kultursay:
A helper thread based dynamic cache partitioning scheme for multithreaded applications. DAC 2011: 954-959 - [c397]Mahmut T. Kandemir, Ramya Prabhakar, Mustafa Karaköy, Yuanrui Zhang:
Multilayer Cache Partitioning for Multiprogram Workloads. Euro-Par (1) 2011: 130-141 - [c396]Sai Prashanth Muralidhara, Mahmut T. Kandemir, Yuanrui Zhang:
Bandwidth Constrained Coordinated HW/SW Prefetching for Multicores. Euro-Par (1) 2011: 310-325 - [c395]Shekhar Srikantaiah, Emre Kultursay, Tao Zhang, Mahmut T. Kandemir, Mary Jane Irwin, Yuan Xie:
MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy. HPCA 2011: 231-242 - [c394]Yuanrui Zhang, Jun Liu, Ellis Herbert Wilson, Mahmut T. Kandemir:
Software-directed data access scheduling for reducing disk energy consumption. HPDC 2011: 281-282 - [c393]Hui Zhao, Akbar Sharifi, Shekhar Srikantaiah, Mahmut T. Kandemir:
Feedback control based cache reliability enhancement for emerging multicores. ICCAD 2011: 56-62 - [c392]Mahmut T. Kandemir, Shekhar Srikantaiah, Seung Woo Son:
Improving shared cache behavior of multithreaded object-oriented applications in multicores. ICCAD 2011: 118-125 - [c391]Praveen Yedlapalli, Emre Kultursay, Mahmut T. Kandemir:
Cooperative parallelization. ICCAD 2011: 134-141 - [c390]Wei Ding, Yuanrui Zhang, Jun Liu, Mahmut T. Kandemir:
Optimizing data locality using array tiling. ICCAD 2011: 142-149 - [c389]Hui Zhao, Mahmut T. Kandemir, Wei Ding, Mary Jane Irwin:
Exploring heterogeneous NoC design space. ICCAD 2011: 787-793 - [c388]Ramya Prabhakar, Sudharshan S. Vazhkudai, Youngjae Kim, Ali Raza Butt
, Min Li, Mahmut T. Kandemir:
Provisioning a Multi-tiered Data Staging Area for Extreme-Scale Machines. ICDCS 2011: 1-12 - [c387]Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Suman Datta:
Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. ISLPED 2011: 247-252 - [c386]Christina M. Patrick, Nicholas Voshell, Mahmut T. Kandemir:
Minimizing interference through application mapping in multi-level buffer caches. ISPASS 2011: 44-55 - [c385]Hui Zhao, Mahmut T. Kandemir, Mary Jane Irwin:
Exploring performance-power tradeoffs in providing reliability for NoC-based MPSoCs. ISQED 2011: 495-501 - [c384]Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu, Mahmut T. Kandemir, Thomas Moscibroda:
Reducing memory interference in multicore systems via application-aware memory channel partitioning. MICRO 2011: 374-385 - [c383]Yuanrui Zhang, Wei Ding, Mahmut T. Kandemir, Jun Liu, Ohyoung Jang:
A data layout optimization framework for NUCA-based multicores. MICRO 2011: 489-500 - [c382]Isil Öz, Haluk Rahmi Topcuoglu
, Mahmut T. Kandemir, Oguz Tosun:
Quantifying Thread Vulnerability for Multicore Architectures. PDP 2011: 32-39 - [c381]Akbar Sharifi, Mahmut T. Kandemir:
Automatic Feedback Control of Shared Hybrid Caches in 3D Chip Multiprocessors. PDP 2011: 393-400 - [c380]Ramya Prabhakar, Shekhar Srikantaiah, Rajat Garg, Mahmut T. Kandemir:
QoS aware storage cache management in multi-server environments. PPoPP 2011: 289-290 - [c379]Michael R. Frasca, Ramya Prabhakar, Padma Raghavan, Mahmut T. Kandemir:
Virtual I/O caching: dynamic storage cache management for concurrent workloads. SC 2011: 38:1-38:11 - [c378]Akbar Sharifi, Shekhar Srikantaiah, Asit K. Mishra, Mahmut T. Kandemir, Chita R. Das:
METE: meeting end-to-end QoS in multicores through system-wide resource management. SIGMETRICS 2011: 13-24 - [c377]Yuanrui Zhang, Mahmut T. Kandemir, Taylan Yemliha:
Studying inter-core data reuse in multicores. SIGMETRICS 2011: 25-36 - 2010
- [j96]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin:
On-chip memory space partitioning for chip multiprocessors using polyhedral algebra. IET Comput. Digit. Tech. 4(6): 484-498 (2010) - [j95]Wei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Total Power Optimization for Combinational Logic Using Genetic Algorithms. J. Signal Process. Syst. 58(2): 145-160 (2010) - [c376]Yuanrui Zhang, Lanping Deng, Praveen Yedlapalli, Sai Prashanth Muralidhara, Hui Zhao, Mahmut T. Kandemir, Chaitali Chakrabarti, Nikos Pitsianis, Xiaobai Sun:
A special-purpose compiler for look-up table and code generation for function evaluation. DATE 2010: 1130-1135 - [c375]Akbar Sharifi, Hui Zhao, Mahmut T. Kandemir:
Feedback control for providing QoS in NoC based multicores. DATE 2010: 1384-1389 - [c374]Yuanrui Zhang, Jun Liu, Emre Kultursay, Mahmut T. Kandemir, Nikos Pitsianis
, Xiaobai Sun:
Scalable Parallelization Strategies to Accelerate NuFFT Data Translation on Multicores. Euro-Par (2) 2010: 125-136 - [c373]Taylan Yemliha, Mahmut T. Kandemir, Ozcan Ozturk, Emre Kultursay, Sai Prashanth Muralidhara:
Code Scheduling for Optimizing Parallelism and Data Locality. Euro-Par (1) 2010: 204-216 - [c372]Shekhar Srikantaiah, Mahmut T. Kandemir:
SRP: Symbiotic Resource Partitioning of the Memory Hierarchy in CMPs. HiPEAC 2010: 277-291 - [c371]Mahmut T. Kandemir, Sai Prashanth Muralidhara, Mustafa Karaköy, Seung Woo Son:
Computation mapping for multi-level storage cache hierarchies. HPDC 2010: 179-190 - [c370]Christina M. Patrick, Mahmut T. Kandemir, Mustafa Karaköy, Seung Woo Son, Alok N. Choudhary:
Cashing in on hints for better prefetching and caching in PVFS and MPI-IO. HPDC 2010: 191-202 - [c369]Ramya Prabhakar, Shekhar Srikantaiah, Mahmut T. Kandemir, Christina M. Patrick:
Adaptive multi-level cache allocation in distributed storage architectures. ICS 2010: 211-221 - [c368]Yang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan:
Dynamic core partitioning for energy efficiency. IPDPS Workshops 2010: 1-8 - [c367]Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir:
Analyzing the soft error resilience of linear solvers on multicore multiprocessors. IPDPS 2010: 1-12 - [c366]Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin:
T-NUCA - a novel approach to non-uniform access latency cache architectures for 3D CMPs. IPDPS Workshops 2010: 1-8 - [c365]Sai Prashanth Muralidhara, Mahmut T. Kandemir, Padma Raghavan:
Intra-application cache partitioning. IPDPS 2010: 1-12 - [c364]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin, Sri Hari Krishna Narayanan:
Compiler directed network-on-chip reliability enhancement for chip multiprocessors. LCTES 2010: 85-94 - [c363]Shekhar Srikantaiah, Mahmut T. Kandemir:
Synergistic TLBs for High Performance Address Translation in Chip Multiprocessors. MICRO 2010: 313-324 - [c362]Mahmut T. Kandemir, Taylan Yemliha, Sai Prashanth Muralidhara, Shekhar Srikantaiah, Mary Jane Irwin, Yuanrui Zhang:
Cache topology aware computation mapping for multicores. PLDI 2010: 74-85 - [c361]Sai Prashanth Muralidhara, Mahmut T. Kandemir, Padma Raghavan:
Intra-application shared cache partitioning for multithreaded applications. PPoPP 2010: 329-330 - [c360]Seong Jo Kim, Yuanrui Zhang, Seung Woo Son, Ramya Prabhakar, Mahmut T. Kandemir, Christina M. Patrick, Wei-keng Liao
, Alok N. Choudhary:
Automated Tracing of I/O Stack. EuroMPI 2010: 72-81 - [c359]Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das:
CPM in CMPs: Coordinated Power Management in Chip-Multiprocessors. SC 2010: 1-12 - [c358]Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das:
Coordinated power management of voltage islands in CMPs. SIGMETRICS 2010: 359-360 - [c357]Hakduran Koc, Mahmut T. Kandemir, Ehat Ercanli:
Exploiting large on-chip memory space through data recomputation. SoCC 2010: 513-518
2000 – 2009
- 2009
- [j94]Richard R. Brooks
, P. Y. Govindaraju, Matthew Pirretti, Narayanan Vijaykrishnan, Mahmut T. Kandemir:
Clone Detection in Sensor Networks with Ad Hoc and Grid Topologies. Int. J. Distributed Sens. Networks 5(3): 209-223 (2009) - [j93]Ozcan Ozturk, Mahmut T. Kandemir, Seung Woo Son, Ibrahim Kolcu:
Shared scratch pad memory space management across applications. Int. J. Embed. Syst. 4(1): 54-65 (2009) - [j92]Yang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin:
Adapting application execution in CMPs using helper threads. J. Parallel Distributed Comput. 69(9): 790-806 (2009) - [j91]Madhu Mutyam
, Feng Wang, Krishnan Ramakrishnan, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Yuan Xie, Mary Jane Irwin:
Process-Variation-Aware Adaptive Cache Architecture and Management. IEEE Trans. Computers 58(7): 865-877 (2009) - [j90]Jungsub Kim, Lanping Deng, Prasanth Mangalagiri, Kevin M. Irick, Kanwaldeep Sobti, Mahmut T. Kandemir, Vijaykrishnan Narayanan, Chaitali Chakrabarti, Nikos Pitsianis
, Xiaobai Sun:
An Automated Framework for Accelerating Numerical Algorithms on Reconfigurable Platforms Using Algorithmic/Architectural Optimization. IEEE Trans. Computers 58(12): 1654-1667 (2009) - [j89]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin:
Using Data Compression for Increasing Memory System Utilization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(6): 901-914 (2009) - [j88]Priya Unnikrishnan, Guangyu Chen, Mahmut T. Kandemir, Mustafa Karaköy, Ibrahim Kolcu:
Reducing memory requirements of resource-constrained applications. ACM Trans. Embed. Comput. Syst. 8(3): 17:1-17:37 (2009) - [j87]Jie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Trans. Embed. Comput. Syst. 8(4): 27:1-27:30 (2009) - [c356]Seung Woo Son, Mahmut T. Kandemir, Yuanrui Zhang, Rajat Garg:
Topology-Aware I/O Caching for Shared Storage Systems. PDCCS 2009: 143-150 - [c355]Rajat Garg, Christina M. Patrick, Mahmut T. Kandemir:
Dynamic Storage Cache Partitioning Using Feedback Control Theory. PDCCS 2009: 157-164 - [c354]Rajat Garg, Ramya Prabhakar, Mahmut T. Kandemir:
Power Aware Disk Allocation. PDCCS 2009: 205-212 - [c353]Mahmut T. Kandemir, Yuanrui Zhang, Sai Prashanth Muralidhara, Ozcan Ozturk, Sri Hari Krishna Narayanan:
Slicing based code parallelization for minimizing inter-processor communication. CASES 2009: 87-96 - [c352]Rajat Garg, Seung Woo Son, Mahmut T. Kandemir, Padma Raghavan, Ramya Prabhakar:
Markov Model Based Disk Power Management for Data Intensive Workloads. CCGRID 2009: 76-83 - [c351]Ramya Prabhakar, Christina M. Patrick, Mahmut T. Kandemir:
MPISec I/O: Providing Data Confidentiality in MPI-I/O. CCGRID 2009: 388-395 - [c350]Christina M. Patrick, Rajat Garg, Seung Woo Son, Mahmut T. Kandemir:
Improving I/O performance using soft-QoS-based dynamic storage cache partitioning. CLUSTER 2009: 1-10 - [c349]Mahmut T. Kandemir, Ozcan Ozturk, Sai Prashanth Muralidhara:
Dynamic thread and data mapping for NoC based CMPs. DAC 2009: 852-857 - [c348]Mahmut T. Kandemir, Yuanrui Zhang, Ozcan Ozturk:
Adaptive prefetching for shared cache based chip multiprocessors. DATE 2009: 773-778 - [c347]Shengyan Hong, Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk:
Process variation aware thread mapping for Chip Multiprocessors. DATE 2009: 821-826 - [c346]Ozcan Ozturk, Mahmut T. Kandemir:
Using dynamic compilation for continuing execution under reduced memory availability. DATE 2009: 1373-1378 - [c345]Yuanrui Zhang, Mahmut T. Kandemir, Nikos Pitsianis, Xiaobai Sun:
Exploring parallelization strategies for NUFFT data translation. EMSOFT 2009: 187-196 - [c344]Manu Shantharam, Padma Raghavan, Mahmut T. Kandemir:
Hybrid Techniques for Fast Multicore Simulation. Euro-Par 2009: 122-134 - [c343]Sai Prashanth Muralidhara, Mahmut T. Kandemir:
Communication Based Proactive Link Power Management. HiPEAC 2009: 198-215 - [c342]Yang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan:
Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. HiPEAC 2009: 231-247 - [c341]Aditya Yanamandra, Mary Jane Irwin, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Sri Hari Krishna Narayanan:
In-Network Caching for Chip Multiprocessors. HiPEAC 2009: 373-388 - [c340]Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk:
Optimizing shared cache behavior of chip multiprocessors. MICRO 2009: 505-516 - [c339]Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang:
SHARP control: controlled shared cache management in chip multiprocessors. MICRO 2009: 517-528 - [c338]Seung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti:
A compiler-directed data prefetching scheme for chip multiprocessors. PPoPP 2009: 209-218 - [c337]Yuanrui Zhang, Mahmut T. Kandemir:
A hardware-software codesign strategy for Loop intensive applications. SASP 2009: 107-113 - [c336]Ramya Prabhakar, Shekhar Srikantaiah, Christina M. Patrick, Mahmut T. Kandemir:
Dynamic storage cache allocation in multi-server architectures. SC 2009 - [c335]Shekhar Srikantaiah, Reetuparna Das
, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir:
A case for integrated processor-cache partitioning in chip multiprocessors. SC 2009 - [e1]Christoph M. Kirsch, Mahmut T. Kandemir:
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009. ACM 2009, ISBN 978-1-60558-356-3 [contents] - 2008
- [j86]Bruce R. Childers, Mahmut T. Kandemir:
Preface. Comput. Lang. Syst. Struct. 34(4): 151-152 (2008) - [j85]Gary Giger, Mahmut T. Kandemir, John E. Dzielski:
Graphical Mission Specification and Partitioning for Unmanned Underwater Vehicles. J. Softw. 3(7): 42-54 (2008) - [j84]Ismail Kadayif, Ayhan Zorlubas, Selcuk Koyuncu, Olcay Kabal, Davut Akcicek, Yucel Sahin, Mahmut T. Kandemir:
Capturing and optimizing the interactions between prefetching and cache line turnoff. Microprocess. Microsystems 32(7): 394-404 (2008) - [j83]Christina M. Patrick, Seung Woo Son, Mahmut T. Kandemir:
Comparative evaluation of overlap strategies with study of I/O overlap in MPI-IO. ACM SIGOPS Oper. Syst. Rev. 42(6): 43-49 (2008) - [j82]Ozcan Ozturk, Mahmut T. Kandemir:
ILP-Based energy minimization techniques for banked memories. ACM Trans. Design Autom. Electr. Syst. 13(3): 50:1-50:40 (2008) - [j81]Ozcan Ozturk, Mahmut T. Kandemir, Guangyu Chen:
Access pattern-based code compression for memory-constrained systems. ACM Trans. Design Autom. Electr. Syst. 13(4): 60:1-60:30 (2008) - [j80]Guilin Chen, Mahmut T. Kandemir:
Compiler-Directed Code Restructuring for Improving Performance of MPSoCs. IEEE Trans. Parallel Distributed Syst. 19(9): 1201-1214 (2008) - [j79]Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arifur Rahman:
Designing a 3-D FPGA: Switch Box Architecture and Thermal Issues. IEEE Trans. Very Large Scale Integr. Syst. 16(7): 882-893 (2008) - [c334]Seung Woo Son, Sai Prashanth Muralidhara, Ozcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy:
Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. PACT 2008: 112-121 - [c333]Sri Hari Krishna Narayanan, Mahmut T. Kandemir:
A Systematic Approach to Automatically Generate Multiple Semantically Equivalent Program Versions. Ada-Europe 2008: 185-198 - [c332]Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin:
Adaptive set pinning: managing shared caches in chip multiprocessors. ASPLOS 2008: 135-144 - [c331]Guangyu Chen, Feihui Li, Seung Woo Son, Mahmut T. Kandemir:
Application mapping for chip multiprocessors. DAC 2008: 620-625 - [c330]Mahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy:
Improving I/O Performance of Applications through Compiler-Directed Code Restructuring. FAST 2008: 159-174 - [c329]Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Ozcan Ozturk:
SPM management using Markov chain based data access prediction. ICCAD 2008: 565-569 - [c328]Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin:
Integrated code and data placement in two-dimensional mesh based chip multiprocessors. ICCAD 2008: 583-588 - [c327]Sayaka Akioka, Feihui Li, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin:
Ring data location prediction scheme for Non-Uniform Cache Architectures. ICCD 2008: 693-698 - [c326]Yang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin:
A helper thread based EDP reduction scheme for adapting application execution in CMPs. IPDPS 2008: 1-14 - [c325]Yang Ding, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir:
Towards energy efficient scaling of scientific codes. IPDPS 2008: 1-8 - [c324]Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin, Konrad Malkowski:
Managing power, performance and reliability trade-offs. IPDPS 2008: 1-5 - [c323]Seung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy:
Improving I/O performance through compiler-directed code restructuring and adaptive prefetching. IPDPS 2008: 1-5 - [c322]Aditya Yanamandra, Bryan Cover, Padma Raghavan, Mary Jane Irwin, Mahmut T. Kandemir:
Evaluating the role of scratchpad memories in chip multiprocessors for sparse matrix computations. IPDPS 2008: 1-10 - [c321]Ozcan Ozturk, Mahmut T. Kandemir, Sri Hari Krishna Narayanan:
A Scratch-Pad Memory Aware Dynamic Loop Scheduling Algorithm. ISQED 2008: 738-743 - [c320]Christina M. Patrick, Seung Woo Son, Mahmut T. Kandemir:
Enhancing the performance of MPI-IO applications by overlapping I/O, computation and communication. PPoPP 2008: 277-278 - [c319]Mahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son:
A novel migration-based NUCA design for chip multiprocessors. SC 2008: 28 - [c318]Ozcan Ozturk, Seung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy:
Prefetch throttling and data pinning for improving performance of shared caches. SC 2008: 59 - [c317]Mahmut T. Kandemir, Ozcan Ozturk:
Software-directed combined cpu/link voltage scaling fornoc-based cmps. SIGMETRICS 2008: 359-370 - [c316]Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin:
Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. SIGMETRICS 2008: 449-450 - 2007
- [j78]Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir:
Optimising power efficiency in trace cache fetch unit. IET Comput. Digit. Tech. 1(4): 334-348 (2007) - [j77]Aman Gayasen, Suresh Srinivasan, Narayanan Vijaykrishnan, Mahmut T. Kandemir:
Design of power-aware FPGA fabrics. Int. J. Embed. Syst. 3(1/2): 52-64 (2007) - [j76]Ismail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam:
Reducing Data TLB Power via Compiler-Directed Address Generation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2): 312-324 (2007) - [j75]Haluk Rahmi Topcuoglu
, Betül Demiröz, Mahmut T. Kandemir:
Solving the Register Allocation Problem for Embedded Systems Using a Hybrid Evolutionary Algorithm. IEEE Trans. Evol. Comput. 11(5): 620-634 (2007) - [j74]Guilin Chen, Mahmut T. Kandemir:
An Approach for Enhancing Inter-processor Data Locality on Chip Multiprocessors. Trans. High Perform. Embed. Archit. Compil. 1: 214-233 (2007) - [j73]Seung Woo Son, Mahmut T. Kandemir:
A Prefetching Algorithm for Multi-speed Disks. Trans. High Perform. Embed. Archit. Compil. 1: 317-340 (2007) - [j72]Seung Woo Son, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan:
Reducing energy consumption of parallel sparse matrix applications through integrated link/CPU voltage scaling. J. Supercomput. 41(3): 179-213 (2007) - [j71]Seung Woo Son, Guangyu Chen, Ozcan Ozturk, Mahmut T. Kandemir, Alok N. Choudhary:
Compiler-Directed Energy Optimization for Parallel Disk Based Systems. IEEE Trans. Parallel Distributed Syst. 18(9): 1241-1257 (2007) - [j70]Richard R. Brooks
, P. Y. Govindaraju, Matthew Pirretti, Narayanan Vijaykrishnan, Mahmut T. Kandemir:
On the Detection of Clones in Sensor Networks Using Random Key Predistribution. IEEE Trans. Syst. Man Cybern. Part C 37(6): 1246-1258 (2007) - [j69]Yuan Xie, Lin Li, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
Reliability-aware Co-synthesis for Embedded Systems. J. VLSI Signal Process. 49(1): 87-99 (2007) - [c315]Guangyu Chen, Feihui Li, Mahmut T. Kandemir:
Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. PACT 2007: 163-174 - [c314]Sayaka Akioka, Feihui Li, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin:
Ring Prediction for Non-Uniform Cache Architectures. PACT 2007: 401 - [c313]Serdar Erkan, Mahmut T. Kandemir, Gary Giger, S. Daniel Lovell:
Energy-Optimal Data Collection and Communication Using a Group of UUVs. AAAI Fall Symposium: Regarding the Intelligence in Distributed Intelligent Systems 2007: 59-64 - [c312]Gary Giger, Mahmut T. Kandemir, S. Dan Lovell, John E. Dzielski, Sekhar Tangirala:
Automated Mission Parallelization for Unmanned Underwater Vehicles. AAAI Fall Symposium: Regarding the Intelligence in Distributed Intelligent Systems 2007: 69-74 - [c311]Andrea Marongiu, Luca Benini
, Mahmut T. Kandemir:
Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. CASES 2007: 145-149 - [c310]Seung Woo Son, Mahmut T. Kandemir:
Integrated Data Reorganization and Disk Mapping for Reducing Disk Energy Consumption. CCGRID 2007: 557-564 - [c309]Ozcan Ozturk, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy:
Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems. CGO 2007: 232-243 - [c308]Seung Woo Son, Mahmut T. Kandemir:
Runtime system support for software-guided disk power management. CLUSTER 2007: 139-148 - [c307]Hakduran Koc, Mahmut T. Kandemir, Ehat Ercanli, Ozcan Ozturk:
Reducing Off-Chip Memory Access Costs Using Data Recomputation in Embedded Chip Multi-processors. DAC 2007: 224-229 - [c306]Liping Xue, Ozcan Ozturk, Mahmut T. Kandemir:
A Memory-Conscious Code Parallelization Scheme. DAC 2007: 230-233 - [c305]Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Richard R. Brooks:
Performance aware secure code partitioning. DATE 2007: 1122-1127 - [c304]Mahmut T. Kandemir, Taylan Yemliha, Seung Woo Son, Ozcan Ozturk:
Memory bank aware dynamic loop scheduling. DATE 2007: 1671-1676 - [c303]Jungsub Kim, Prasanth Mangalagiri, Kevin M. Irick, Mahmut T. Kandemir, Vijaykrishnan Narayanan, Kanwaldeep Sobti, Lanping Deng, Chaitali Chakrabarti, Nikos Pitsianis
, Xiaobai Sun:
TANOR: A Tool for Accelerating N-Body Simulations on Reconfigurable Platforms. FPL 2007: 68-73 - [c302]Mahmut T. Kandemir:
Data locality enhancement for CMPs. ICCAD 2007: 155-159 - [c301]Wei-keng Liao
, Avery Ching, Kenin Coloma, Alok N. Choudhary, Mahmut T. Kandemir:
Improving MPI Independent Write Performance Using A Two-Stage Write-Behind Buffering Method. IPDPS 2007: 1-6 - [c300]Mahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy:
Improving disk reuse for reducing power consumption. ISLPED 2007: 129-134 - [c299]Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin:
Phase-aware adaptive hardware selection for power-efficient scientific computations. ISLPED 2007: 403-406 - [c298]Ozcan Ozturk, Mahmut T. Kandemir, Seung Woo Son:
An ilp based approach to reducing energy consumption in nocbased CMPS. ISLPED 2007: 411-414 - [c297]Guangyu Chen, Feihui Li, Mahmut T. Kandemir:
Compiler-directed application mapping for NoC based chip multiprocessors. LCTES 2007: 155-157 - [c296]Feihui Li, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu:
Profile-driven energy reduction in network-on-chips. PLDI 2007: 394-404 - [c295]Ismail Kadayif, Mahmut T. Kandemir:
Modeling and improving data cache reliability. SIGMETRICS 2007: 1-12 - [c294]Kanwaldeep Sobti, Lanping Deng, Chaitali Chakrabarti, Nikos Pitsianis
, Xiaobai Sun, Jungsub Kim, Prasanth Mangalagiri, Kevin M. Irick, Mahmut T. Kandemir, Vijaykrishnan Narayanan:
Efficient Function Evaluations with Lookup Tables for Structured Matrix Operations. SiPS 2007: 463-468 - [c293]Ramya Prabhakar, Seung Woo Son, Christina M. Patrick, Sri Hari Krishna Narayanan, Mahmut T. Kandemir:
Securing Disk-Resident Data through Application Level Encryption. IEEE Security in Storage Workshop 2007: 46-57 - [c292]Feihui Li, Guilin Chen, Mahmut T. Kandemir, Ozcan Ozturk, Mustafa Karaköy, Rajaraman Ramanarayanan, Balaji Vaidyanathan:
A Process Scheduler-Based Approach to NoC Power Management. VLSI Design 2007: 77-82 - [c291]Taylan Yemliha, Guangyu Chen, Ozcan Ozturk, Mahmut T. Kandemir, Vijay Degalahal:
Compiler-Directed Code Restructuring for Operating with Compressed Arrays. VLSI Design 2007: 221-226 - [c290]Mahmut T. Kandemir, Ozcan Ozturk, Vijay Degalahal:
Enhancing Locality in Two-Dimensional Space through Integrated Computation and Data Mappings. VLSI Design 2007: 227-232 - [c289]Liping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk, Rajaraman Ramanarayanan, Balaji Vaidyanathan:
Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. VLSI Design 2007: 251-258 - [i5]Mahmut T. Kandemir, Guilin Chen:
Locality-Aware Process Scheduling for Embedded MPSoCs. CoRR abs/0710.4652 (2007) - [i4]Wei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Thermal-Aware Task Allocation and Scheduling for Embedded Systems. CoRR abs/0710.4660 (2007) - [i3]Suleyman Tosun, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie:
Reliability-Centric High-Level Synthesis. CoRR abs/0710.4684 (2007) - [i2]Ozcan Ozturk, Hendra Saputra, Mahmut T. Kandemir, Ibrahim Kolcu:
Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems. CoRR abs/0710.4799 (2007) - [i1]G. Chen, Mahmut T. Kandemir, Mustafa Karaköy:
A Constraint Network Based Approach to Memory Layout Optimization. CoRR abs/0710.4807 (2007) - 2006
- [j68]Murali Vilayannur, Anand Sivasubramaniam, Mahmut T. Kandemir, Rajeev Thakur
, Robert B. Ross:
Discretionary Caching for I/O on Clusters. Clust. Comput. 9(1): 29-44 (2006) - [j67]Matthew Pirretti, Sencun Zhu, Narayanan Vijaykrishnan, Patrick D. McDaniel, Mahmut T. Kandemir, Richard R. Brooks
:
The Sleep Deprivation Attack in Sensor Networks: Analysis and Methods of Defense. Int. J. Distributed Sens. Networks 2(3): 267-287 (2006) - [j66]Chun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir:
Optimizing bus energy consumption of on-chip multiprocessors using frequent values. J. Syst. Archit. 52(2): 129-142 (2006) - [j65]Victor De La Luz, Mahmut T. Kandemir, Ibrahim Kolcu:
Reducing memory energy consumption of embedded applications that process dynamically allocated data. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(9): 1855-1860 (2006) - [j64]Wei Zhang, Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Reducing dynamic and leakage energy in VLIW architectures. ACM Trans. Embed. Comput. Syst. 5(1): 1-28 (2006) - [j63]Guilin Chen, Mahmut T. Kandemir, Mary Jane Irwin, J. Ramanujam
:
Reducing code size through address register assignment. ACM Trans. Embed. Comput. Syst. 5(1): 225-258 (2006) - [j62]Mahmut T. Kandemir, J. Ramanujam
, Ugur Sezer:
Improving the energy behavior of block buffering using compiler optimizations. ACM Trans. Design Autom. Electr. Syst. 11(1): 228-250 (2006) - [j61]Mahmut T. Kandemir:
Reducing energy consumption of multiprocessor SoC architectures by exploiting memory bank locality. ACM Trans. Design Autom. Electr. Syst. 11(2): 410-441 (2006) - [j60]Gokhan Memik, Mahmut T. Kandemir, Wei-keng Liao
, Alok N. Choudhary:
Multicollective I/O: A technique for exploiting inter-file access patterns. ACM Trans. Storage 2(3): 349-369 (2006) - [j59]J. Ramanujam
, Jinpyo Hong, Mahmut T. Kandemir, Amit Narayan, Ankush Agarwal:
Estimating and reducing the memory requirements of signal processing codes for embedded systems. IEEE Trans. Signal Process. 54(1): 286-294 (2006) - [c288]Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Richard R. Brooks
, Ibrahim Kolcu:
Secure Execution of Computations in Untrusted Hosts. Ada-Europe 2006: 106-118 - [c287]Seung Woo Son, Guangyu Chen, Mahmut T. Kandemir, Feihui Li:
Energy savings through embedded processing on disk system. ASP-DAC 2006: 128-133 - [c286]Guilin Chen, Mahmut T. Kandemir, Feihui Li:
Energy-aware computation duplication for improving reliability in embedded chip multiprocessors. ASP-DAC 2006: 134-139 - [c285]Guilin Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
Object duplication for improving reliability. ASP-DAC 2006: 140-145 - [c284]Ismail Kadayif, Mahmut T. Kandemir, Feihui Li:
Prefetching-aware cache line turnoff for saving leakage energy. ASP-DAC 2006: 182-187 - [c283]Ozcan Ozturk, Feng Wang, Mahmut T. Kandemir, Yuan Xie:
Optimal topology exploration for application-specific 3D architectures. ASP-DAC 2006: 390-395 - [c282]Mahmut T. Kandemir, Guangyu Chen, Feihui Li:
Maximizing data reuse for minimizing memory space requirements and execution cycles. ASP-DAC 2006: 808-813 - [c281]Ozcan Ozturk, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu:
Compiler-Guided data compression for reducing memory consumption of embedded applications. ASP-DAC 2006: 814-819 - [c280]Priya Unnikrishnan, Mahmut T. Kandemir, Feihui Li:
Reducing dynamic compilation overhead by overlapping compilation and execution. ASP-DAC 2006: 929-934 - [c279]Suleyman Tosun, Mahmut T. Kandemir, Hakduran Koc:
Using Task Recomputation During Application Mapping in Parallel Embedded Architectures. CDES 2006: 29-35 - [c278]Seung Woo Son, Mahmut T. Kandemir:
Energy-aware data prefetching for multi-speed disks. Conf. Computing Frontiers 2006: 105-114 - [c277]Ozcan Ozturk, Guangyu Chen, Mahmut T. Kandemir:
Multi-compilation: capturing interactions among concurrently-executing applications. Conf. Computing Frontiers 2006: 157-170 - [c276]Seung Woo Son, Guangyu Chen, Mahmut T. Kandemir:
A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality. CGO 2006: 256-268 - [c275]Ozcan Ozturk, Guilin Chen, Mahmut T. Kandemir:
Optimizing code parallelization through a constraint network based approach. DAC 2006: 863-688 - [c274]Liping Xue, Ozcan Ozturk, Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu:
Dynamic partitioning of processing and memory resources in embedded MPSoC architectures. DATE 2006: 690-695 - [c273]Mahmut T. Kandemir, Guangyu Chen, Feihui Li, Mary Jane Irwin, Ibrahim Kolcu:
Activity clustering for leakage management in SPMs. DATE 2006: 696-697 - [c272]Guilin Chen, Ozcan Ozturk, Mahmut T. Kandemir, Mustafa Karaköy:
Dynamic scratch-pad memory management for irregular array access patterns. DATE 2006: 931-936 - [c271]Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu:
Memory-Conscious Reliable Execution on Embedded Chip Multiprocessors. DSN 2006: 13-22 - [c270]Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arif Rahman:
Switch Box Architectures for Three-Dimensional FPGAs. FCCM 2006: 335-336 - [c269]Ozcan Ozturk, Mahmut T. Kandemir, Suleyman Tosun:
An ILP based approach to address code generation for digital signal processors. ACM Great Lakes Symposium on VLSI 2006: 37-42 - [c268]Ozcan Ozturk, Mahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy:
Selective code/data migration for reducing communication energy in embedded MpSoC architectures. ACM Great Lakes Symposium on VLSI 2006: 386-391 - [c267]Ozcan Ozturk, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy:
Cache miss clustering for banked memory systems. ICCAD 2006: 244-250 - [c266]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin, Suleyman Tosun:
Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors. ICPADS (1) 2006: 383-390 - [c265]Liping Xue, Mahmut T. Kandemir, Guangyu Chen, Taylan Yemliha:
SPM Conscious Loop Scheduling for Embedded Chip Multiprocessors. ICPADS (1) 2006: 391-400 - [c264]Chun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin:
Enhancing L2 organization for CMPs with a center cell. IPDPS 2006 - [c263]Seung Woo Son, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan:
Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse matrix applications. IPDPS 2006 - [c262]Feihui Li, Chrysostomos Nicopoulos
, Thomas D. Richardson, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir:
Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. ISCA 2006: 130-141 - [c261]Suleyman Tosun, Nazanin Mansouri, Mahmut T. Kandemir, Ozcan Ozturk:
An ILP Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors. ISCIS 2006: 267-276 - [c260]Mahmut T. Kandemir, Seung Woo Son:
Reducing power through compiler-directed barrier synchronization elimination. ISLPED 2006: 354-357 - [c259]Hakduran Koc, Ozcan Ozturk, Mahmut T. Kandemir, Sri Hari Krishna Narayanan, Ehat Ercanli:
Minimizing energy consumption of banked memories using data recomputation. ISLPED 2006: 358-362 - [c258]Ozcan Ozturk, Mahmut T. Kandemir:
Data Replication in Banked DRAMs for Reducing Energy Consumption. ISQED 2006: 551-556 - [c257]Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk:
Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs. ISQED 2006: 570-575 - [c256]Ozcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu:
Shared Scratch-Pad Memory Space Management. ISQED 2006: 576-584 - [c255]Ozcan Ozturk, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy:
An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors. ISVLSI 2006: 50-58 - [c254]Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu:
Exploiting Software Pipelining for Network-on-Chip architectures. ISVLSI 2006: 295-302 - [c253]Guangyu Chen, Feihui Li, Ozcan Ozturk, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu:
Leakage-Aware SPM Management. ISVLSI 2006: 393-398 - [c252]Hakduran Koc, Suleyman Tosun, Ozcan Ozturk, Mahmut T. Kandemir:
Reducing Memory Requirements through Task Recomputation in Embedded Multi-CPU Systems. ISVLSI 2006: 448-449 - [c251]Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk, I. Demirkiran:
Compiler-Directed Management of Leakage Power in Software-Managed Memories. ISVLSI 2006: 450-451 - [c250]Madhu Mutyam
, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Compiler-directed thermal management for VLIW functional units. LCTES 2006: 163-172 - [c249]Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin:
Reducing NoC energy consumption through compiler-directed channel voltage scaling. PLDI 2006: 193-203 - [c248]Guangyu Chen, Feihui Li, Mahmut T. Kandemir:
Compiler-directed channel allocation for saving power in on-chip networks. POPL 2006: 194-205 - [c247]Guilin Chen, Ozcan Ozturk, Guangyu Chen, Mahmut T. Kandemir:
Energy-Aware Code Replication for Improving Reliability in Embedded Chip Multiprocessors. SoCC 2006: 77-78 - [c246]G. Chen, Liping Xue, Jungsub Kim, Kanwaldeep Sobti, Lanping Deng, Xiaobai Sun, Nikos Pitsianis
, Chaitali Chakrabarti, Mahmut T. Kandemir, Narayanan Vijaykrishnan:
Geometric Tiling for Reducing Power Consumption in Structured Matrix Operations. SoCC 2006: 113-114 - [c245]Guangyu Chen, Mahmut T. Kandemir, Mustafa Karaköy:
Compiler Support for Voltage Islands. SoCC 2006: 189-192 - 2005
- [j58]Eric J. Swankoski, Narayanan Vijaykrishnan, Richard R. Brooks, Mahmut T. Kandemir, Mary Jane Irwin:
Symmetric encryption in reconfigurable and custom hardware. Int. J. Embed. Syst. 1(3/4): 205-217 (2005) - [j57]Emanuele Lattanzi
, Aman Gayasen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Luca Benini, Alessandro Bogliolo:
Improving Java performance using dynamic method migration on FPGAs. Int. J. Embed. Syst. 1(3/4): 228-236 (2005) - [j56]Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
An integer linear programming-based tool for wireless sensor networks. J. Parallel Distributed Comput. 65(3): 247-260 (2005) - [j55]Steve C. Chiu, Wei-keng Liao
, Alok N. Choudhary, Mahmut T. Kandemir:
Processor-embedded distributed smart disks for I/O-intensive workloads: architectures, performance models and evaluation. J. Parallel Distributed Comput. 65(4): 532-551 (2005) - [j54]Mahmut T. Kandemir:
Improving whole-program locality using intra-procedural and inter-procedural transformations, . J. Parallel Distributed Comput. 65(5): 564-582 (2005) - [j53]Eun Jung Kim, Greg M. Link, Ki Hwan Yum, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Chita R. Das:
A Holistic Approach to Designing Energy-Efficient Cluster Interconnects. IEEE Trans. Computers 54(6): 660-671 (2005) - [j52]Ismail Kadayif, Mahmut T. Kandemir:
Data space-oriented tiling for enhancing locality. ACM Trans. Embed. Comput. Syst. 4(2): 388-414 (2005) - [j51]Wei Zhang, Mahmut T. Kandemir, Mustafa Karaköy, Guangyu Chen:
Reducing data cache leakage energy using a compiler-based approach. ACM Trans. Embed. Comput. Syst. 4(3): 652-678 (2005) - [j50]Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam:
Compiler-directed high-level energy estimation and optimization. ACM Trans. Embed. Comput. Syst. 4(4): 819-850 (2005) - [j49]Jie S. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
Analyzing data reuse for cache reconfiguration. ACM Trans. Embed. Comput. Syst. 4(4): 851-876 (2005) - [j48]Ismail Kadayif, Anand Sivasubramaniam, Mahmut T. Kandemir, Gokul B. Kandiraju, Guangyu Chen:
Optimizing instruction TLB energy using software and hardware techniques. ACM Trans. Design Autom. Electr. Syst. 10(2): 229-257 (2005) - [j47]Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen, Ozcan Ozturk, Mustafa Karaköy, Ugur Sezer:
Optimizing Array-Intensive Applications for On-Chip Multiprocessors. IEEE Trans. Parallel Distributed Syst. 16(5): 396-411 (2005) - [j46]Mahmut T. Kandemir, Mary Jane Irwin, Guangyu Chen, Ibrahim Kolcu:
Compiler-guided leakage optimization for banked scratch-pad memories. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1136-1146 (2005) - [j45]Vijay Degalahal, Lin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Soft errors issues in low-power caches. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1157-1166 (2005) - [c244]G. Chen, Mahmut T. Kandemir:
Optimizing embedded applications using programmer-inserted hints. ASP-DAC 2005: 157-160 - [c243]Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen:
Studying interactions between prefetching and cache line turnoff. ASP-DAC 2005: 545-548 - [c242]John Conner, Yuan Xie, Mahmut T. Kandemir, Robert P. Dick, Greg M. Link:
FD-HGAC: a hybrid heuristic/genetic algorithm hardware/software co-synthesis framework with fault detection. ASP-DAC 2005: 709-712 - [c241]G. Chen, Mahmut T. Kandemir, Mary Jane Irwin, Gokhan Memik:
Compiler-directed selective data protection against soft errors. ASP-DAC 2005: 713-716 - [c240]Ozcan Ozturk, Mahmut T. Kandemir, G. Chen, Mary Jane Irwin, Mustafa Karaköy:
Customized on-chip memories for embedded chip multiprocessors. ASP-DAC 2005: 743-748 - [c239]Mahmut T. Kandemir, Guangyu Chen, Feihui Li, I. Demirkiran:
Using data replication to reduce communication energy on chip multiprocessors. ASP-DAC 2005: 769-772 - [c238]Guangyu Chen, Feihui Li, Mahmut T. Kandemir, I. Demirkiran:
Increasing FPGA resilience against soft errors using task duplication. ASP-DAC 2005: 924-927 - [c237]Sri Hari Krishna Narayanan, Seung Woo Son, Mahmut T. Kandemir, Feihui Li:
Using loop invariants to fight soft errors in data caches. ASP-DAC 2005: 1317-1320 - [c236]Guangyu Chen, Mahmut T. Kandemir:
Verifiable annotations for embedded java environments. CASES 2005: 105-114 - [c235]Feihui Li, Guangyu Chen, Mahmut T. Kandemir, Mary Jane Irwin:
Compiler-directed proactive power management for networks. CASES 2005: 137-146 - [c234]Feihui Li, Guilin Chen, Mahmut T. Kandemir, Richard R. Brooks:
A Compiler-Based Approach to Data Security. CC 2005: 188-203 - [c233]Guilin Chen, Mahmut T. Kandemir:
Optimizing Address Code Generation for Array-Intensive DSP Applications. CGO 2005: 141-152 - [c232]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin:
Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression. CODES+ISSS 2005: 87-92 - [c231]Feihui Li, Mahmut T. Kandemir:
Locality-conscious workload assignment for array-based computations in MPSOC architectures. DAC 2005: 95-100 - [c230]Guangyu Chen, Mahmut T. Kandemir:
Improving java virtual machine reliability for memory-constrained embedded systems. DAC 2005: 690-695 - [c229]Gokhan Memik, Mahmut T. Kandemir, Ozcan Ozturk:
Increasing Register File Immunity to Transient Errors. DATE 2005: 586-591 - [c228]Ozcan Ozturk, Mahmut T. Kandemir:
Nonuniform Banking for Reducing Memory Energy Consumption. DATE 2005: 814-819 - [c227]Mahmut T. Kandemir, Guilin Chen:
Locality-Aware Process Scheduling for Embedded MPSoCs. DATE 2005: 870-875 - [c226]Ozcan Ozturk, Hendra Saputra, Mahmut T. Kandemir, Ibrahim Kolcu:
Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems. DATE 2005: 882-887 - [c225]Wei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin:
Thermal-Aware Task Allocation and Scheduling for Embedded Systems. DATE 2005: 898-899 - [c224]Mahmut T. Kandemir, Feihui Li, Guilin Chen, Guangyu Chen, Ozcan Ozturk:
Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing. DATE 2005: 1026-1031 - [c223]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin:
BB-GC: Basic-Block Level Garbage Collection. DATE 2005: 1032-1037 - [c222]Jie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin:
Compiler-Directed Instruction Duplication for Soft Error Detection. DATE 2005: 1056-1057 - [c221]Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy:
A Constraint Network Based Approach to Memory Layout Optimization. DATE 2005: 1156-1161 - [c220]Suleyman Tosun, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie:
Reliability-Centric High-Level Synthesis. DATE 2005: 1258-1263 - [c219]Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy:
A Data-Centric Approach to Checksum Reuse for Array-Intensive Applications. DSN 2005: 316-325 - [c218]Feihui Li, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy:
Exploiting last idle periods of links for network power management. EMSOFT 2005: 134-137 - [c217]Guilin Chen, Mahmut T. Kandemir:
Optimizing inter-processor data locality on embedded chip multiprocessors. EMSOFT 2005: 227-236 - [c216]Ozcan Ozturk, Mahmut T. Kandemir:
Integer linear programming based energy optimization for banked DRAMs. ACM Great Lakes Symposium on VLSI 2005: 92-95 - [c215]Ozcan Ozturk, Mahmut T. Kandemir:
Energy management in software-controlled multi-level memory hierarchies. ACM Great Lakes Symposium on VLSI 2005: 270-275 - [c214]Gokhan Memik, Mahmut T. Kandemir, Arindam Mallik:
Load elimination for low-power embedded processors. ACM Great Lakes Symposium on VLSI 2005: 282-285 - [c213]Ozcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin:
Using data compression in an MPSoC architecture for improving performance. ACM Great Lakes Symposium on VLSI 2005: 353-356 - [c212]Guilin Chen, Mahmut T. Kandemir:
Code restructuring for improving cache performance of MPSoCs. ICCAD 2005: 271-274 - [c211]Mahmut T. Kandemir:
2D data locality: definition, abstraction, and application. ICCAD 2005: 275-278 - [c210]Guilin Chen, Ozcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu:
Integrating loop and data optimizations for locality within a constraint network based framework. ICCAD 2005: 279-282 - [c209]Guilin Chen, Mahmut T. Kandemir:
Runtime integrity checking for inter-object connections. ICCAD 2005: 303-306 - [c208]Feihui Li, Guilin Chen, Mahmut T. Kandemir:
Compiler-directed voltage scaling on communication links for reducing power consumption. ICCAD 2005: 456-460 - [c207]Feihui Li, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu:
Improving scratch-pad memory reliability through compiler-guided data block duplication. ICCAD 2005: 1002-1005 - [c206]Sri Hari Krishna Narayanan, Guilin Chen, Mahmut T. Kandemir, Yuan Xie:
Temperature-Sensitive Loop Parallelization for Chip Multiprocessors. ICCD 2005: 677-682 - [c205]Seung Woo Son, Guangyu Chen, Mahmut T. Kandemir:
Disk layout optimization for reducing energy consumption. ICS 2005: 274-283 - [c204]Guilin Chen, Mahmut T. Kandemir, Suleyman Tosun, Ugur Sezer:
Reliability-Conscious Process Scheduling under Performance Constraints in FPGA-Based Embedded Systems. IPDPS 2005 - [c203]Guangyu Chen, Konrad Malkowski, Mahmut T. Kandemir, Padma Raghavan:
Reducing Power with Performance Constraints for Parallel Sparse Applications. IPDPS 2005 - [c202]Kenin Coloma, Alok N. Choudhary, Avery Ching, Wei-keng Liao, Seung Woo Son, Mahmut T. Kandemir, Lee Ward:
Power and Performance in I/O for Scientific Applications. IPDPS 2005 - [c201]Chun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin:
Exploiting Barriers to Optimize Power Consumption of CMPs. IPDPS 2005 - [c200]Seung Woo Son, Mahmut T. Kandemir, Alok N. Choudhary:
Software-Directed Disk Power Management for Scientific Applications. IPDPS 2005 - [c199]