Stop the war!
Остановите войну!
for scientists:
default search action
Alex K. Jones
Person information
- affiliation: University of Pittsburgh, Pennsylvania, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2024
- [j59]Asif Ali Khan, Fazal Hameed, Taha Shahroodi, Alex K. Jones, Jerónimo Castrillón:
Efficient Memory Layout for Pre-Alignment Filtering of Long DNA Reads Using Racetrack Memory. IEEE Comput. Archit. Lett. 23(1): 129-132 (2024) - [c100]Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou:
SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration. FPGA 2024: 55-66 - [c99]Evan McKinney, Michael Hatridge, Alex K. Jones:
MIRAGE: Quantum Circuit Decomposition and Routing Collaborative Design Using Mirror Gates. HPCA 2024: 704-718 - [i22]Shixin Ji, Zhuoping Yang, Stephen Cahoon, Alex K. Jones, Peipei Zhou:
Towards Carbon Modeling of Cloud Servers with Accelerators. CoRR abs/2401.06270 (2024) - [i21]Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou:
SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration. CoRR abs/2401.10417 (2024) - [i20]Sheng Li, Geng Yuan, Yawen Wu, Yue Dai, Chao Wu, Alex K. Jones, Jingtong Hu, Yanzhi Wang, Xulong Tang:
EdgeOL: Efficient in-situ Online Learning on Edge Devices. CoRR abs/2401.16694 (2024) - [i19]Shixin Ji, Zhuoping Yang, Xingzhen Chen, Jingtong Hu, Yiyu Shi, Alex K. Jones, Peipei Zhou:
Towards Data-center Level Carbon Modeling and Optimization for Deep Learning Inference. CoRR abs/2403.04976 (2024) - [i18]Preston Brazzle, Benjamin F. Morris III, Evan McKinney, Peipei Zhou, Jingtong Hu, Asif Ali Khan, Alex K. Jones:
Towards Error Correction for Computing in Racetrack Memory. CoRR abs/2407.21661 (2024) - 2023
- [j58]Sébastien Ollivier, Sheng Li, Yue Tang, Stephen Cahoon, Ryan Caginalp, Chayanika Chaudhuri, Peipei Zhou, Xulong Tang, Jingtong Hu, Alex K. Jones:
Sustainable AI Processing at the Edge. IEEE Micro 43(1): 19-28 (2023) - [j57]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
Toward Comprehensive Shifting Fault Tolerance for Domain-Wall Memories With PIETT. IEEE Trans. Computers 72(4): 1095-1109 (2023) - [j56]Asif Ali Khan, Sébastien Ollivier, Fazal Hameed, Jerónimo Castrillón, Alex K. Jones:
DownShift: Tuning Shift Reduction With Reliability for Racetrack Memories. IEEE Trans. Computers 72(9): 2585-2599 (2023) - [c98]Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Yubo Du, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex K. Jones, Jingtong Hu, Deming Chen, Jason Cong, Peipei Zhou:
CHARM: Composing Heterogeneous AcceleRators for Matrix Multiply on Versal ACAP Architecture. FPGA 2023: 153-164 - [c97]Evan McKinney, Mingkang Xia, Chao Zhou, Pinlei Lu, Michael Hatridge, Alex K. Jones:
Co-Designed Architectures for Modular Superconducting Quantum Computers. HPCA 2023: 759-772 - [c96]Jiangwei Zhang, Chong Wang, Zhenhua Zhu, Donald Kline, Alex K. Jones, Huazhong Yang, Yu Wang:
Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance. HPCA 2023: 964-976 - [c95]Zhuoping Yang, Jinming Zhuang, Jiaqi Yin, Cunxi Yu, Alex K. Jones, Peipei Zhou:
AIM: Accelerating Arbitrary-Precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP. ICCAD 2023: 1-9 - [c94]Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex K. Jones:
REFRESH FPGAs: Sustainable FPGA Chiplet Architectures. IGSC 2023: 1-3 - [c93]Evan McKinney, Chao Zhou, Mingkang Xia, Michael Hatridge, Alex K. Jones:
Parallel Driving for Fast Quantum Computing Under Speed Limits. ISCA 2023: 40:1-40:13 - [i17]Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Yubo Du, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex K. Jones, Jingtong Hu, Deming Chen, Jason Cong, Peipei Zhou:
CHARM: Composing Heterogeneous Accelerators for Matrix Multiply on Versal ACAP Architecture. CoRR abs/2301.02359 (2023) - [i16]Zhuoping Yang, Jinming Zhuang, Jiaqi Yin, Cunxi Yu, Alex K. Jones, Peipei Zhou:
AIM: Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP. CoRR abs/2309.12275 (2023) - [i15]Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex K. Jones:
REFRESH FPGAs: Sustainable FPGA Chiplet Architectures. CoRR abs/2312.02991 (2023) - 2022
- [j55]Fazal Hameed, Asif Ali Khan, Sébastien Ollivier, Alex K. Jones, Jerónimo Castrillón:
DNA Pre-Alignment Filter Using Processing Near Racetrack Memory. IEEE Comput. Archit. Lett. 21(2): 53-56 (2022) - [j54]Sébastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Choudhuri, Jingtong Hu, Alex K. Jones:
Pod-racing: bulk-bitwise to floating-point compute in racetrack memory for machine learning at the edge. IEEE Micro 42(6): 9-16 (2022) - [j53]Kawsher A. Roxy, Stephen Longofono, Sébastien Ollivier, Sanjukta Bhanja, Alex K. Jones:
Pinning Fault Mode Modeling for DWM Shifting. IEEE Trans. Circuits Syst. II Express Briefs 69(7): 3319-3323 (2022) - [j52]Asif Ali Khan, Sébastien Ollivier, Stephen Longofono, Gerald Hempel, Jerónimo Castrillón, Alex K. Jones:
Brain-inspired Cognition in Next-generation Racetrack Memories. ACM Trans. Embed. Comput. Syst. 21(6): 79:1-79:28 (2022) - [c92]Xinyi Zhang, Cong Hao, Peipei Zhou, Alex K. Jones, Jingtong Hu:
H2H: heterogeneous model to heterogeneous system mapping with computation and communication awareness. DAC 2022: 601-606 - [c91]Stephen Longofono, Seyed Mohammad Seyedzadeh, Alex K. Jones:
Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells. HPCA 2022: 1128-1140 - [c90]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
CORUSCANT: Fast Efficient Processing-in-Racetrack Memories. MICRO 2022: 784-798 - [i14]Kawsher A. Roxy, Stephen Longofono, Sébastien Ollivier, Sanjukta Bhanja, Alex K. Jones:
Pinning Fault Mode Modeling for DWM Shifting. CoRR abs/2203.08303 (2022) - [i13]Sébastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Choudhuri, Jingtong Hu, Alex K. Jones:
FPIRM: Floating-point Processing in Racetrack Memories. CoRR abs/2204.13788 (2022) - [i12]Xinyi Zhang, Cong Hao, Peipei Zhou, Alex K. Jones, Jingtong Hu:
H2H: Heterogeneous Model to Heterogeneous System Mapping with Computation and Communication Awareness. CoRR abs/2204.13852 (2022) - [i11]Fazal Hameed, Asif Ali Khan, Sébastien Ollivier, Alex K. Jones, Jerónimo Castrillón:
DNA Pre-alignment Filter using Processing Near Racetrack Memory. CoRR abs/2205.02046 (2022) - [i10]Prayash Dutta, Albert Lee, Kang L. Wang, Alex K. Jones, Sanjukta Bhanja:
A Multi-domain Magneto Tunnel Junction for Racetrack Nanowire Strips. CoRR abs/2205.12494 (2022) - [i9]Sébastien Ollivier, Sheng Li, Yue Tang, Chayanika Chaudhuri, Peipei Zhou, Xulong Tang, Jingtong Hu, Alex K. Jones:
Sustainable AI Processing at the Edge. CoRR abs/2207.01209 (2022) - 2021
- [j51]Stephen Longofono, Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
A CASTLE With TOWERs for Reliable, Secure Phase-Change Memory. IEEE Trans. Computers 70(9): 1311-1324 (2021) - [c89]Alex K. Jones, Stephen Longofono, Sébastien Ollivier, Donald Kline Jr., Jiangwei Zhang, Rami G. Melhem:
Tuning Memory Fault Tolerance on the Edge. ACM Great Lakes Symposium on VLSI 2021: 421-424 - [i8]Sébastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones:
PIRM: Processing In Racetrack Memories. CoRR abs/2108.01202 (2021) - [i7]Asif Ali Khan, Sébastien Ollivier, Stephen Longofono, Gerald Hempel, Jerónimo Castrillón, Alex K. Jones:
Brain-inspired Cognition in Next Generation Racetrack Memories. CoRR abs/2111.02246 (2021) - [i6]Stephen Longofono, Seyed Mohammad Seyedzadeh, Alex K. Jones:
Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells. CoRR abs/2112.01658 (2021) - [i5]Arifa Hoque, Alex K. Jones, Sanjukta Bhanja:
XDWM: A 2D Domain Wall Memory. CoRR abs/2112.12692 (2021) - 2020
- [j50]Seyed Mohammad Seyedzadeh, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Sustainable disturbance crosstalk mitigation in deeply scaled phase-change memory. Sustain. Comput. Informatics Syst. 28: 100410 (2020) - [c88]Donald Kline Jr., Jiangwei Zhang, Rami G. Melhem, Alex K. Jones:
FLOWER and FaME: A Low Overhead Bit-Level Fault-map and Fault-Tolerance Approach for Deeply Scaled Memories. HPCA 2020: 356-368 - [i4]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, Igor L. Markov:
Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond. CoRR abs/2005.01588 (2020)
2010 – 2019
- 2019
- [j49]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Yielding optimized dependability assurance through bit inversion. Integr. 64: 105-113 (2019) - [j48]Donald Kline Jr., Nikolas Parshook, Xiaoyu Ge, Erik Brunvand, Rami G. Melhem, Panos K. Chrysanthis, Alex K. Jones:
GreenChip: A tool for evaluating holistic sustainability of modern computing systems. Sustain. Comput. Informatics Syst. 22: 322-332 (2019) - [c87]Donald Kline Jr., Stephen Longofono, Rami G. Melhem, Alex K. Jones:
Predicting Single Event Effects in DRAM. DFT 2019: 1-6 - [c86]Sébastien Ollivier, Donald Kline Jr., Kawsher A. Roxy, Rami G. Melhem, Sanjukta Bhanja, Alex K. Jones:
Leveraging Transverse Reads to Correct Alignment Faults in Domain Wall Memories. DSN 2019: 375-387 - [c85]Stephen Longofono, Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Toward Secure, Reliable, and Energy Efficient Phase-change Main Memory with MACE. IGSC 2019: 1-8 - [c84]Sébastien Ollivier, Donald Kline Jr., Kawsher A. Roxy, Rami G. Melhem, Sanjukta Bhanja, Alex K. Jones:
The Power of Orthogonality. ISVLSI 2019: 100-102 - [c83]Donald Kline Jr., Stephen Longofono, Sébastien Ollivier, Erin Higgins, Rami G. Melhem, Alex K. Jones:
PREMSim: A Resilience Framework for Modeling Traditional and Emerging Memory Reliability. MASCOTS 2019: 396-409 - 2018
- [j47]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
RETROFIT: Fault-Aware Wear Leveling. IEEE Comput. Archit. Lett. 17(2): 167-170 (2018) - [j46]Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Counter Advance for Reliable Encryption in Phase Change Memory. IEEE Comput. Archit. Lett. 17(2): 209-212 (2018) - [j45]Donald Kline Jr., Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Racetrack Queues for Extremely Low-Energy FIFOs. IEEE Trans. Very Large Scale Integr. Syst. 26(8): 1531-1544 (2018) - [j44]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Data Block Partitioning Methods to Mitigate Stuck-At Faults in Limited Endurance Memories. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2358-2371 (2018) - [c82]Erik Brunvand, Donald Kline Jr., Alex K. Jones:
Dark Silicon Considered Harmful: A Case for Truly Green Computing. IGSC 2018: 1-8 - [c81]Donald Kline Jr., Alex K. Jones:
Achieving Secure, Reliable, and Sustainable Next Generation Computing Memories. IGSC 2018: 1-2 - [c80]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Improving Sustainability Through Disturbance Crosstalk Mitigation in Deeply Scaled Phase-change Memory. IGSC 2018: 1-8 - [c79]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM. HPCA 2018: 350-361 - [c78]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Mitigating Wordline Crosstalk Using Adaptive Trees of Counters. ISCA 2018: 612-623 - [i3]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Mitigating Wordline Crosstalk using Adaptive Trees of Counters. CoRR abs/1806.02498 (2018) - 2017
- [j43]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Counter-Based Tree Structure for Row Hammering Mitigation in DRAM. IEEE Comput. Archit. Lett. 16(1): 18-21 (2017) - [c77]Alex K. Jones:
Green Computing: New Challenges and Opportunities. ACM Great Lakes Symposium on VLSI 2017: 3 - [c76]Alex K. Jones, Rami G. Melhem, Donald Kline Jr.:
Holistic energy efficient crosstalk mitigation in DRAM. IGSC 2017: 1-6 - [c75]Donald Kline Jr., Rami G. Melhem, Alex K. Jones:
Sustainable fault management and error correction for next-generation main memories. IGSC 2017: 1-6 - [c74]Donald Kline Jr., Nikolas Parshook, Alex Johnson, James E. Stine, William E. Stanchina, Erik Brunvand, Alex K. Jones:
Sustainable IC design and fabrication. IGSC 2017: 1-8 - [c73]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Dynamic partitioning to mitigate stuck-at faults in emerging memories. ICCAD 2017: 651-658 - [c72]Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones:
Yoda: Judge Me by My Size, Do You? ICCD 2017: 395-398 - [c71]Seyed Mohammad Seyedzadeh, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Mitigating bitline crosstalk noise in DRAM memories. MEMSYS 2017: 205-216 - [i2]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
A Variable Length Coding Framework for Cost Function Reduction in Non-Volatile Memory Systems. CoRR abs/1710.08940 (2017) - [i1]Seyed Mohammad Seyedzadeh, Alex K. Jones, Rami G. Melhem:
Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM. CoRR abs/1711.08572 (2017) - 2016
- [j42]Haifeng Xu, William O. Collinge, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec, Alex K. Jones:
Towards a commodity solution for the internet of things. Comput. Electr. Eng. 52: 138-156 (2016) - [j41]Seyed Mohammad Seyedzadeh, Rakan Maddah, Donald Kline Jr., Alex K. Jones, Rami G. Melhem:
Improving Bit Flip Reduction for Biased and Random Data. IEEE Trans. Computers 65(11): 3345-3356 (2016) - [j40]Haifeng Xu, Yousra Alkabani, Rami G. Melhem, Alex K. Jones:
FusedCache: A Naturally Inclusive, Racetrack Memory, Dual-Level Private Cache. IEEE Trans. Multi Scale Comput. Syst. 2(2): 69-82 (2016) - [j39]Michael Moeng, Alex K. Jones, Rami G. Melhem:
Weighted-Tuple: Fast and Accurate Synchronization for Parallel Architecture Simulators. IEEE Trans. Parallel Distributed Syst. 27(8): 2462-2474 (2016) - [j38]Michael Moeng, Haifeng Xu, Rami G. Melhem, Alex K. Jones:
ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access. IEEE Trans. Very Large Scale Integr. Syst. 24(1): 343-347 (2016) - [c70]Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex K. Jones, Rami G. Melhem:
Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM. DSN 2016: 215-226 - [c69]Ismail Bayram, Enes Eken, Donald Kline Jr., Nikolas Parshook, Yiran Chen, Alex K. Jones:
Modeling STT-RAM fabrication cost and impacts in NVSim. IGSC 2016: 1-8 - [c68]Donald Kline Jr., Nikolas Parshook, Xiaoyu Ge, Erik Brunvand, Rami G. Melhem, Panos K. Chrysanthis, Alex K. Jones:
Holistically evaluating the environmental impacts in modern computing systems. IGSC 2016: 1-8 - [c67]Yousra Alkabani, Zach Koopmans, Haifeng Xu, Alex K. Jones, Rami G. Melhem:
Write Pulse Scaling for Energy Efficient STT-MRAM. ISVLSI 2016: 248-253 - 2015
- [j37]Ervin Sejdic, Alexandre Millecamps, J. Teoli, Michael A. Rothfuss, Nicholas G. Franconi, Subashan Perera, Alex K. Jones, Jennifer S. Brach, Marlin H. Mickle:
Assessing interactions among multiple physiological systems during walking outside a laboratory: An Android based gait monitor. Comput. Methods Programs Biomed. 122(3): 450-461 (2015) - [j36]Bruce R. Childers, Alex K. Jones, Daniel Mossé:
A Roadmap and Plan of Action for Community-Supported Empirical Evaluation in Computer Architecture. ACM SIGOPS Oper. Syst. Rev. 49(1): 108-117 (2015) - [j35]R. Iris Bahar, Alex K. Jones, Yuan Xie:
Introduction to the Special Issue on Reliable, Resilient, and Robust Design of Circuits and Systems. ACM Trans. Design Autom. Electr. Syst. 20(4): 59:1-59:2 (2015) - [j34]Yaojun Zhang, Yong Li, Zhenyu Sun, Hai Li, Yiran Chen, Alex K. Jones:
Read Performance: The Newest Barrier in Scaled STT-RAM. IEEE Trans. Very Large Scale Integr. Syst. 23(6): 1170-1174 (2015) - [c66]Haifeng Xu, Yong Li, Rami G. Melhem, Alex K. Jones:
Multilane Racetrack caches: Improving efficiency through compression and independent shifting. ASP-DAC 2015: 417-422 - [c65]Donald Kline Jr., Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Domain-wall memory buffer for low-energy NoCs. DAC 2015: 11:1-11:6 - [c64]Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex K. Jones, Rami G. Melhem:
PRES: pseudo-random encoding scheme to increase the bit flip reduction in the memory. DAC 2015: 23:1-23:6 - [c63]Donald Kline Jr., Kai Wang, Rami G. Melhem, Alex K. Jones:
MSCS: Multi-hop Segmented Circuit Switching. ACM Great Lakes Symposium on VLSI 2015: 179-184 - [c62]Yong Li, Haifeng Xu, Rami G. Melhem, Alex K. Jones:
Space Oblivious Compression: Power Reduction for Non-Volatile Main Memories. ACM Great Lakes Symposium on VLSI 2015: 217-220 - [c61]Haifeng Xu, Yong Li, William O. Collinge, Laura A. Schaefer, Melissa M. Bilec, Alex K. Jones, Amy E. Landis:
Improving efficiency of wireless sensor networks through lightweight in-memory compression. IGSC 2015: 1-8 - [c60]Michael Moeng, Alex K. Jones, Rami G. Melhem:
Reciprocal abstraction for computer architecture co-simulation. ISPASS 2015: 268-277 - [c59]Haifeng Xu, Melissa M. Bilec, William O. Collinge, Laura A. Schaefer, Amy E. Landis, Alex K. Jones:
Lynx: a self-organizing wireless sensor network with commodity palmtop computers. SLIP 2015: 1-7 - [e4]Alex K. Jones, Hai (Helen) Li, Ayse K. Coskun, Martin Margala:
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20 - 22, 2015. ACM 2015, ISBN 978-1-4503-3474-7 [contents] - 2014
- [j33]Yong Li, Rami G. Melhem, Alex K. Jones:
A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors. IEEE Trans. Computers 63(12): 2905-2918 (2014) - [c58]Mengjie Mao, Guangyu Sun, Yong Li, Alex K. Jones, Yiran Chen:
Prefetching techniques for STT-RAM based last-level cache in CMP systems. ASP-DAC 2014: 67-72 - [c57]Xiaoxiao Liu, Yong Li, Yaojun Zhang, Alex K. Jones, Yiran Chen:
STD-TLB: A STT-RAM-based dynamically-configurable translation lookaside buffer for GPU architectures. ASP-DAC 2014: 355-360 - [c56]Alex K. Jones:
EDA for extreme scale systems: design abstractions, metrics, and benchmarks. ACM Great Lakes Symposium on VLSI 2014: 285-286 - [c55]Zhenyu Sun, Xiuyuan Bi, Alex K. Jones, Hai Li:
Design exploration of racetrack lower-level caches. ISLPED 2014: 263-266 - [c54]Michael Moeng, Rami G. Melhem, Alex K. Jones:
Weighted-Tuple Synchronization for Parallel Architecture Simulators. MASCOTS 2014: 275-284 - [e3]Joseph R. Cavallaro, Tong Zhang, Alex K. Jones, Hai (Helen) Li:
Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014. ACM 2014, ISBN 978-1-4503-2816-6 [contents] - [e2]Grigori Fursin, Bruce R. Childers, Alex K. Jones, Daniel Mossé:
Proceedings of the 1st ACM SIGPLAN Workshop on Reproducible Research Methodologies and New Publication Models in Computer Engineering, TRUST 2014, Edinburgh, United Kingdom, June 9-11, 2014. ACM 2014, ISBN 978-1-4503-2951-4 [contents] - 2013
- [j32]Gayatri Mehta, Alex K. Jones:
Implementation and validation of architectural space exploration techniques for domain-specific reconfigurable computing. Des. Autom. Embed. Syst. 17(1): 27-51 (2013) - [j31]Yong Li, Rami G. Melhem, Alex K. Jones:
PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs. ACM Trans. Archit. Code Optim. 9(4): 28:1-28:21 (2013) - [j30]Yong Li, Yaojun Zhang, Hai Li, Yiran Chen, Alex K. Jones:
C1C: A configurable, compiler-guided STT-RAM L1 cache. ACM Trans. Archit. Code Optim. 10(4): 52:1-52:22 (2013) - [j29]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
Ordering circuit establishment in multiplane NoCs. ACM Trans. Design Autom. Electr. Syst. 18(4): 49:1-49:33 (2013) - [c53]Ahmed Abousamra, Alex K. Jones, Rami G. Melhem:
Proactive circuit allocation in multiplane NoCs. DAC 2013: 35:1-35:10 - [c52]Mengjie Mao, Hai (Helen) Li, Alex K. Jones, Yiran Chen:
Coordinating prefetching and STT-RAM based last-level cache management for multicore systems. ACM Great Lakes Symposium on VLSI 2013: 55-60 - [c51]Alex K. Jones, Liang Liao, William O. Collinge, Haifeng Xu, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec:
Green computing: A life cycle perspective. IGCC 2013: 1-6 - [c50]Haifeng Xu, Melissa M. Bilec, Laura A. Schaefer, Amy E. Landis, Alex K. Jones:
Ocelot: A wireless sensor network and computing engine with commodity palmtop computers. IGCC 2013: 1-8 - [c49]Alex K. Jones, Yiran Chen, William O. Collinge, Haifeng Xu, Laura A. Schaefer, Amy E. Landis, Melissa M. Bilec:
Considering fabrication in sustainable computing. ICCAD 2013: 206-210 - [c48]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori,