Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Alexandru Nicolau
@article{DBLP:journals/tcad/XiaoCQWCNL24, author = {Zheng Xiao and Weijie Chen and Yunchuan Qin and Fan Wu and Anthony Theodore Chronopoulos and Alex Nicolau and Kenli Li}, title = {{NGLIC:} {A} Nonaligned-Row Legalization Approach for 3-D Interdie Connection}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {2}, pages = {404--416}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3317794}, doi = {10.1109/TCAD.2023.3317794}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/XiaoCQWCNL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-06898, author = {Mike Heddes and Narayan Srinivasa and Tony Givargis and Alexandru Nicolau}, title = {Always-Sparse Training by Growing Connections with Guided Stochastic Exploration}, journal = {CoRR}, volume = {abs/2401.06898}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.06898}, doi = {10.48550/ARXIV.2401.06898}, eprinttype = {arXiv}, eprint = {2401.06898}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-06898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15953, author = {Mike Heddes and Igor Nunes and Tony Givargis and Alex Nicolau}, title = {Convolution and Cross-Correlation of Count Sketches Enables Fast Cardinality Estimation of Multi-Join Queries}, journal = {CoRR}, volume = {abs/2402.15953}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15953}, doi = {10.48550/ARXIV.2402.15953}, eprinttype = {arXiv}, eprint = {2402.15953}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/HeddesNVKAGNV23, author = {Mike Heddes and Igor Nunes and Pere Verg{\'{e}}s and Denis Kleyko and Danny Abraham and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Torchhd: An Open Source Python Library to Support Research on Hyperdimensional Computing and Vector Symbolic Architectures}, journal = {J. Mach. Learn. Res.}, volume = {24}, pages = {255:1--255:10}, year = {2023}, url = {http://jmlr.org/papers/v24/23-0300.html}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HeddesNVKAGNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscloud/TrifanNV23, author = {Marc Titus Trifan and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Enhancing the Privacy of Machine Learning via faster arithmetic over Torus {FHE}}, booktitle = {10th {IEEE} International Conference on Cyber Security and Cloud Computing, CSCloud 2023 / {IEEE} 9th International Conference on Edge Computing and Scalable Cloud, EdgeCom 2023, Xiangtan, Hunan, China, July 1-3, 2023}, pages = {46--52}, year = {2023}, crossref = {DBLP:conf/cscloud/2023}, url = {https://doi.org/10.1109/CSCloud-EdgeCom58631.2023.00017}, doi = {10.1109/CSCLOUD-EDGECOM58631.2023.00017}, timestamp = {Tue, 15 Aug 2023 09:17:50 +0200}, biburl = {https://dblp.org/rec/conf/cscloud/TrifanNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NunesHGN23, author = {Igor Nunes and Mike Heddes and Tony Givargis and Alexandru Nicolau}, title = {An Extension to Basis-Hypervectors for Learning from Circular Data in Hyperdimensional Computing}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/dac/2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247736}, doi = {10.1109/DAC56929.2023.10247736}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/NunesHGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icrc/VergesGN23, author = {Pere Verg{\'{e}}s and Tony Givargis and Alexandru Nicolau}, title = {RefineHD: Accurate and Efficient Single-Pass Adaptive Learning Using Hyperdimensional Computing}, booktitle = {{IEEE} International Conference on Rebooting Computing, {ICRC} 2023, San Diego, CA, USA, December 5-6, 2023}, pages = {1--8}, year = {2023}, crossref = {DBLP:conf/icrc/2023}, url = {https://doi.org/10.1109/ICRC60800.2023.10386671}, doi = {10.1109/ICRC60800.2023.10386671}, timestamp = {Wed, 24 Jan 2024 08:30:05 +0100}, biburl = {https://dblp.org/rec/conf/icrc/VergesGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WatkinsonDJGNV23, author = {Neftali Watkinson and Divya Devineni and Victor Joe and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Using Hyperdimensional Computing to Extract Features for the Detection of Type 2 Diabetes}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {149--156}, year = {2023}, crossref = {DBLP:conf/ipps/2023w}, url = {https://doi.org/10.1109/IPDPSW59300.2023.00036}, doi = {10.1109/IPDPSW59300.2023.00036}, timestamp = {Wed, 09 Aug 2023 16:25:12 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WatkinsonDJGNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/NunesHVAVNG23, author = {Igor Nunes and Mike Heddes and Pere Verg{\'{e}}s and Danny Abraham and Alexander V. Veidenbaum and Alex Nicolau and Tony Givargis}, title = {DotHash: Estimating Set Similarity Metrics for Link Prediction and Document Deduplication}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {1758--1769}, year = {2023}, crossref = {DBLP:conf/kdd/2023}, url = {https://doi.org/10.1145/3580305.3599314}, doi = {10.1145/3580305.3599314}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/NunesHVAVNG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/VergesNHGN23, author = {Pere Verg{\'{e}}s and Igor Nunes and Mike Heddes and Tony Givargis and Alexandru Nicolau}, title = {Accelerating Permute and N-Gram Operations for Hyperdimensional Learning in Embedded Systems}, booktitle = {29th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {253--260}, year = {2023}, crossref = {DBLP:conf/rtcsa/2023}, url = {https://doi.org/10.1109/RTCSA58653.2023.00037}, doi = {10.1109/RTCSA58653.2023.00037}, timestamp = {Mon, 13 Nov 2023 16:37:42 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/VergesNHGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-12398, author = {Pere Verg{\'{e}}s and Mike Heddes and Igor Nunes and Tony Givargis and Alexandru Nicolau}, title = {{HDCC:} {A} Hyperdimensional Computing compiler for classification on embedded systems and high-performance computing}, journal = {CoRR}, volume = {abs/2304.12398}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.12398}, doi = {10.48550/ARXIV.2304.12398}, eprinttype = {arXiv}, eprint = {2304.12398}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-12398.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17310, author = {Igor Nunes and Mike Heddes and Pere Verg{\'{e}}s and Danny Abraham and Alexander V. Veidenbaum and Alexandru Nicolau and Tony Givargis}, title = {DotHash: Estimating Set Similarity Metrics for Link Prediction and Document Deduplication}, journal = {CoRR}, volume = {abs/2305.17310}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17310}, doi = {10.48550/ARXIV.2305.17310}, eprinttype = {arXiv}, eprint = {2305.17310}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17310.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/TrifanNV23, author = {Marc Titus Trifan and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Enhancing the Privacy of Machine Learning via faster arithmetic over Torus {FHE}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {568}, year = {2023}, url = {https://eprint.iacr.org/2023/568}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/TrifanNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HeddesNGNV22, author = {Mike Heddes and Igor Nunes and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Hyperdimensional hashing: a robust and efficient dynamic hash table}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {907--912}, year = {2022}, crossref = {DBLP:conf/dac/2022}, url = {https://doi.org/10.1145/3489517.3530553}, doi = {10.1145/3489517.3530553}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/HeddesNGNV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NunesHGNV22, author = {Igor Nunes and Mike Heddes and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {GraphHD: Efficient graph classification using hyperdimensional computing}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1485--1490}, year = {2022}, crossref = {DBLP:conf/date/2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774533}, doi = {10.23919/DATE54114.2022.9774533}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/NunesHGNV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChirilaDTVN22, author = {Mihnea Chirila and Paolo D'Alberto and Hsin{-}Yu Ting and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {A Heterogeneous Solution to the All-pairs Shortest Path Problem using FPGAs}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {108--113}, year = {2022}, crossref = {DBLP:conf/isqed/2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806279}, doi = {10.1109/ISQED54688.2022.9806279}, timestamp = {Mon, 04 Jul 2022 17:06:19 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChirilaDTVN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07826, author = {Igor Nunes and Mike Heddes and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {GraphHD: Efficient graph classification using hyperdimensional computing}, journal = {CoRR}, volume = {abs/2205.07826}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07826}, doi = {10.48550/ARXIV.2205.07826}, eprinttype = {arXiv}, eprint = {2205.07826}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07850, author = {Mike Heddes and Igor Nunes and Tony Givargis and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Hyperdimensional Hashing: {A} Robust and Efficient Dynamic Hash Table}, journal = {CoRR}, volume = {abs/2205.07850}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07850}, doi = {10.48550/ARXIV.2205.07850}, eprinttype = {arXiv}, eprint = {2205.07850}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07850.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07920, author = {Igor Nunes and Mike Heddes and Tony Givargis and Alexandru Nicolau}, title = {An Extension to Basis-Hypervectors for Learning from Circular Data in Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2205.07920}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07920}, doi = {10.48550/ARXIV.2205.07920}, eprinttype = {arXiv}, eprint = {2205.07920}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07920.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09208, author = {Mike Heddes and Igor Nunes and Pere Verg{\'{e}}s and Dheyay Desai and Tony Givargis and Alexandru Nicolau}, title = {Torchhd: An Open-Source Python Library to Support Hyperdimensional Computing Research}, journal = {CoRR}, volume = {abs/2205.09208}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09208}, doi = {10.48550/ARXIV.2205.09208}, eprinttype = {arXiv}, eprint = {2205.09208}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eduhpc/GabbNPSTWXZ21, author = {Henry A. Gabb and Alexandru Nicolau and Satish Puri and Michael D. Shah and Rahul Toppur and Neftali Watkinson and Weijia Xu and Hui Zhang}, title = {Lightning Talks of EduHPC 2021}, booktitle = {9th {IEEE/ACM} Workshop on Education for High Performance Computing, EduHPC@SC 2021, St. Louis, MO, USA, November 14, 2021}, pages = {43--50}, year = {2021}, crossref = {DBLP:conf/eduhpc/2021}, url = {https://doi.org/10.1109/EduHPC54835.2021.00011}, doi = {10.1109/EDUHPC54835.2021.00011}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eduhpc/GabbNPSTWXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WatkinsonGJNV21, author = {Neftali Watkinson and Tony Givargis and Victor Joe and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Class-Modeling of Septic Shock With Hyperdimensional Computing}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {1653--1659}, year = {2021}, crossref = {DBLP:conf/embc/2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630353}, doi = {10.1109/EMBC46164.2021.9630353}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/WatkinsonGJNV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WatkinsonGJNV21a, author = {Neftali Watkinson and Tony Givargis and Victor Joe and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Detecting {COVID-19} Related Pneumonia On {CT} Scans Using Hyperdimensional Computing}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {3970--3973}, year = {2021}, crossref = {DBLP:conf/embc/2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630898}, doi = {10.1109/EMBC46164.2021.9630898}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/WatkinsonGJNV21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WatkinsonTNV20, author = {Neftali Watkinson and Preston Tai and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {NumbaSummarizer: {A} Python Library for Simplified Vectorization Reports}, booktitle = {2020 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2020, New Orleans, LA, USA, May 18-22, 2020}, pages = {269--275}, year = {2020}, crossref = {DBLP:conf/ipps/2020w}, url = {https://doi.org/10.1109/IPDPSW50202.2020.00058}, doi = {10.1109/IPDPSW50202.2020.00058}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WatkinsonTNV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/TaheriBBVN19, author = {Sajjad Taheri and Payman Behnam and Eli Bozorgzadeh and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {{AFFIX:} Automatic Acceleration Framework for {FPGA} Implementation of OpenVX Vision Algorithms}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {252--261}, year = {2019}, crossref = {DBLP:conf/fpga/2019}, url = {https://doi.org/10.1145/3289602.3293907}, doi = {10.1145/3289602.3293907}, timestamp = {Tue, 05 Mar 2019 07:04:43 +0100}, biburl = {https://dblp.org/rec/conf/fpga/TaheriBBVN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WatkinsonSNV19, author = {Neftali Watkinson and Aniket Shivam and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Teaching Parallel Computing and Dependence Analysis with Python}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {320--325}, year = {2019}, crossref = {DBLP:conf/ipps/2019w}, url = {https://doi.org/10.1109/IPDPSW.2019.00061}, doi = {10.1109/IPDPSW.2019.00061}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WatkinsonSNV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00603, author = {Aniket Shivam and Neftali Watkinson and Alexandru Nicolau and David A. Padua and Alexander V. Veidenbaum}, title = {Towards an Achievable Performance for the Loop Nests}, journal = {CoRR}, volume = {abs/1902.00603}, year = {2019}, url = {http://arxiv.org/abs/1902.00603}, eprinttype = {arXiv}, eprint = {1902.00603}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00603.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-12755, author = {Aniket Shivam and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {MCompiler: {A} Synergistic Compilation Framework}, journal = {CoRR}, volume = {abs/1905.12755}, year = {2019}, url = {http://arxiv.org/abs/1905.12755}, eprinttype = {arXiv}, eprint = {1905.12755}, timestamp = {Mon, 03 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-12755.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/GongCS0SWMPVNT18, author = {Zhangxiaowen Gong and Zhi Chen and Justin Josef Szaday and David C. Wong and Zehra Sura and Neftali Watkinson and Saeed Maleki and David A. Padua and Alexander V. Veidenbaum and Alexandru Nicolau and Josep Torrellas}, title = {An empirical study of the effect of source-level loop transformations on compiler stability}, journal = {Proc. {ACM} Program. Lang.}, volume = {2}, number = {{OOPSLA}}, pages = {126:1--126:29}, year = {2018}, url = {https://doi.org/10.1145/3276496}, doi = {10.1145/3276496}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/GongCS0SWMPVNT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/TaheriHBCVN18, author = {Sajjad Taheri and Jin Heo and Payman Behnam and Jeffrey Chen and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Acceleration Framework for {FPGA} Implementation of OpenVX Graph Pipelines}, booktitle = {26th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2018, Boulder, CO, USA, April 29 - May 1, 2018}, pages = {227}, year = {2018}, crossref = {DBLP:conf/fccm/2018}, url = {https://doi.org/10.1109/FCCM.2018.00061}, doi = {10.1109/FCCM.2018.00061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/TaheriHBCVN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/Shen0GCNV18, author = {Junjie Shen and Zhi Chen and Nahid Farhady Ghalaty and Rosario Cammarota and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {New Opportunities for Compilers in Computer Security}, booktitle = {Languages and Compilers for Parallel Computing - 31st International Workshop, {LCPC} 2018, Salt Lake City, UT, USA, October 9-11, 2018, Revised Selected Papers}, pages = {54--60}, year = {2018}, crossref = {DBLP:conf/lcpc/2018}, url = {https://doi.org/10.1007/978-3-030-34627-0\_4}, doi = {10.1007/978-3-030-34627-0\_4}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/Shen0GCNV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ShivamWNPV18, author = {Aniket Shivam and Neftali Watkinson and Alexandru Nicolau and David A. Padua and Alexander V. Veidenbaum}, title = {Towards an Achievable Performance for the Loop Nests}, booktitle = {Languages and Compilers for Parallel Computing - 31st International Workshop, {LCPC} 2018, Salt Lake City, UT, USA, October 9-11, 2018, Revised Selected Papers}, pages = {70--77}, year = {2018}, crossref = {DBLP:conf/lcpc/2018}, url = {https://doi.org/10.1007/978-3-030-34627-0\_6}, doi = {10.1007/978-3-030-34627-0\_6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ShivamWNPV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/TaheriVNHH18, author = {Sajjad Taheri and Alexander V. Veidenbaum and Alexandru Nicolau and Ningxin Hu and Mohammad R. Haghighat}, title = {OpenCV.js: computer vision processing for the open web platform}, booktitle = {Proceedings of the 9th {ACM} Multimedia Systems Conference, MMSys 2018, Amsterdam, The Netherlands, June 12-15, 2018}, pages = {478--483}, year = {2018}, crossref = {DBLP:conf/mmsys/2018}, url = {https://doi.org/10.1145/3204949.3208126}, doi = {10.1145/3204949.3208126}, timestamp = {Wed, 21 Nov 2018 12:44:02 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/TaheriVNHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/ChenSNVGC17, author = {Zhi Chen and Junjie Shen and Alex Nicolau and Alexander V. Veidenbaum and Nahid Farhady Ghalaty and Rosario Cammarota}, title = {{CAMFAS:} {A} Compiler Approach to Mitigate Fault Attacks via Enhanced SIMDization}, booktitle = {2017 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2017, Taipei, Taiwan, September 25, 2017}, pages = {57--64}, year = {2017}, crossref = {DBLP:conf/fdtc/2017}, url = {https://doi.org/10.1109/FDTC.2017.10}, doi = {10.1109/FDTC.2017.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/ChenSNVGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenGSWPNVWSMTD17, author = {Zhi Chen and Zhangxiaowen Gong and Justin Josef Szaday and David C. Wong and David A. Padua and Alexandru Nicolau and Alexander V. Veidenbaum and Neftali Watkinson and Zehra Sura and Saeed Maleki and Josep Torrellas and Gerald DeJong}, title = {{LORE:} {A} loop repository for the evaluation of compilers}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {219--228}, year = {2017}, crossref = {DBLP:conf/iiswc/2017}, url = {https://doi.org/10.1109/IISWC.2017.8167779}, doi = {10.1109/IISWC.2017.8167779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenGSWPNVWSMTD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/WatkinsonS0VNG17, author = {Neftali Watkinson and Aniket Shivam and Zhi Chen and Alexander V. Veidenbaum and Alexandru Nicolau and Zhangxiaowen Gong}, title = {Using Hardware Counters to Predict Vectorization}, booktitle = {Languages and Compilers for Parallel Computing - 30th International Workshop, {LCPC} 2017, College Station, TX, USA, October 11-13, 2017, Revised Selected Papers}, pages = {3--16}, year = {2017}, crossref = {DBLP:conf/lcpc/2017}, url = {https://doi.org/10.1007/978-3-030-35225-7\_1}, doi = {10.1007/978-3-030-35225-7\_1}, timestamp = {Wed, 20 Nov 2019 16:57:10 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/WatkinsonS0VNG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChenSNVGC17, author = {Zhi Chen and Junjie Shen and Alex Nicolau and Alexander V. Veidenbaum and Nahid Farhady Ghalaty and Rosario Cammarota}, title = {{CAMFAS:} {A} Compiler Approach to Mitigate Fault Attacks via Enhanced SIMDization}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1083}, year = {2017}, url = {http://eprint.iacr.org/2017/1083}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChenSNVGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cd/ChenNV16, author = {Zhi Chen and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {SIMD-based soft error detection}, booktitle = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, pages = {45--54}, year = {2016}, crossref = {DBLP:conf/cf/2016}, url = {https://doi.org/10.1145/2903150.2903170}, doi = {10.1145/2903150.2903170}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cd/ChenNV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ShivamNVFC16, author = {Aniket Shivam and Alexandru Nicolau and Alexander V. Veidenbaum and Mario Mango Furnari and Rosario Cammarota}, title = {Polygonal Iteration Space Partitioning}, booktitle = {Languages and Compilers for Parallel Computing - 29th International Workshop, {LCPC} 2016, Rochester, NY, USA, September 28-30, 2016, Revised Papers}, pages = {121--136}, year = {2016}, crossref = {DBLP:conf/lcpc/2016}, url = {https://doi.org/10.1007/978-3-319-52709-3\_11}, doi = {10.1007/978-3-319-52709-3\_11}, timestamp = {Tue, 28 Jul 2020 07:46:49 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ShivamNVFC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RezaeiHMBVNP16, author = {Siavash Rezaei and C{\'{e}}sar{-}Alejandro Hern{\'{a}}ndez{-}Calder{\'{o}}n and Saeed Mirzamohammadi and Eli Bozorgzadeh and Alexander V. Veidenbaum and Alex Nicolau and Michael J. Prather}, title = {Data-rate-aware FPGA-based acceleration framework for streaming applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, year = {2016}, crossref = {DBLP:conf/reconfig/2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857162}, doi = {10.1109/RECONFIG.2016.7857162}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RezaeiHMBVNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/Nicolau16, author = {Alex Nicolau}, title = {Is computer science dying?}, booktitle = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}, pages = {ii}, year = {2016}, crossref = {DBLP:conf/samos/2016}, url = {https://doi.org/10.1109/SAMOS.2016.7818324}, doi = {10.1109/SAMOS.2016.7818324}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/Nicolau16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/WannerLRGMHSADD15, author = {Lucas Francisco Wanner and Liangzhen Lai and Abbas Rahimi and Mark Gottscho and Pietro Mercati and Chu{-}Hsiang Huang and Frederic Sala and Yuvraj Agarwal and Lara Dolecek and Nikil D. Dutt and Puneet Gupta and Rajesh K. Gupta and Ranjit Jhala and Rakesh Kumar and Sorin Lerner and Subhasish Mitra and Alexandru Nicolau and Tajana Simunic Rosing and Mani B. Srivastava and Steven Swanson and Dennis Sylvester and Yuanyuan Zhou}, title = {{NSF} expedition on variability-aware software: Recent results and contributions}, journal = {it Inf. Technol.}, volume = {57}, number = {3}, pages = {181--198}, year = {2015}, url = {https://doi.org/10.1515/itit-2014-1085}, doi = {10.1515/ITIT-2014-1085}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/it/WannerLRGMHSADD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/WangCN15, author = {Yizhuo Wang and Rosario Cammarota and Alexandru Nicolau}, title = {Fault Tolerant Scheduling for Parallel Loops on Shared Memory Systems}, journal = {J. Inf. Sci. Eng.}, volume = {31}, number = {6}, pages = {1937--1959}, year = {2015}, url = {http://www.iis.sinica.edu.tw/page/jise/2015/201511\_07.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/WangCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/GottschoBDNG15, author = {Mark Gottscho and Abbas BanaiyanMofrad and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, title = {{DPCS:} Dynamic Power/Capacity Scaling for {SRAM} Caches in the Nanoscale Era}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {3}, pages = {27:1--27:26}, year = {2015}, url = {https://doi.org/10.1145/2792982}, doi = {10.1145/2792982}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/GottschoBDNG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GottschoBDNG15, author = {Mark Gottscho and Luis Angel D. Bathen and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, title = {ViPZonE: Hardware Power Variability-Aware Virtual Memory Management for Energy Savings}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {5}, pages = {1483--1496}, year = {2015}, url = {https://doi.org/10.1109/TC.2014.2329675}, doi = {10.1109/TC.2014.2329675}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GottschoBDNG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SarmaMBDN15, author = {Santanu Sarma and Tiago M{\"{u}}ck and Luis Angel D. Bathen and Nikil D. Dutt and Alexandru Nicolau}, title = {SmartBalance: a sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {109:1--109:6}, year = {2015}, crossref = {DBLP:conf/dac/2015}, url = {https://doi.org/10.1145/2744769.2744911}, doi = {10.1145/2744769.2744911}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SarmaMBDN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SarmaDGVN15, author = {Santanu Sarma and Nikil D. Dutt and Puneet Gupta and Nalini Venkatasubramanian and Alexandru Nicolau}, title = {Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {625--628}, year = {2015}, crossref = {DBLP:conf/date/2015}, url = {http://dl.acm.org/citation.cfm?id=2755895}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SarmaDGVN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/TaheriBVNCQLH15, author = {Sajjad Taheri and Laleh Aghababaie Beni and Alexander V. Veidenbaum and Alexandru Nicolau and Rosario Cammarota and Jianlin Qiu and Qiang Lu and Mohammad R. Haghighat}, title = {WebRTCbench: a benchmark for performance assessment of webRTC implementations}, booktitle = {13th {IEEE} Symposium on Embedded Systems For Real-time Multimedia, ESTIMedia 2015, Amsterdam, The Netherlands, October 8-9, 2015}, pages = {1--7}, year = {2015}, crossref = {DBLP:conf/estimedia/2015}, url = {https://doi.org/10.1109/ESTIMedia.2015.7351769}, doi = {10.1109/ESTIMEDIA.2015.7351769}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/TaheriBVNCQLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ChenINV15, author = {Zhi Chen and Ryoichi Inagaki and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Software fault tolerance for FPUs via vectorization}, booktitle = {2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2015, Samos, Greece, July 19-23, 2015}, pages = {203--210}, year = {2015}, crossref = {DBLP:conf/samos/2015}, url = {https://doi.org/10.1109/SAMOS.2015.7363677}, doi = {10.1109/SAMOS.2015.7363677}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/ChenINV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/Nicolau14, author = {Alex Nicolau}, title = {Acknowledgment to Reviewers}, journal = {Int. J. Parallel Program.}, volume = {42}, number = {6}, pages = {873--874}, year = {2014}, url = {https://doi.org/10.1007/s10766-014-0314-9}, doi = {10.1007/S10766-014-0314-9}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/Nicolau14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SarmaDGNV14, author = {Santanu Sarma and Nikil D. Dutt and Puneet Gupta and Alexandru Nicolau and Nalini Venkatasubramanian}, title = {On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC)}, booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {22:1--22:3}, year = {2014}, crossref = {DBLP:conf/codes/2014}, url = {https://doi.org/10.1145/2656075.2661648}, doi = {10.1145/2656075.2661648}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/SarmaDGNV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DuttGNBGS14, author = {Nikil D. Dutt and Puneet Gupta and Alex Nicolau and Abbas BanaiyanMofrad and Mark Gottscho and Majid Shoushtari}, title = {Multi-Layer Memory Resiliency}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {48:1--48:6}, year = {2014}, crossref = {DBLP:conf/dac/2014}, url = {https://doi.org/10.1145/2593069.2596684}, doi = {10.1145/2593069.2596684}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DuttGNBGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GottschoBDNG14, author = {Mark Gottscho and Abbas BanaiyanMofrad and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, title = {Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {100:1--100:6}, year = {2014}, crossref = {DBLP:conf/dac/2014}, url = {https://doi.org/10.1145/2593069.2593184}, doi = {10.1145/2593069.2593184}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GottschoBDNG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EbciogluN14, author = {Kemal Ebcioglu and Alexandru Nicolau}, title = {Author retrospective for a \emph{global} resource-constrained parallelization technique}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {7--8}, year = {2014}, crossref = {DBLP:conf/ics/25a}, url = {https://doi.org/10.1145/2591635.2591642}, doi = {10.1145/2591635.2591642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EbciogluN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/WangBNVC14, author = {Yizhuo Wang and Laleh Aghababaie Beni and Alexandru Nicolau and Alexander V. Veidenbaum and Rosario Cammarota}, title = {A Compilation and Run-Time Framework for Maximizing Performance of Self-scheduling Algorithms}, booktitle = {Network and Parallel Computing - 11th {IFIP} {WG} 10.3 International Conference, {NPC} 2014, Ilan, Taiwan, September 18-20, 2014. Proceedings}, pages = {459--470}, year = {2014}, crossref = {DBLP:conf/npc/2014}, url = {https://doi.org/10.1007/978-3-662-44917-2\_38}, doi = {10.1007/978-3-662-44917-2\_38}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/npc/WangBNVC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuptaADDGKMNRSSS13, author = {Puneet Gupta and Yuvraj Agarwal and Lara Dolecek and Nikil D. Dutt and Rajesh K. Gupta and Rakesh Kumar and Subhasish Mitra and Alexandru Nicolau and Tajana Simunic Rosing and Mani B. Srivastava and Steven Swanson and Dennis Sylvester}, title = {Underdesigned and Opportunistic Computing in Presence of Hardware Variability}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {1}, pages = {8--23}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2223467}, doi = {10.1109/TCAD.2012.2223467}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/GuptaADDGKMNRSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/appt/CammarotaBNV13, author = {Rosario Cammarota and Laleh Aghababaie Beni and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Optimizing Program Performance via Similarity, Using a Feature-Agnostic Approach}, booktitle = {Advanced Parallel Processing Technologies - 10th International Symposium, {APPT} 2013, Stockholm, Sweden, August 27-28, 2013, Revised Selected Papers}, pages = {199--213}, year = {2013}, crossref = {DBLP:conf/appt/2013}, url = {https://doi.org/10.1007/978-3-642-45293-2\_15}, doi = {10.1007/978-3-642-45293-2\_15}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/appt/CammarotaBNV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DuttGNBG13, author = {Nikil D. Dutt and Puneet Gupta and Alex Nicolau and Luis Angel D. Bathen and Mark Gottscho}, title = {Variability-aware memory management for nanoscale computing}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {125--132}, year = {2013}, crossref = {DBLP:conf/aspdac/2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509584}, doi = {10.1109/ASPDAC.2013.6509584}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DuttGNBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/CammarotaNVKDM13, author = {Rosario Cammarota and Alexandru Nicolau and Alexander V. Veidenbaum and Arun Kejariwal and Debora Donato and Mukund Madhugiri}, title = {On the Determination of Inlining Vectors for Program Optimization}, booktitle = {Compiler Construction - 22nd International Conference, {CC} 2013, Held as Part of the European Joint Conferences on Theory and Practice of Software, {ETAPS} 2013, Rome, Italy, March 16-24, 2013. Proceedings}, pages = {164--183}, year = {2013}, crossref = {DBLP:conf/cc/2013}, url = {https://doi.org/10.1007/978-3-642-37051-9\_9}, doi = {10.1007/978-3-642-37051-9\_9}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/CammarotaNVKDM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BadinDBDN13, author = {Matthew Badin and Paolo D'Alberto and Lubomir Bic and Michael B. Dillencourt and Alexandru Nicolau}, title = {Improving numerical accuracy for non-negative matrix multiplication on GPUs using recursive algorithms}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {213--222}, year = {2013}, crossref = {DBLP:conf/ics/2013}, url = {https://doi.org/10.1145/2464996.2465010}, doi = {10.1145/2464996.2465010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/BadinDBDN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/CammarotaBNV13, author = {Rosario Cammarota and Laleh Aghababaie Beni and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Effective Evaluation of Multi-core Based Systems}, booktitle = {{IEEE} 12th International Symposium on Parallel and Distributed Computing, {ISPDC} 2013, Bucharest, Romania, June 27-30, 2013}, pages = {19--25}, year = {2013}, crossref = {DBLP:conf/ispdc/2013}, url = {https://doi.org/10.1109/ISPDC.2013.12}, doi = {10.1109/ISPDC.2013.12}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ispdc/CammarotaBNV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2013, editor = {Alex Nicolau and Xiaowei Shen and Saman P. Amarasinghe and Richard W. Vuduc}, title = {{ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, PPoPP '13, Shenzhen, China, February 23-27, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2442516}, doi = {10.1145/2442516}, isbn = {978-1-4503-1922-5}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/CammarotaKDNV12, author = {Rosario Cammarota and Arun Kejariwal and Debora Donato and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Selective search of inlining vectors for program optimization}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'12, Caligari, Italy - May 15 - 17, 2012}, pages = {257--260}, year = {2012}, crossref = {DBLP:conf/cf/2012}, url = {https://doi.org/10.1145/2212908.2212947}, doi = {10.1145/2212908.2212947}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/CammarotaKDNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BathenGDNG12, author = {Luis Angel D. Bathen and Mark Gottscho and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, title = {ViPZonE: OS-level memory variability-driven physical address zoning for energy savings}, booktitle = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, pages = {33--42}, year = {2012}, crossref = {DBLP:conf/codes/2012}, url = {https://doi.org/10.1145/2380445.2380457}, doi = {10.1145/2380445.2380457}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/BathenGDNG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BathenDNG12, author = {Luis Angel D. Bathen and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, title = {VaMV: Variability-aware Memory Virtualization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {284--287}, year = {2012}, crossref = {DBLP:conf/date/2012}, url = {https://doi.org/10.1109/DATE.2012.6176479}, doi = {10.1109/DATE.2012.6176479}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BathenDNG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/StancuBDN12, author = {Liviu Codrut Stancu and Luis Angel D. Bathen and Nikil D. Dutt and Alex Nicolau}, title = {AVid: Annotation driven video decoding for hybrid memories}, booktitle = {{IEEE} 10th Symposium on Embedded Systems for Real-time Multimedia, ESTIMedia 2012, Tampere, Finland, October 11-12, 2012}, pages = {2--11}, year = {2012}, crossref = {DBLP:conf/estimedia/2012}, url = {https://doi.org/10.1109/ESTIMedia.2012.6507022}, doi = {10.1109/ESTIMEDIA.2012.6507022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/StancuBDN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/WangNCV12, author = {Yizhuo Wang and Alexandru Nicolau and Rosario Cammarota and Alexander V. Veidenbaum}, title = {A fault tolerant self-scheduling scheme for parallel loops on shared memory systems}, booktitle = {19th International Conference on High Performance Computing, HiPC 2012, Pune, India, December 18-22, 2012}, pages = {1--10}, year = {2012}, crossref = {DBLP:conf/hipc/2012}, url = {https://doi.org/10.1109/HiPC.2012.6507476}, doi = {10.1109/HIPC.2012.6507476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/WangNCV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/CammarotaNV12, author = {Rosario Cammarota and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Just in Time Load Balancing}, booktitle = {Languages and Compilers for Parallel Computing, 25th International Workshop, {LCPC} 2012, Tokyo, Japan, September 11-13, 2012, Revised Selected Papers}, pages = {1--16}, year = {2012}, crossref = {DBLP:conf/lcpc/2012}, url = {https://doi.org/10.1007/978-3-642-37658-0\_1}, doi = {10.1007/978-3-642-37658-0\_1}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/CammarotaNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/BadinBDN11, author = {Matthew Badin and Lubomir Bic and Michael B. Dillencourt and Alexandru Nicolau}, title = {Improving accuracy for matrix multiplications on GPUs}, journal = {Sci. Program.}, volume = {19}, number = {1}, pages = {3--11}, year = {2011}, url = {https://doi.org/10.3233/SPR-2011-0315}, doi = {10.3233/SPR-2011-0315}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/BadinBDN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toms/DAlbertoBN11, author = {Paolo D'Alberto and Marco Bodrato and Alexandru Nicolau}, title = {Exploiting parallelism in matrix-computation kernels for symmetric multiprocessor systems: Matrix-multiplication and matrix-addition algorithm optimizations by software pipelining and threads allocation}, journal = {{ACM} Trans. Math. Softw.}, volume = {38}, number = {1}, pages = {2:1--2:30}, year = {2011}, url = {https://doi.org/10.1145/2049662.2049664}, doi = {10.1145/2049662.2049664}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toms/DAlbertoBN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/CammarotaKDPVN11, author = {Rosario Cammarota and Arun Kejariwal and Paolo D'Alberto and Sapan Panigrahi and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Pruning hardware evaluation space via correlation-driven application similarity analysis}, booktitle = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, pages = {4}, year = {2011}, crossref = {DBLP:conf/cf/2011}, url = {https://doi.org/10.1145/2016604.2016610}, doi = {10.1145/2016604.2016610}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/CammarotaKDPVN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/BadinDBDN11, author = {Matthew Badin and Paolo D'Alberto and Lubomir Bic and Michael B. Dillencourt and Alexandru Nicolau}, title = {Improving the Accuracy of High Performance {BLAS} Implementations Using Adaptive Blocked Algorithms}, booktitle = {23rd International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2011, Vit{\'{o}}ria, Esp{\'{\i}}rito Santo, Brazil, October 26-29, 2011}, pages = {120--127}, year = {2011}, crossref = {DBLP:conf/sbac-pad/2011}, url = {https://doi.org/10.1109/SBAC-PAD.2011.21}, doi = {10.1109/SBAC-PAD.2011.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/BadinDBDN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/parallel/KejariwalN11, author = {Arun Kejariwal and Alexandru Nicolau}, title = {Modulo Scheduling and Loop Pipelining}, booktitle = {Encyclopedia of Parallel Computing}, pages = {1158--1173}, year = {2011}, crossref = {DBLP:reference/parallel/2011}, url = {https://doi.org/10.1007/978-0-387-09766-4\_65}, doi = {10.1007/978-0-387-09766-4\_65}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/parallel/KejariwalN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KejariwalGTSNVBP10, author = {Arun Kejariwal and Milind Girkar and Xinmin Tian and Hideki Saito and Alexandru Nicolau and Alexander V. Veidenbaum and Utpal Banerjee and Constantine D. Polychronopoulos}, title = {Exploitation of nested thread-level speculative parallelism on multi-core systems}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {99--100}, year = {2010}, crossref = {DBLP:conf/cf/2010}, url = {https://doi.org/10.1145/1787275.1787302}, doi = {10.1145/1787275.1787302}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/KejariwalGTSNVBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/BadinBDN10, author = {Matthew Badin and Lubomir Bic and Michael B. Dillencourt and Alexandru Nicolau}, title = {Pretty Good Accuracy in Matrix Multiplication with GPUs}, booktitle = {Ninth International Symposium on Parallel and Distributed Computing, {ISPDC} 2010, Istanbul, Turkey, July 7-9, 2010}, pages = {49--55}, year = {2010}, crossref = {DBLP:conf/ispdc/2010}, url = {https://doi.org/10.1109/ISPDC.2010.12}, doi = {10.1109/ISPDC.2010.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/BadinBDN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NicolauK10, author = {Alexandru Nicolau and Arun Kejariwal}, title = {How Many Threads to Spawn during Program Multithreading?}, booktitle = {Languages and Compilers for Parallel Computing - 23rd International Workshop, {LCPC} 2010, Houston, TX, USA, October 7-9, 2010. Revised Selected Papers}, pages = {166--183}, year = {2010}, crossref = {DBLP:conf/lcpc/2010}, url = {https://doi.org/10.1007/978-3-642-19595-2\_12}, doi = {10.1007/978-3-642-19595-2\_12}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NicolauK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/KejariwalGTSNVBP10, author = {Arun Kejariwal and Milind Girkar and Xinmin Tian and Hideki Saito and Alexandru Nicolau and Alexander V. Veidenbaum and Utpal Banerjee and Constantine D. Polychronopoulos}, title = {On the efficacy of call graph-level thread-level speculation}, booktitle = {Proceedings of the first joint {WOSP/SIPEW} International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010}, pages = {247--248}, year = {2010}, crossref = {DBLP:conf/wosp/2010}, url = {https://doi.org/10.1145/1712605.1712645}, doi = {10.1145/1712605.1712645}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wosp/KejariwalGTSNVBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/GhodratGN09, author = {Mohammad Ali Ghodrat and Tony Givargis and Alex Nicolau}, title = {Optimizing control flow in loops using interval and dependence analysis}, journal = {Des. Autom. Embed. Syst.}, volume = {13}, number = {3}, pages = {193--221}, year = {2009}, url = {https://doi.org/10.1007/s10617-009-9043-5}, doi = {10.1007/S10617-009-9043-5}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/GhodratGN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/NageswaranFCDGNV09, author = {Jayram Moorkanikara Nageswaran and Andrew Felch and Ashok Chandrasekhar and Nikil D. Dutt and Richard Granger and Alex Nicolau and Alexander V. Veidenbaum}, title = {Brain Derived Vision Algorithm on High Performance Architectures}, journal = {Int. J. Parallel Program.}, volume = {37}, number = {4}, pages = {345--369}, year = {2009}, url = {https://doi.org/10.1007/s10766-009-0106-9}, doi = {10.1007/S10766-009-0106-9}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/NageswaranFCDGNV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/NageswaranDKNV09, author = {Jayram Moorkanikara Nageswaran and Nikil D. Dutt and Jeffrey L. Krichmar and Alex Nicolau and Alexander V. Veidenbaum}, title = {A configurable simulation environment for the efficient simulation of large-scale spiking neural networks on graphics processors}, journal = {Neural Networks}, volume = {22}, number = {5-6}, pages = {791--800}, year = {2009}, url = {https://doi.org/10.1016/j.neunet.2009.06.028}, doi = {10.1016/J.NEUNET.2009.06.028}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/NageswaranDKNV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KejariwalVNGTS09, author = {Arun Kejariwal and Alexander V. Veidenbaum and Alexandru Nicolau and Milind Girkar and Xinmin Tian and Hideki Saito}, title = {On the exploitation of loop-level parallelism in embedded applications}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {8}, number = {2}, pages = {10:1--10:34}, year = {2009}, url = {https://doi.org/10.1145/1457255.1457257}, doi = {10.1145/1457255.1457257}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KejariwalVNGTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toms/DAlbertoN09, author = {Paolo D'Alberto and Alexandru Nicolau}, title = {Adaptive Winograd's matrix multiplications}, journal = {{ACM} Trans. Math. Softw.}, volume = {36}, number = {1}, pages = {3:1--3:23}, year = {2009}, url = {https://doi.org/10.1145/1486525.1486528}, doi = {10.1145/1486525.1486528}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toms/DAlbertoN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KejariwalNVBP09, author = {Arun Kejariwal and Alexandru Nicolau and Alexander V. Veidenbaum and Utpal Banerjee and Constantine D. Polychronopoulos}, title = {Efficient Scheduling of Nested Parallel Loops on Multi-Core Systems}, booktitle = {{ICPP} 2009, International Conference on Parallel Processing, Vienna, Austria, 22-25 September 2009}, pages = {74--83}, year = {2009}, crossref = {DBLP:conf/icpp/2009}, url = {https://doi.org/10.1109/ICPP.2009.19}, doi = {10.1109/ICPP.2009.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KejariwalNVBP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/NicolauLVK09, author = {Alexandru Nicolau and Guangqiang Li and Alexander V. Veidenbaum and Arun Kejariwal}, title = {Synchronization optimizations for efficient execution on multi-cores}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {169--180}, year = {2009}, crossref = {DBLP:conf/ics/2009}, url = {https://doi.org/10.1145/1542275.1542303}, doi = {10.1145/1542275.1542303}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/NicolauLVK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/NageswaranDKNV09, author = {Jayram Moorkanikara Nageswaran and Nikil D. Dutt and Jeffrey L. Krichmar and Alex Nicolau and Alexander V. Veidenbaum}, title = {Efficient simulation of large-scale Spiking Neural Networks using {CUDA} graphics processors}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2009, Atlanta, Georgia, USA, 14-19 June 2009}, pages = {2145--2152}, year = {2009}, crossref = {DBLP:conf/ijcnn/2009}, url = {https://doi.org/10.1109/IJCNN.2009.5179043}, doi = {10.1109/IJCNN.2009.5179043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/NageswaranDKNV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/NicolauLK09, author = {Alexandru Nicolau and Guangqiang Li and Arun Kejariwal}, title = {Techniques for efficient placement of synchronization primitives}, booktitle = {Proceedings of the 14th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2009, Raleigh, NC, USA, February 14-18, 2009}, pages = {199--208}, year = {2009}, crossref = {DBLP:conf/ppopp/2009}, url = {https://doi.org/10.1145/1504176.1504207}, doi = {10.1145/1504176.1504207}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/NicolauLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipew/DesaiHKLNVM09, author = {Darshan Desai and Gerolf Hoflehner and Arun Kejariwal and Daniel M. Lavery and Alexandru Nicolau and Alexander V. Veidenbaum and Cameron McNairy}, title = {Performance Characterization of Itanium{\textregistered} 2-Based Montecito Processor}, booktitle = {Computer Performance Evaluation and Benchmarking, {SPEC} Benchmark Workshop 2009, Austin, TX, USA, January 25, 2009. Proceedings}, pages = {36--56}, year = {2009}, crossref = {DBLP:conf/sipew/2009}, url = {https://doi.org/10.1007/978-3-540-93799-9\_3}, doi = {10.1007/978-3-540-93799-9\_3}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/sipew/DesaiHKLNVM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/systor/KejariwalNBVP09, author = {Arun Kejariwal and Alexandru Nicolau and Utpal Banerjee and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, title = {Cache-aware partitioning of multi-dimensional iteration spaces}, booktitle = {Proceedings of of {SYSTOR} 2009: The Israeli Experimental Systems Conference 2009, Haifa, Israel, May 4-6, 2009}, pages = {15}, year = {2009}, crossref = {DBLP:conf/systor/2009}, url = {https://doi.org/10.1145/1534530.1534551}, doi = {10.1145/1534530.1534551}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/systor/KejariwalNBVP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2009, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275}, doi = {10.1145/1542275}, isbn = {978-1-60558-498-0}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ParkSDDNPE08, author = {Sanghyun Park and Aviral Shrivastava and Nikil D. Dutt and Alexandru Nicolau and Yunheung Paek and Eugene Earlie}, title = {Register File Power Reduction Using Bypass Sensitive Compiler}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {6}, pages = {1155--1159}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.923254}, doi = {10.1109/TCAD.2008.923254}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ParkSDDNPE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/GhodratGN08, author = {Mohammad Ali Ghodrat and Tony Givargis and Alex Nicolau}, title = {Control flow optimization in loops using interval analysis}, booktitle = {Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {157--166}, year = {2008}, crossref = {DBLP:conf/cases/2008}, url = {https://doi.org/10.1145/1450095.1450120}, doi = {10.1145/1450095.1450120}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/GhodratGN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/BadeaNV08, author = {Carmen Badea and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Impact of {JVM} superoperators on energy consumption in resource-constrained embedded systems}, booktitle = {Proceedings of the 2008 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008}, pages = {23--30}, year = {2008}, crossref = {DBLP:conf/lctrts/2008}, url = {https://doi.org/10.1145/1375657.1375661}, doi = {10.1145/1375657.1375661}, timestamp = {Fri, 25 Jun 2021 14:48:54 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/BadeaNV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/KejariwalNBVP08, author = {Arun Kejariwal and Alexandru Nicolau and Utpal Banerjee and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, title = {Cache-aware iteration space partitioning}, booktitle = {Proceedings of the 13th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2008, Salt Lake City, UT, USA, February 20-23, 2008}, pages = {269--270}, year = {2008}, crossref = {DBLP:conf/ppopp/2008}, url = {https://doi.org/10.1145/1345206.1345250}, doi = {10.1145/1345206.1345250}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/KejariwalNBVP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/KejariwalVNTGSB08, author = {Arun Kejariwal and Alexander V. Veidenbaum and Alexandru Nicolau and Xinmin Tian and Milind Girkar and Hideki Saito and Utpal Banerjee}, title = {Comparative architectural characterization of {SPEC} {CPU2000} and {CPU2006} benchmarks on the intel{\textregistered} Core\({}^{\mbox{TM}}\) 2 Duo processor}, booktitle = {Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2008), Samos, Greece, July 21-24, 2008}, pages = {132--141}, year = {2008}, crossref = {DBLP:conf/samos/2008ic}, url = {https://doi.org/10.1109/ICSAMOS.2008.4664856}, doi = {10.1109/ICSAMOS.2008.4664856}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/KejariwalVNTGSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/DAlbertoN07, author = {Paolo D'Alberto and Alexandru Nicolau}, title = {R-Kleene: {A} High-Performance Divide-and-Conquer Algorithm for the All-Pair Shortest Path for Densely Connected Networks}, journal = {Algorithmica}, volume = {47}, number = {2}, pages = {203--213}, year = {2007}, url = {https://doi.org/10.1007/s00453-006-1224-z}, doi = {10.1007/S00453-006-1224-Z}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/DAlbertoN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/MohapatraDNV07, author = {Shivajit Mohapatra and Nikil D. Dutt and Alexandru Nicolau and Nalini Venkatasubramanian}, title = {{DYNAMO:} {A} Cross-Layer Framework for End-to-End QoS and Energy Optimization in Mobile Handheld Devices}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {25}, number = {4}, pages = {722--737}, year = {2007}, url = {https://doi.org/10.1109/JSAC.2007.070509}, doi = {10.1109/JSAC.2007.070509}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/MohapatraDNV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShrivastavaPEDNP07, author = {Aviral Shrivastava and Sanghyun Park and Eugene Earlie and Nikil D. Dutt and Alexandru Nicolau and Yunheung Paek}, title = {Automatic Design Space Exploration of Register Bypasses in Embedded Processors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {12}, pages = {2102--2115}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.907066}, doi = {10.1109/TCAD.2007.907066}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ShrivastavaPEDNP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/TangKVN07, author = {Weiyu Tang and Arun Kejariwal and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {A predictive decode filter cache for reducing power consumption in embedded processors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {2}, pages = {14}, year = {2007}, url = {https://doi.org/10.1145/1230800.1230806}, doi = {10.1145/1230800.1230806}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/TangKVN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhodratGN07, author = {Mohammad Ali Ghodrat and Tony Givargis and Alex Nicolau}, title = {Short-Circuit Compiler Transformation: Optimizing Conditional Blocks}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {504--510}, year = {2007}, crossref = {DBLP:conf/aspdac/2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358036}, doi = {10.1109/ASPDAC.2007.358036}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GhodratGN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BadeaNV07, author = {Carmen Badea and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {A simplified java bytecode compilation system for resource-constrained embedded processors}, booktitle = {Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {218--228}, year = {2007}, crossref = {DBLP:conf/cases/2007}, url = {https://doi.org/10.1145/1289881.1289920}, doi = {10.1145/1289881.1289920}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/BadeaNV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DAlbertoN07, author = {Paolo D'Alberto and Alexandru Nicolau}, title = {Adaptive Strassen's matrix multiplication}, booktitle = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, pages = {284--292}, year = {2007}, crossref = {DBLP:conf/ics/2007}, url = {https://doi.org/10.1145/1274971.1275010}, doi = {10.1145/1274971.1275010}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/DAlbertoN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CorneaND07, author = {Radu Cornea and Alex Nicolau and Nikil D. Dutt}, title = {Annotation Integration and Trade-off Analysis for Multimedia Applications}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--6}, year = {2007}, crossref = {DBLP:conf/ipps/2007}, url = {https://doi.org/10.1109/IPDPS.2007.370531}, doi = {10.1109/IPDPS.2007.370531}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CorneaND07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/FurlongFNDNVCG07, author = {Jeff Furlong and Andrew Felch and Jayram Moorkanikara Nageswaran and Nikil D. Dutt and Alex Nicolau and Alexander V. Veidenbaum and Ashok Chandrashekar and Richard Granger}, title = {Novel Brain-Derived Algorithms Scale Linearly with Number of Processing Elements}, booktitle = {Parallel Computing: Architectures, Algorithms and Applications, ParCo 2007, Forschungszentrum J{\"{u}}lich and {RWTH} Aachen University, Germany, 4-7 September 2007}, pages = {767--776}, year = {2007}, crossref = {DBLP:conf/parco/2007}, timestamp = {Mon, 20 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parco/FurlongFNDNVCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/KejariwalTGLKBNVP07, author = {Arun Kejariwal and Xinmin Tian and Milind Girkar and Wei Li and Sergey Kozhukhov and Utpal Banerjee and Alexandru Nicolau and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, title = {Tight analysis of the performance potential of thread speculation using spec {CPU} 2006}, booktitle = {Proceedings of the 12th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2007, San Jose, California, USA, March 14-17, 2007}, pages = {215--225}, year = {2007}, crossref = {DBLP:conf/ppopp/2007}, url = {https://doi.org/10.1145/1229428.1229475}, doi = {10.1145/1229428.1229475}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/KejariwalTGLKBNVP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HoflehnerDLNV07, author = {Arun Kejariwal and Gerolf Hoflehner and Darshan Desai and Daniel M. Lavery and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {Comparative characterization of {SPEC} {CPU2000} and {CPU2006} on Itanium architecture}, booktitle = {Proceedings of the 2007 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2007, San Diego, California, USA, June 12-16, 2007}, pages = {361--362}, year = {2007}, crossref = {DBLP:conf/sigmetrics/2007}, url = {https://doi.org/10.1145/1254882.1254930}, doi = {10.1145/1254882.1254930}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HoflehnerDLNV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/KimODNV06, author = {Minyoung Kim and Hyunok Oh and Nikil D. Dutt and Alex Nicolau and Nalini Venkatasubramanian}, title = {{PBPAIR:} an energy-efficient error-resilient encoding using probability based power aware intra refresh}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {10}, number = {3}, pages = {58--69}, year = {2006}, url = {https://doi.org/10.1145/1148094.1148100}, doi = {10.1145/1148094.1148100}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/KimODNV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ShrivastavaBHDN06, author = {Aviral Shrivastava and Partha Biswas and Ashok Halambi and Nikil D. Dutt and Alexandru Nicolau}, title = {Compilation framework for code size reduction using reduced bit-width ISAs (rISAs)}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {11}, number = {1}, pages = {123--146}, year = {2006}, url = {https://doi.org/10.1145/1124713.1124722}, doi = {10.1145/1124713.1124722}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ShrivastavaBHDN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KejariwalGNDG06, author = {Arun Kejariwal and Sumit Gupta and Alexandru Nicolau and Nikil D. Dutt and Rajesh K. Gupta}, title = {Energy efficient watermarking on mobile devices using proxy-based partitioning}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {6}, pages = {625--636}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.878218}, doi = {10.1109/TVLSI.2006.878218}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KejariwalGNDG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShrivastavaEDN06, author = {Aviral Shrivastava and Eugene Earlie and Nikil D. Dutt and Alexandru Nicolau}, title = {Retargetable pipeline hazard detection for partially bypassed processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {8}, pages = {791--801}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.878468}, doi = {10.1109/TVLSI.2006.878468}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShrivastavaEDN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GhodratGN06, author = {Mohammad Ali Ghodrat and Tony Givargis and Alexandru Nicolau}, title = {Expression equivalence checking using interval analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {8}, pages = {830--842}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.878471}, doi = {10.1109/TVLSI.2006.878471}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GhodratGN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/KejariwalVNGTS06, author = {Arun Kejariwal and Alexander V. Veidenbaum and Alexandru Nicolau and Milind Girkar and Xinmin Tian and Hideki Saito}, title = {Challenges in exploitation of loop parallelism in embedded applications}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {173--180}, year = {2006}, crossref = {DBLP:conf/codes/2006}, url = {https://doi.org/10.1145/1176254.1176298}, doi = {10.1145/1176254.1176298}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/KejariwalVNGTS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CorneaND06, author = {Radu Cornea and Alexandru Nicolau and Nikil D. Dutt}, title = {Software annotations for power optimization on mobile devices}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {684--689}, year = {2006}, crossref = {DBLP:conf/date/2006p}, url = {https://doi.org/10.1109/DATE.2006.244043}, doi = {10.1109/DATE.2006.244043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CorneaND06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkESNDP06, author = {Sanghyun Park and Eugene Earlie and Aviral Shrivastava and Alex Nicolau and Nikil D. Dutt and Yunheung Paek}, title = {Automatic generation of operation tables for fast exploration of bypasses in embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1197--1202}, year = {2006}, crossref = {DBLP:conf/date/2006p}, url = {https://doi.org/10.1109/DATE.2006.244047}, doi = {10.1109/DATE.2006.244047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkESNDP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/CorneaND06, author = {Radu Cornea and Alex Nicolau and Nikil D. Dutt}, title = {Annotation Based Multimedia Streaming Over Wireless Networks}, booktitle = {Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction with {CODES+ISSS} 2006}, pages = {47--52}, year = {2006}, crossref = {DBLP:conf/estimedia/2006}, url = {https://doi.org/10.1109/ESTMED.2006.321273}, doi = {10.1109/ESTMED.2006.321273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/CorneaND06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GirkarKTSNVP06, author = {Milind Girkar and Arun Kejariwal and Xinmin Tian and Hideki Saito and Alexandru Nicolau and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, title = {Probablistic Self-Scheduling}, booktitle = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, pages = {253--264}, year = {2006}, crossref = {DBLP:conf/europar/2006}, url = {https://doi.org/10.1007/11823285\_26}, doi = {10.1007/11823285\_26}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/GirkarKTSNVP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KejariwalNP06, author = {Arun Kejariwal and Alexandru Nicolau and Constantine D. Polychronopoulos}, title = {History-aware Self-Scheduling}, booktitle = {2006 International Conference on Parallel Processing {(ICPP} 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {185--192}, year = {2006}, crossref = {DBLP:conf/icpp/2006}, url = {https://doi.org/10.1109/ICPP.2006.49}, doi = {10.1109/ICPP.2006.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KejariwalNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KejariwalTLGKSBNVP06, author = {Arun Kejariwal and Xinmin Tian and Wei Li and Milind Girkar and Sergey Kozhukhov and Hideki Saito and Utpal Banerjee and Alexandru Nicolau and Alexander V. Veidenbaum and Constantine D. Polychronopoulos}, title = {On the performance potential of different types of speculative thread-level parallelism: The {DL} version of this paper includes corrections that were not made available in the printed proceedings}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {24}, year = {2006}, crossref = {DBLP:conf/ics/2006}, url = {https://doi.org/10.1145/1183401.1183407}, doi = {10.1145/1183401.1183407}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/KejariwalTLGKSBNVP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KejariwalSTGLBNP06, author = {Arun Kejariwal and Hideki Saito and Xinmin Tian and Milind Girkar and Wei Li and Utpal Banerjee and Alexandru Nicolau and Constantine D. Polychronopoulos}, title = {Lightweight lock-free synchronization methods for multithreading}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {361--371}, year = {2006}, crossref = {DBLP:conf/ics/2006}, url = {https://doi.org/10.1145/1183401.1183452}, doi = {10.1145/1183401.1183452}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KejariwalSTGLBNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/CorneaND06, author = {Radu Cornea and Alex Nicolau and Nikil D. Dutt}, title = {Video Stream Annotations for Energy Trade-offs in Multimedia Applications}, booktitle = {5th International Symposium on Parallel and Distributed Computing {(ISPDC} 2006), 6-9 July 2006, Timisoara, Romania}, pages = {17--23}, year = {2006}, crossref = {DBLP:conf/ispdc/2006}, url = {https://doi.org/10.1109/ISPDC.2006.55}, doi = {10.1109/ISPDC.2006.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/CorneaND06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/ParkSDNPE06, author = {Sanghyun Park and Aviral Shrivastava and Nikil D. Dutt and Alexandru Nicolau and Yunheung Paek and Eugene Earlie}, title = {Bypass aware instruction scheduling for register file power reduction}, booktitle = {Proceedings of the 2006 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006}, pages = {173--181}, year = {2006}, crossref = {DBLP:conf/lctrts/2006}, url = {https://doi.org/10.1145/1134650.1134675}, doi = {10.1145/1134650.1134675}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lctrts/ParkSDNPE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/KejariwalNSTGBP06, author = {Arun Kejariwal and Alexandru Nicolau and Hideki Saito and Xinmin Tian and Milind Girkar and Utpal Banerjee and Constantine D. Polychronopoulos}, title = {A general approach for partitioning N-dimensional parallel nested loops with conditionals}, booktitle = {{SPAA} 2006: Proceedings of the 18th Annual {ACM} Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006}, pages = {49--58}, year = {2006}, crossref = {DBLP:conf/spaa/2006}, url = {https://doi.org/10.1145/1148109.1148117}, doi = {10.1145/1148109.1148117}, timestamp = {Wed, 21 Nov 2018 11:13:10 +0100}, biburl = {https://dblp.org/rec/conf/spaa/KejariwalNSTGBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/DAlbertoNVG05, author = {Paolo D'Alberto and Alexandru Nicolau and Alexander V. Veidenbaum and Rajesh K. Gupta}, title = {Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache}, journal = {{IEEE} Trans. Computers}, volume = {54}, number = {2}, pages = {185--197}, year = {2005}, url = {https://doi.org/10.1109/TC.2005.28}, doi = {10.1109/TC.2005.28}, timestamp = {Mon, 05 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/DAlbertoNVG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/GhodratGN05, author = {Mohammad Ali Ghodrat and Tony Givargis and Alexandru Nicolau}, title = {Equivalence checking of arithmetic expressions using fast evaluation}, booktitle = {Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California, USA, September 24-27, 2005}, pages = {147--156}, year = {2005}, crossref = {DBLP:conf/cases/2005}, url = {https://doi.org/10.1145/1086297.1086317}, doi = {10.1145/1086297.1086317}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/GhodratGN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ShrivastavaEDN05, author = {Aviral Shrivastava and Eugene Earlie and Nikil D. Dutt and Alexandru Nicolau}, title = {Aggregating processor free time for energy reduction}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {154--159}, year = {2005}, crossref = {DBLP:conf/codes/2005}, url = {https://doi.org/10.1145/1084834.1084876}, doi = {10.1145/1084834.1084876}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ShrivastavaEDN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShrivastavaDNE05, author = {Aviral Shrivastava and Nikil D. Dutt and Alexandru Nicolau and Eugene Earlie}, title = {PBExplore: {A} Framework for Compiler-in-the-Loop Exploration of Partial Bypassing in Embedded Processors}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {1264--1269}, year = {2005}, crossref = {DBLP:conf/date/2005}, url = {https://doi.org/10.1109/DATE.2005.236}, doi = {10.1109/DATE.2005.236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShrivastavaDNE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/AzevedoKVN05, author = {Ana Azevedo and Arun Kejariwal and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {High performance annotation-aware {JVM} for Java cards}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {52--61}, year = {2005}, crossref = {DBLP:conf/emsoft/2005}, url = {https://doi.org/10.1145/1086228.1086240}, doi = {10.1145/1086228.1086240}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/AzevedoKVN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/KejariwalGNDG05, author = {Arun Kejariwal and Sumit Gupta and Alexandru Nicolau and Nikil D. Dutt and Rajesh Gupta}, title = {Energy Analysis of Multimedia Watermarking on Mobile Handheld Devices}, booktitle = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, pages = {33--38}, year = {2005}, crossref = {DBLP:conf/estimedia/2005}, url = {https://doi.org/10.1109/ESTMED.2005.1518065}, doi = {10.1109/ESTMED.2005.1518065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/KejariwalGNDG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/KimODNV05, author = {Minyoung Kim and Hyunok Oh and Nikil D. Dutt and Alexandru Nicolau and Nalini Venkatasubramanian}, title = {Probability Based Power Aware Error Resilient Coding}, booktitle = {25th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}}, pages = {307--313}, year = {2005}, crossref = {DBLP:conf/icdcsw/2005}, url = {https://doi.org/10.1109/ICDCSW.2005.113}, doi = {10.1109/ICDCSW.2005.113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/KimODNV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MohapatraCOLKDGNSV05, author = {Shivajit Mohapatra and Radu Cornea and Hyunok Oh and Kyoungwoo Lee and Minyoung Kim and Nikil D. Dutt and Rajesh Gupta and Alexandru Nicolau and Sandeep K. Shukla and Nalini Venkatasubramanian}, title = {A Cross-Layer Approach for Power-Performance Optimization in Distributed Mobile Systems}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, year = {2005}, crossref = {DBLP:conf/ipps/2005}, url = {https://doi.org/10.1109/IPDPS.2005.13}, doi = {10.1109/IPDPS.2005.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MohapatraCOLKDGNSV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/KejariwalNP05, author = {Arun Kejariwal and Alexandru Nicolau and Constantine D. Polychronopoulos}, title = {Enhanced Loop Coalescing: {A} Compiler Technique for Transforming Non-uniform Iteration Spaces}, booktitle = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, pages = {17--32}, year = {2005}, crossref = {DBLP:conf/ishpc/2005}, url = {https://doi.org/10.1007/978-3-540-77704-5\_2}, doi = {10.1007/978-3-540-77704-5\_2}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/KejariwalNP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/NicolaescuVN05, author = {Dan Nicolaescu and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Using a Way Cache to Improve Performance of Set-Associative Caches}, booktitle = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, pages = {93--104}, year = {2005}, crossref = {DBLP:conf/ishpc/2005}, url = {https://doi.org/10.1007/978-3-540-77704-5\_8}, doi = {10.1007/978-3-540-77704-5\_8}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/NicolaescuVN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/DAlbertoN05, author = {Paolo D'Alberto and Alexandru Nicolau}, title = {Using Recursion to Boost ATLAS's Performance}, booktitle = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, pages = {142--151}, year = {2005}, crossref = {DBLP:conf/ishpc/2005}, url = {https://doi.org/10.1007/978-3-540-77704-5\_12}, doi = {10.1007/978-3-540-77704-5\_12}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/DAlbertoN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/KejariwalN05, author = {Arun Kejariwal and Alexandru Nicolau}, title = {An Efficient Load Balancing Scheme for Grid-based High Performance Scientific Computing}, booktitle = {4th International Symposium on Parallel and Distributed Computing {(ISPDC} 2005), 4-6 July 2005, Lille, France}, pages = {217--225}, year = {2005}, crossref = {DBLP:conf/ispdc/2005}, url = {https://doi.org/10.1109/ISPDC.2005.14}, doi = {10.1109/ISPDC.2005.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/KejariwalN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/KejariwalNP05, author = {Arun Kejariwal and Alexandru Nicolau and Constantine D. Polychronopoulos}, title = {An Efficient Approach for Self-scheduling Parallel Loops on Multiprogrammed Parallel Computers}, booktitle = {Languages and Compilers for Parallel Computing, 18th International Workshop, {LCPC} 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers}, pages = {441--449}, year = {2005}, crossref = {DBLP:conf/lcpc/2005}, url = {https://doi.org/10.1007/978-3-540-69330-7\_31}, doi = {10.1007/978-3-540-69330-7\_31}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/KejariwalNP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/KejariwalNBP05, author = {Arun Kejariwal and Alexandru Nicolau and Utpal Banerjee and Constantine D. Polychronopoulos}, title = {A novel approach for partitioning iteration spaces with variable densities}, booktitle = {Proceedings of the {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2005, June 15-17, 2005, Chicago, IL, {USA}}, pages = {120--131}, year = {2005}, crossref = {DBLP:conf/ppopp/2005}, url = {https://doi.org/10.1145/1065944.1065962}, doi = {10.1145/1065944.1065962}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/KejariwalNBP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuptaSDGN04, author = {Sumit Gupta and Nicolae Savoiu and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {Using global code motions to improve the quality of results for high-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {2}, pages = {302--312}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2003.822105}, doi = {10.1109/TCAD.2003.822105}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuptaSDGN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/GuptaGDN04, author = {Sumit Gupta and Rajesh K. Gupta and Nikil D. Dutt and Alexandru Nicolau}, title = {Coordinated parallelizing compiler optimizations and high-level synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {9}, number = {4}, pages = {441--470}, year = {2004}, url = {https://doi.org/10.1145/1027084.1027087}, doi = {10.1145/1027084.1027087}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/GuptaGDN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ShrivastavaEDN04, author = {Aviral Shrivastava and Eugene Earlie and Nikil D. Dutt and Alexandru Nicolau}, title = {Operation tables for scheduling in the presence of incomplete bypassing}, booktitle = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, pages = {194--199}, year = {2004}, crossref = {DBLP:conf/codes/2004}, url = {https://doi.org/10.1145/1016720.1016768}, doi = {10.1145/1016720.1016768}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ShrivastavaEDN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KejariwalGNDG04, author = {Arun Kejariwal and Sumit Gupta and Alexandru Nicolau and Nikil D. Dutt and Rajesh Gupta}, title = {Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {556--561}, year = {2004}, crossref = {DBLP:conf/dac/2004}, url = {https://doi.org/10.1145/996566.996720}, doi = {10.1145/996566.996720}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KejariwalGNDG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaDGN04, author = {Sumit Gupta and Nikil D. Dutt and Rajesh Gupta and Alexandru Nicolau}, title = {Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {114--121}, year = {2004}, crossref = {DBLP:conf/date/2004}, url = {https://doi.org/10.1109/DATE.2004.1268836}, doi = {10.1109/DATE.2004.1268836}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuptaDGN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BansalGDNG04, author = {Nikhil Bansal and Sumit Gupta and Nikil D. Dutt and Alexandru Nicolau and Rajesh Gupta}, title = {Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {474--479}, year = {2004}, crossref = {DBLP:conf/date/2004}, url = {https://doi.org/10.1109/DATE.2004.1268891}, doi = {10.1109/DATE.2004.1268891}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BansalGDNG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BansalGDNG04, author = {Nikhil Bansal and Sumit Gupta and Nikil D. Dutt and Alexandru Nicolau and Rajesh K. Gupta}, title = {Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures}, booktitle = {Field Programmable Logic and Application, 14th International Conference , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings}, pages = {891--899}, year = {2004}, crossref = {DBLP:conf/fpl/2004}, url = {https://doi.org/10.1007/978-3-540-30117-2\_95}, doi = {10.1007/978-3-540-30117-2\_95}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/BansalGDNG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/KejariwalDNP04, author = {Arun Kejariwal and Paolo D'Alberto and Alexandru Nicolau and Constantine D. Polychronopoulos}, title = {A Geometric Approach for Partitioning N-Dimensional Non-rectangular Iteration Spaces}, booktitle = {Languages and Compilers for High Performance Computing, 17th International Workshop, {LCPC} 2004, West Lafayette, IN, USA, September 22-24, 2004, Revised Selected Papers}, pages = {102--116}, year = {2004}, crossref = {DBLP:conf/lcpc/2004}, url = {https://doi.org/10.1007/11532378\_9}, doi = {10.1007/11532378\_9}, timestamp = {Wed, 20 Nov 2019 17:19:17 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/KejariwalDNP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/DAlbertoN04, author = {Paolo D'Alberto and Alexandru Nicolau}, title = {JuliusC: {A} Practical Approach for the Analysis of Divide-and-Conquer Algorithms}, booktitle = {Languages and Compilers for High Performance Computing, 17th International Workshop, {LCPC} 2004, West Lafayette, IN, USA, September 22-24, 2004, Revised Selected Papers}, pages = {117--131}, year = {2004}, crossref = {DBLP:conf/lcpc/2004}, url = {https://doi.org/10.1007/11532378\_10}, doi = {10.1007/11532378\_10}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/DAlbertoN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/NicolaescuVN04, author = {Dan Nicolaescu and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Caching Values in the Load Store Queue}, booktitle = {12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems {(MASCOTS} 2004), 4-8 October 2004, Vollendam, The Netherlands}, pages = {580--587}, year = {2004}, crossref = {DBLP:conf/mascots/2004}, url = {https://doi.org/10.1109/MASCOT.2004.1348315}, doi = {10.1109/MASCOT.2004.1348315}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/NicolaescuVN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0007323, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Memory architecture exploration for programmable embedded systems}, publisher = {Kluwer}, year = {2003}, isbn = {978-1-4020-7324-3}, timestamp = {Thu, 03 Feb 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/daglib/0007323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/GrunDN03, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Access pattern-based memory and connectivity architecture exploration}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {1}, pages = {33--73}, year = {2003}, url = {https://doi.org/10.1145/605459.605462}, doi = {10.1145/605459.605462}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/GrunDN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GrunHDN03, author = {Peter Grun and Ashok Halambi and Nikil D. Dutt and Alexandru Nicolau}, title = {RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {4}, pages = {731--737}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.813011}, doi = {10.1109/TVLSI.2003.813011}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/GrunHDN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaDGN03, author = {Sumit Gupta and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10270--10275}, year = {2003}, crossref = {DBLP:conf/date/2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10120}, doi = {10.1109/DATE.2003.10120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuptaDGN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NicolaescuVN03, author = {Dan Nicolaescu and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11064--11069}, year = {2003}, crossref = {DBLP:conf/date/2003}, url = {https://doi.org/10.1109/DATE.2003.1253745}, doi = {10.1109/DATE.2003.1253745}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NicolaescuVN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuthraGDGN03, author = {Manev Luthra and Sumit Gupta and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {Interface Synthesis using Memory Mapping for an {FPGA} Platform}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {140--145}, year = {2003}, crossref = {DBLP:conf/iccd/2003}, url = {https://doi.org/10.1109/ICCD.2003.1240886}, doi = {10.1109/ICCD.2003.1240886}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuthraGDGN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CorneaDGKNSS03, author = {Radu Cornea and Nikil D. Dutt and Rajesh K. Gupta and Ingolf Kr{\"{u}}ger and Alexandru Nicolau and Douglas C. Schmidt and Sandeep K. Shukla}, title = {{FORGE:} {A} Framework for Optimization of Distributed Embedded Systems Software}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {208}, year = {2003}, crossref = {DBLP:conf/ipps/2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213381}, doi = {10.1109/IPDPS.2003.1213381}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CorneaDGKNSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NicolaescuVN03, author = {Dan Nicolaescu and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Reducing data cache energy consumption via cached load/store queue}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {252--257}, year = {2003}, crossref = {DBLP:conf/islped/2003}, url = {https://doi.org/10.1145/871506.871569}, doi = {10.1145/871506.871569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/NicolaescuVN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/DAlbertoNV03, author = {Paolo D'Alberto and Alexandru Nicolau and Alexander V. Veidenbaum}, title = {A Data Cache with Dynamic Mapping}, booktitle = {Languages and Compilers for Parallel Computing, 16th International Workshop, {LCPC} 2003, College Station, TX, USA, October 2-4, 2003, Revised Papers}, pages = {436--450}, year = {2003}, crossref = {DBLP:conf/lcpc/2003}, url = {https://doi.org/10.1007/978-3-540-24644-2\_28}, doi = {10.1007/978-3-540-24644-2\_28}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/DAlbertoNV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/MohapatraCDNV03, author = {Shivajit Mohapatra and Radu Cornea and Nikil D. Dutt and Alexandru Nicolau and Nalini Venkatasubramanian}, title = {Integrated power management for video streaming to mobile handheld devices}, booktitle = {Proceedings of the Eleventh {ACM} International Conference on Multimedia, Berkeley, CA, USA, November 2-8, 2003}, pages = {582--591}, year = {2003}, crossref = {DBLP:conf/mm/2003}, url = {https://doi.org/10.1145/957013.957134}, doi = {10.1145/957013.957134}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/MohapatraCDNV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/GuptaDGN03, author = {Sumit Gupta and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {{SPARK:} {A} High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations}, booktitle = {16th International Conference on {VLSI} Design {(VLSI} Design 2003), 4-8 January 2003, New Delhi, India}, pages = {461--466}, year = {2003}, crossref = {DBLP:conf/vlsid/2003}, url = {https://doi.org/10.1109/ICVD.2003.1183177}, doi = {10.1109/ICVD.2003.1183177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/GuptaDGN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/NicolaescuVN03, author = {Dan Nicolaescu and Alexander V. Veidenbaum and Alex Nicolau}, title = {Low Energy Associative Data Caches for Embedded Systems}, booktitle = {Embedded Software for SoC}, pages = {513--525}, year = {2003}, crossref = {DBLP:books/sp/03/JYVW2003}, url = {https://doi.org/10.1007/0-306-48709-8\_37}, doi = {10.1007/0-306-48709-8\_37}, timestamp = {Thu, 04 Jul 2019 16:02:30 +0200}, biburl = {https://dblp.org/rec/books/sp/03/NicolaescuVN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaSDGNKKR02, author = {Sumit Gupta and Nick Savoiu and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau and Timothy Kam and Michael Kishinevsky and Shai Rotem}, title = {Coordinated transformations for high-level synthesis of high performance microprocessor blocks}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {898--903}, year = {2002}, crossref = {DBLP:conf/dac/2002}, url = {https://doi.org/10.1145/513918.514140}, doi = {10.1145/513918.514140}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaSDGNKKR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MishraDNT02, author = {Prabhat Mishra and Nikil D. Dutt and Alexandru Nicolau and Hiroyuki Tomiyama}, title = {Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {36--43}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998247}, doi = {10.1109/DATE.2002.998247}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MishraDNT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzevedoICGDVN02, author = {Ana Azevedo and Ilya Issenin and Radu Cornea and Rajesh Gupta and Nikil D. Dutt and Alexander V. Veidenbaum and Alexandru Nicolau}, title = {Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {168--175}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998266}, doi = {10.1109/DATE.2002.998266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzevedoICGDVN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HalambiSBDN02, author = {Ashok Halambi and Aviral Shrivastava and Partha Biswas and Nikil D. Dutt and Alexandru Nicolau}, title = {An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {402--408}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998305}, doi = {10.1109/DATE.2002.998305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HalambiSBDN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangGN02, author = {Weiyu Tang and Rajesh K. Gupta and Alexandru Nicolau}, title = {Power Savings in Embedded Processors through Decode Filer Cache}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {443--448}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998311}, doi = {10.1109/DATE.2002.998311}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TangGN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrunDN02, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Memory System Connectivity Exploration}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {894--901}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998406}, doi = {10.1109/DATE.2002.998406}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrunDN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/TangVNG02, author = {Weiyu Tang and Alexander V. Veidenbaum and Alexandru Nicolau and Rajesh K. Gupta}, title = {Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption}, booktitle = {High Performance Computing, 4th International Symposium, {ISHPC} 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings}, pages = {120--132}, year = {2002}, crossref = {DBLP:conf/ishpc/2002}, url = {https://doi.org/10.1007/3-540-47847-7\_12}, doi = {10.1007/3-540-47847-7\_12}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/TangVNG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/NicolauDSBH02, author = {Alexandru Nicolau and Nikil D. Dutt and Aviral Shrivastava and Partha Biswas and Ashok Halambi}, title = {A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {120--125}, year = {2002}, crossref = {DBLP:conf/isss/2002}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227163}, doi = {10.1109/ISSS.2002.1227163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/NicolauDSBH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/NicolauDGSRG02, author = {Alexandru Nicolau and Nikil D. Dutt and Rajesh Gupta and Nick Savoiu and Mehrdad Reshadi and Sumit Gupta}, title = {Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {261--266}, year = {2002}, crossref = {DBLP:conf/isss/2002}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227188}, doi = {10.1109/ISSS.2002.1227188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/NicolauDGSRG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MishraTHGDN02, author = {Prabhat Mishra and Hiroyuki Tomiyama and Ashok Halambi and Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {458}, year = {2002}, crossref = {DBLP:conf/vlsid/2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994963}, doi = {10.1109/ASPDAC.2002.994963}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MishraTHGDN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2002, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191}, doi = {10.1145/514191}, isbn = {1-58113-483-5}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcc/2001, editor = {Dan Grigoras and Alexandru Nicolau and Bernard Toursel and Bertil Folliot}, title = {Advanced Environments, Tools, and Applications for Cluster Computing, {NATO} Advanced Research Workshop, {IWCC} 2001, Mangalia, Romania, September 1-6, 2001, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2326}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47840-X}, doi = {10.1007/3-540-47840-X}, isbn = {3-540-43672-3}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcc/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PandaDNCVBKG01, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau and Francky Catthoor and Arnout Vandecappelle and Erik Brockmeyer and Chidamber Kulkarni and Eddy de Greef}, title = {Data Memory Organization and Optimizations in Application-Specific Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {18}, number = {3}, pages = {56--68}, year = {2001}, url = {https://doi.org/10.1109/54.922803}, doi = {10.1109/54.922803}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PandaDNCVBKG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KhareHSGDN01, author = {Asheesh Khare and Ashok Halambi and Nicolae Savoiu and Peter Grun and Nikil D. Dutt and Alex Nicolau}, title = {{V-SAT:} {A} visual specification and analysis tool for system-on-chip exploration}, journal = {J. Syst. Archit.}, volume = {47}, number = {3-4}, pages = {263--275}, year = {2001}, url = {https://doi.org/10.1016/S1383-7621(00)00049-7}, doi = {10.1016/S1383-7621(00)00049-7}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KhareHSGDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DuttNTH01, author = {Nikil D. Dutt and Alexandru Nicolau and Hiroyuki Tomiyama and Ashok Halambi}, title = {New directions in compiler technology for embedded systems (embedded tutorial)}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {409--414}, year = {2001}, crossref = {DBLP:conf/aspdac/2001}, url = {https://doi.org/10.1145/370155.370429}, doi = {10.1145/370155.370429}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DuttNTH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaSKDGN01, author = {Sumit Gupta and Nick Savoiu and Sunwoo Kim and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {Speculation Techniques for High Level Synthesis of Control Intensive Designs}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {269--272}, year = {2001}, crossref = {DBLP:conf/dac/2001}, url = {https://doi.org/10.1145/378239.378481}, doi = {10.1145/378239.378481}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaSKDGN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrunDN01, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Access pattern based local memory customization for low power embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {778--784}, year = {2001}, crossref = {DBLP:conf/date/2001}, url = {https://doi.org/10.1109/DATE.2001.915120}, doi = {10.1109/DATE.2001.915120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrunDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/MishraDN01, author = {Prabhat Mishra and Nikil D. Dutt and Alex Nicolau}, title = {Automatic validation of pipeline specifications}, booktitle = {Proceedings of the Sixth {IEEE} International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001}, pages = {9--13}, year = {2001}, crossref = {DBLP:conf/hldvt/2001}, url = {https://doi.org/10.1109/HLDVT.2001.972800}, doi = {10.1109/HLDVT.2001.972800}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/MishraDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TangGN01, author = {Weiyu Tang and Rajesh K. Gupta and Alexandru Nicolau}, title = {Design of a Predictive Filter Cache for Energy Savings in High Performance Processor Architectures}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {68--75}, year = {2001}, crossref = {DBLP:conf/iccd/2001}, url = {https://doi.org/10.1109/ICCD.2001.955005}, doi = {10.1109/ICCD.2001.955005}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TangGN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/GrunDN01, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {{APEX:} Access Pattern Based Memory Architecture Exploration}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {25--32}, year = {2001}, crossref = {DBLP:conf/isss/2001}, url = {https://doi.org/10.1109/ISSS.2001.957908}, doi = {10.1109/ISSS.2001.957908}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/GrunDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/GuptaSDGN01, author = {Sumit Gupta and Nick Savoiu and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau}, title = {Conditional speculation and its effects on performance and area for high-level snthesis}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {171--176}, year = {2001}, crossref = {DBLP:conf/isss/2001}, url = {https://doi.org/10.1109/ISSS.2001.957934}, doi = {10.1109/ISSS.2001.957934}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/GuptaSDGN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/MishraDN01, author = {Prabhat Mishra and Nikil D. Dutt and Alexandru Nicolau}, title = {Functional abstraction driven design space exploration of heterogeneous programmable architectures}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {256--261}, year = {2001}, crossref = {DBLP:conf/isss/2001}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957951}, doi = {10.1109/ISSS.2001.957951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/MishraDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MishraGDN01, author = {Prabhat Mishra and Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Processor-Memory Co-Exploration driven by a Memory-Aware Architecture Description Language}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {70--75}, year = {2001}, crossref = {DBLP:conf/vlsid/2001}, url = {https://doi.org/10.1109/ICVD.2001.902642}, doi = {10.1109/ICVD.2001.902642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MishraGDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wae/BilardiDN01, author = {Gianfranco Bilardi and Paolo D'Alberto and Alexandru Nicolau}, title = {Fractal Matrix Multiplication: {A} Case Study on Portability of Cache Performance}, booktitle = {Algorithm Engineering, 5th International Workshop, {WAE} 2001 Aarhus, Denmark, August 28-31, 2001, Proceedings}, pages = {26--38}, year = {2001}, crossref = {DBLP:conf/wae/2001}, url = {https://doi.org/10.1007/3-540-44688-5\_3}, doi = {10.1007/3-540-44688-5\_3}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wae/BilardiDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AzevedoNH00, author = {Ana Azevedo and Alexandru Nicolau and Joe Hummel}, title = {An annotation-aware Java virtual machine implementation}, journal = {Concurr. Pract. Exp.}, volume = {12}, number = {6}, pages = {423--444}, year = {2000}, url = {https://doi.org/10.1002/1096-9128(200005)12:6\&\#60;423::AID-CPE483\&\#62;3.0.CO;2-L}, doi = {10.1002/1096-9128(200005)12:6\&\#60;423::AID-CPE483\&\#62;3.0.CO;2-L}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/AzevedoNH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/SaitoSPN00, author = {Hideki Saito and Nicholas Stavrakos and Constantine D. Polychronopoulos and Alexandru Nicolau}, title = {The Design of the {PROMIS} Compiler-Towards Multi-Level Parallelization}, journal = {Int. J. Parallel Program.}, volume = {28}, number = {2}, pages = {195--212}, year = {2000}, url = {https://doi.org/10.1023/A:1007500300397}, doi = {10.1023/A:1007500300397}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/SaitoSPN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PandaDN00, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {5}, number = {3}, pages = {682--704}, year = {2000}, url = {https://doi.org/10.1145/348019.348570}, doi = {10.1145/348019.348570}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/PandaDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GrunDN00, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Memory aware compilation through accurate timing extraction}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {316--321}, year = {2000}, crossref = {DBLP:conf/dac/2000}, url = {https://doi.org/10.1145/337292.337428}, doi = {10.1145/337292.337428}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GrunDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HalambiCGDN00, author = {Ashok Halambi and Radu Cornea and Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Architecture Exploration of Parameterizable {EPIC} {SOC} Architectures}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {748}, year = {2000}, crossref = {DBLP:conf/date/2000}, url = {https://doi.org/10.1109/DATE.2000.840881}, doi = {10.1109/DATE.2000.840881}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HalambiCGDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GrunDN00, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {{MIST:} An Algorithm for Memory Miss Traffic Management}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {431--437}, year = {2000}, crossref = {DBLP:conf/iccad/2000}, url = {https://doi.org/10.1109/ICCAD.2000.896510}, doi = {10.1109/ICCAD.2000.896510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GrunDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MantripragadaN00, author = {Srinivas Mantripragada and Alexandru Nicolau}, title = {Using profiling to reduce branch misprediction costs on a dynamically scheduled processor}, booktitle = {Proceedings of the 14th international conference on Supercomputing, {ICS} 2000, Santa Fe, NM, USA, May 8-11, 2000}, pages = {206--214}, year = {2000}, crossref = {DBLP:conf/ics/2000}, url = {https://doi.org/10.1145/335231.335251}, doi = {10.1145/335231.335251}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/MantripragadaN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-3/HalambiDN00, author = {Ashok Halambi and Nikil D. Dutt and Alexandru Nicolau}, title = {Customizing Software Toolkits for Embedded Systems-On-Chip}, booktitle = {Architecture and Design of Distributed Embedded Systems, {IFIP} {WG10.3/WG10.4/WG10.5} International Workshop on Distributed and Parallel Embedded Systems {(DIPES} 2000), October 18-19, 2000, Schlo{\ss} Eringerfeld, Germany}, pages = {87--98}, year = {2000}, crossref = {DBLP:conf/ifip10-3/2000dipes}, timestamp = {Mon, 29 Jul 2002 14:40:52 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-3/HalambiDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ims/GrunDN00, author = {Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Aggressive Memory-Aware Compilation}, booktitle = {Intelligent Memory Systems, Second International Workshop, {IMS} 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers}, pages = {147--151}, year = {2000}, crossref = {DBLP:conf/ims/2000}, url = {https://doi.org/10.1007/3-540-44570-6\_10}, doi = {10.1007/3-540-44570-6\_10}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ims/GrunDN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ims/NicolaescuJVNG00, author = {Dan Nicolaescu and Xiaomei Ji and Alexander V. Veidenbaum and Alexandru Nicolau and Rajesh K. Gupta}, title = {Compiler-Directed Cache Line Size Adaptivity}, booktitle = {Intelligent Memory Systems, Second International Workshop, {IMS} 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers}, pages = {183--187}, year = {2000}, crossref = {DBLP:conf/ims/2000}, url = {https://doi.org/10.1007/3-540-44570-6\_15}, doi = {10.1007/3-540-44570-6\_15}, timestamp = {Mon, 05 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ims/NicolaescuJVNG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/JiNVNG00, author = {Xiaomei Ji and Dan Nicolaescu and Alexander V. Veidenbaum and Alexandru Nicolau and Rajesh K. Gupta}, title = {Compiler-Directed Cache Assist Adaptivity}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, pages = {88--104}, year = {2000}, crossref = {DBLP:conf/ishpc/2000}, url = {https://doi.org/10.1007/3-540-39999-2\_9}, doi = {10.1007/3-540-39999-2\_9}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/JiNVNG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PandaNDN99, author = {Preeti Ranjan Panda and Hiroshi Nakamura and Nikil D. Dutt and Alexandru Nicolau}, title = {Augmenting Loop Tiling with Data Alignment for Improved Cache Performance}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {2}, pages = {142--149}, year = {1999}, url = {https://doi.org/10.1109/12.752655}, doi = {10.1109/12.752655}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/PandaNDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PandaDN99, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Local memory exploration and optimization in embedded systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {1}, pages = {3--13}, year = {1999}, url = {https://doi.org/10.1109/43.739054}, doi = {10.1109/43.739054}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PandaDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/SaitoSCPN99, author = {Hideki Saito and Nicholas Stavrakos and Steven Carroll and Constantine D. Polychronopoulos and Alexandru Nicolau}, title = {The Design of the {PROMIS} Compiler}, booktitle = {Compiler Construction, 8th International Conference, CC'99, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'99, Amsterdam, The Netherlands, 22-28 March, 1999, Proceedings}, pages = {214--228}, year = {1999}, crossref = {DBLP:conf/cc/1999}, url = {https://doi.org/10.1007/978-3-540-49051-7\_15}, doi = {10.1007/978-3-540-49051-7\_15}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/SaitoSCPN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HalambiGGKDN99, author = {Ashok Halambi and Peter Grun and Vijay Ganesh and Asheesh Khare and Nikil D. Dutt and Alexandru Nicolau}, title = {{EXPRESSION:} {A} Language for Architecture Exploration through Compiler/Simulator Retargetability}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {485--490}, year = {1999}, crossref = {DBLP:conf/date/1999}, url = {https://doi.org/10.1109/DATE.1999.761170}, doi = {10.1109/DATE.1999.761170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HalambiGGKDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/KhareSHGDN99, author = {Asheesh Khare and Nicolae Savoiu and Ashok Halambi and Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {{V-SAT:} {A} Visual Specification and Analysis Tool for System-On-Chip Exploration}, booktitle = {25th {EUROMICRO} '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy}, pages = {1196--1203}, year = {1999}, crossref = {DBLP:conf/euromicro/1999}, url = {https://doi.org/10.1109/EURMIC.1999.794466}, doi = {10.1109/EURMIC.1999.794466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/KhareSHGDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/VeidenbaumTGNJ99, author = {Alexander V. Veidenbaum and Weiyu Tang and Rajesh K. Gupta and Alexandru Nicolau and Xiaomei Ji}, title = {Adapting cache line size to application behavior}, booktitle = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, pages = {145--154}, year = {1999}, crossref = {DBLP:conf/ics/1999}, url = {https://doi.org/10.1145/305138.305188}, doi = {10.1145/305138.305188}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/VeidenbaumTGNJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/GrunHDN99, author = {Peter Grun and Ashok Halambi and Nikil D. Dutt and Alexandru Nicolau}, title = {{RTGEN:} An Algorithm for Automatic Generation of Reservation Tables from Architectural Descriptions}, booktitle = {Proceedings of the 12th International Symposium on System Synthesis, {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999}, pages = {44--50}, year = {1999}, crossref = {DBLP:conf/isss/1999}, url = {https://doi.org/10.1109/ISSS.1999.814259}, doi = {10.1109/ISSS.1999.814259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/GrunHDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/java/AzevedoNH99, author = {Ana Azevedo and Alexandru Nicolau and Joe Hummel}, title = {Java Annotation-Aware Just-in-Time {(AJIT)} Complilation System}, booktitle = {Proceedings of the {ACM} 1999 Conference on Java Grande, {JAVA} '99, San Francisco, CA, USA, June 12-14, 1999}, pages = {142--151}, year = {1999}, crossref = {DBLP:conf/java/1999}, url = {https://doi.org/10.1145/304065.304115}, doi = {10.1145/304065.304115}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/java/AzevedoNH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/StavrakosCSPN99, author = {Nicholas Stavrakos and Steven Carroll and Hideki Saito and Constantine D. Polychronopoulos and Alexandru Nicolau}, title = {Symbolic Analysis in the {PROMIS} Compiler}, booktitle = {Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings}, pages = {468--471}, year = {1999}, crossref = {DBLP:conf/lcpc/1999}, url = {https://doi.org/10.1007/3-540-44905-1\_33}, doi = {10.1007/3-540-44905-1\_33}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/StavrakosCSPN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/Nicolau98, author = {Alexandru Nicolau}, title = {Editor's Announcement}, journal = {Int. J. Parallel Program.}, volume = {26}, number = {1}, pages = {1--2}, year = {1998}, url = {https://doi.org/10.1023/A:1018797821841}, doi = {10.1023/A:1018797821841}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/Nicolau98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PandaDN98, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Incorporating {DRAM} access modes into high-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {2}, pages = {96--109}, year = {1998}, url = {https://doi.org/10.1109/43.681260}, doi = {10.1109/43.681260}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PandaDN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PandaDN98, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Data Cache Sizing for Embedded Processor Applications}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {925--926}, year = {1998}, crossref = {DBLP:conf/date/1998}, url = {https://doi.org/10.1109/DATE.1998.655972}, doi = {10.1109/DATE.1998.655972}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PandaDN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SrinivasN98, author = {M. Srinivas and Alexandru Nicolau}, title = {Analyzing the Individual/Combined Effects of Speculative and Guarded Execution on a Superscalar Architecture}, booktitle = {12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing {(IPPS/SPDP} '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings}, pages = {199--208}, year = {1998}, crossref = {DBLP:conf/ipps/1998}, url = {https://doi.org/10.1109/IPPS.1998.669911}, doi = {10.1109/IPPS.1998.669911}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SrinivasN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/KolsonND98, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt}, title = {Copy Elimination for Parallelizing Compilers}, booktitle = {Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings}, pages = {275--289}, year = {1998}, crossref = {DBLP:conf/lcpc/1998}, url = {https://doi.org/10.1007/3-540-48319-5\_18}, doi = {10.1007/3-540-48319-5\_18}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/KolsonND98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/NovackN97, author = {Steven Novack and Alexandru Nicolau}, title = {Resource Directed Loop Pipelining: Exposing Just Enough Parallelism}, journal = {Comput. J.}, volume = {40}, number = {6}, pages = {311--321}, year = {1997}, url = {https://doi.org/10.1093/comjnl/40.6.311}, doi = {10.1093/COMJNL/40.6.311}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/NovackN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/HummelAKN97, author = {Joseph Hummel and Ana Azevedo and David J. Kolson and Alexandru Nicolau}, title = {Annotating the Java Bytecodes in Support of Optimization}, journal = {Concurr. Pract. Exp.}, volume = {9}, number = {11}, pages = {1003--1016}, year = {1997}, url = {https://doi.org/10.1002/(SICI)1096-9128(199711)9:11\&\#60;1003::AID-CPE346\&\#62;3.0.CO;2-G}, doi = {10.1002/(SICI)1096-9128(199711)9:11\&\#60;1003::AID-CPE346\&\#62;3.0.CO;2-G}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/HummelAKN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PandaDN97, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Memory data organization for improved cache performance in embedded processor applications}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {2}, number = {4}, pages = {384--409}, year = {1997}, url = {https://doi.org/10.1145/268424.268464}, doi = {10.1145/268424.268464}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/PandaDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/BrownhillNNP97, author = {Carrie J. Brownhill and Alexandru Nicolau and Steven Novack and Constantine D. Polychronopoulos}, title = {The {PROMIS} Compiler Prototype}, booktitle = {Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques {(PACT} '97), San Francisco, CA, USA, October 11-15, 1997}, pages = {116--125}, year = {1997}, crossref = {DBLP:conf/IEEEpact/1997}, url = {https://doi.org/10.1109/PACT.1997.644008}, doi = {10.1109/PACT.1997.644008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/BrownhillNNP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PandaDN97, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Efficient utilization of scratch-pad memory in embedded processor applications}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {7--11}, year = {1997}, crossref = {DBLP:conf/date/1997}, url = {https://doi.org/10.1109/EDTC.1997.582323}, doi = {10.1109/EDTC.1997.582323}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/PandaDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PandaDN97, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Exploiting off-chip memory access modes in high-level synthesis}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {333--340}, year = {1997}, crossref = {DBLP:conf/iccad/1997}, url = {https://doi.org/10.1109/ICCAD.1997.643539}, doi = {10.1109/ICCAD.1997.643539}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PandaDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PandaNDN97, author = {Preeti Ranjan Panda and Hiroshi Nakamura and Nikil D. Dutt and Alexandru Nicolau}, title = {A Data Alignment Technique for Improving Cache Performance}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {587--592}, year = {1997}, crossref = {DBLP:conf/iccd/1997}, url = {https://doi.org/10.1109/ICCD.1997.628925}, doi = {10.1109/ICCD.1997.628925}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PandaNDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irregular/PandaNDN97, author = {Preeti Ranjan Panda and Hiroshi Nakamura and Nikil D. Dutt and Alexandru Nicolau}, title = {Improving cache Performance Through Tiling and Data Alignment}, booktitle = {Solving Irregularly Structured Problems in Parallel, 4th International Symposium, {IRREGULAR} '97, Paderborn, Germany, June 12-13, 1997, Proceedings}, pages = {167--185}, year = {1997}, crossref = {DBLP:conf/irregular/1997}, url = {https://doi.org/10.1007/3-540-63138-0\_16}, doi = {10.1007/3-540-63138-0\_16}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irregular/PandaNDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/BrownhillNNP97, author = {Carrie J. Brownhill and Alexandru Nicolau and Steven Novack and Constantine D. Polychronopoulos}, title = {Achieving Multi-level Parallelization}, booktitle = {High Performance Computing, International Symposium, ISHPC'97, Fukuoka, Japan, November 4-6, 1997, Proceedings}, pages = {183--194}, year = {1997}, crossref = {DBLP:conf/ishpc/1997}, url = {https://doi.org/10.1007/BFb0024215}, doi = {10.1007/BFB0024215}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/BrownhillNNP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/PandaDN97, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Architectural Exploration and Optimization of Local Memory in Embedded Systems}, booktitle = {Proceedings of the 10th International Symposium on System Synthesis, {ISSS} '97, Antwerp, Belgium, September 17-19, 1997}, pages = {90}, year = {1997}, crossref = {DBLP:conf/isss/1997}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.1997.621680}, doi = {10.1109/ISSS.1997.621680}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/PandaDN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/BilardiNH97, author = {Gianfranco Bilardi and Alexandru Nicolau and Joe Hummel}, title = {A Systematic Approach to Branch Speculation}, booktitle = {Languages and Compilers for Parallel Computing, 10th International Workshop, LCPC'97, Minneapolis, Minnesota, USA, August 7-9, 1997, Proceedings}, pages = {394--411}, year = {1997}, crossref = {DBLP:conf/lcpc/1997}, url = {https://doi.org/10.1007/BFb0032707}, doi = {10.1007/BFB0032707}, timestamp = {Sat, 30 Sep 2023 09:52:06 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/BilardiNH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1996, editor = {David C. Sehr and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1239}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0017241}, doi = {10.1007/BFB0017241}, isbn = {3-540-63091-0}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WengNS96, author = {Haigeng Wang and Alexandru Nicolau and Kai{-}Yeung Siu}, title = {The Strict Time Lower Bound and Optimal Schedules for Parallel Prefix with Resource Constraints}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {11}, pages = {1257--1271}, year = {1996}, url = {https://doi.org/10.1109/12.544482}, doi = {10.1109/12.544482}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WengNS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KolsonND96, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt}, title = {Elimination of redundant memory traffic in high-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {11}, pages = {1354--1364}, year = {1996}, url = {https://doi.org/10.1109/43.543768}, doi = {10.1109/43.543768}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KolsonND96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KolsonNDK96, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt and Ken Kennedy}, title = {Optimal register assignment to loops for embedded code generation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {1}, number = {2}, pages = {251--279}, year = {1996}, url = {https://doi.org/10.1145/233539.233542}, doi = {10.1145/233539.233542}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KolsonNDK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/WangNKS96, author = {Haigeng Wang and Alexandru Nicolau and Stephen Keung and Kai{-}Yeung Siu}, title = {Computing Programs Containing Band Linear Recurrences on Vector Supercomputers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {7}, number = {8}, pages = {769--782}, year = {1996}, url = {https://doi.org/10.1109/71.532109}, doi = {10.1109/71.532109}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/WangNKS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/NovackN96, author = {Steven Novack and Alexandru Nicolau}, title = {An efficient, global resource-directed approach to exploiting instruction-level parallelism}, booktitle = {Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996}, pages = {87--96}, year = {1996}, crossref = {DBLP:conf/IEEEpact/1996}, url = {https://doi.org/10.1109/PACT.1996.552637}, doi = {10.1109/PACT.1996.552637}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/NovackN96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KolsonNDK96, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt and Ken Kennedy}, title = {A Method for Register Allocation to Loops in Multiple Register File Architectures}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {28--33}, year = {1996}, crossref = {DBLP:conf/ipps/1996}, url = {https://doi.org/10.1109/IPPS.1996.508035}, doi = {10.1109/IPPS.1996.508035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KolsonNDK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/PandaDN96, author = {Preeti Ranjan Panda and Nikil D. Dutt and Alexandru Nicolau}, title = {Memory Organization for Improved Data Cache Performance in Embedded Processors}, booktitle = {Proceedings of the 9th International Symposium on System Synthesis, {ISSS} '96, San Diego, CA, USA, November 6-8, 1996}, pages = {90--95}, year = {1996}, crossref = {DBLP:conf/isss/1996}, url = {https://doi.org/10.1109/ISSS.1996.565886}, doi = {10.1109/ISSS.1996.565886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/PandaDN96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NovackN96, author = {Steven Novack and Alexandru Nicolau}, title = {Resource-\emph{Directed} Loop Pipelining}, booktitle = {Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings}, pages = {192--206}, year = {1996}, crossref = {DBLP:conf/lcpc/1996}, url = {https://doi.org/10.1007/BFb0017253}, doi = {10.1007/BFB0017253}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NovackN96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1995, editor = {Chua{-}Huang Huang and P. Sadayappan and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, 8th International Workshop, LCPC'95, Columbus, Ohio, USA, August 10-12, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1033}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0014187}, doi = {10.1007/BFB0014187}, isbn = {3-540-60765-X}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/NovackN95, author = {Steven Novack and Alexandru Nicolau}, title = {A hierarchical approach to instruction-level parallelization}, journal = {Int. J. Parallel Program.}, volume = {23}, number = {1}, pages = {35--62}, year = {1995}, url = {https://doi.org/10.1007/BF02577783}, doi = {10.1007/BF02577783}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/NovackN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/CapitanioND95, author = {Andrea Capitanio and Alexandru Nicolau and Nikil D. Dutt}, title = {A hypergraph-based model for port allocation on multiple-register-file {VLIW} architectures}, journal = {Int. J. Parallel Program.}, volume = {23}, number = {6}, pages = {499--513}, year = {1995}, url = {https://doi.org/10.1007/BF02577864}, doi = {10.1007/BF02577864}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/CapitanioND95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AikenNN95, author = {Alexander Aiken and Alexandru Nicolau and Steven Novack}, title = {Resource-Constrained Software Pipelining}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {12}, pages = {1248--1270}, year = {1995}, url = {https://doi.org/10.1109/71.476167}, doi = {10.1109/71.476167}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AikenNN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GongGN95, author = {Jie Gong and Daniel D. Gajski and Alexandru Nicolau}, title = {Performance evaluation for application-specific architectures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {3}, number = {4}, pages = {483--490}, year = {1995}, url = {https://doi.org/10.1109/92.475967}, doi = {10.1109/92.475967}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GongGN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OnionND95, author = {Frederick Onion and Alexandru Nicolau and Nikil D. Dutt}, title = {Incorporating compiler feedback into the design of ASIPs}, booktitle = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, pages = {508--515}, year = {1995}, crossref = {DBLP:conf/date/1995}, url = {https://doi.org/10.1109/EDTC.1995.470353}, doi = {10.1109/EDTC.1995.470353}, timestamp = {Fri, 20 May 2022 15:41:46 +0200}, biburl = {https://dblp.org/rec/conf/date/OnionND95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/KolsonNDK95, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt and Ken Kennedy}, title = {Optimal register assignment to loops for embedded code generation}, booktitle = {Proceedings of the 8th International Symposium on System Synthesis {(ISSS} 1995), September 13-15, 1995, Cannes, France}, pages = {42--47}, year = {1995}, crossref = {DBLP:conf/isss/1995}, url = {https://doi.org/10.1145/224486.224494}, doi = {10.1145/224486.224494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/KolsonNDK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NovackHN95, author = {Steven Novack and Joseph Hummel and Alexandru Nicolau}, title = {A Simple Mechanism for Improving the Accuracy and Efficiency of Instruction-Level Disambiguation}, booktitle = {Languages and Compilers for Parallel Computing, 8th International Workshop, LCPC'95, Columbus, Ohio, USA, August 10-12, 1995, Proceedings}, pages = {289--303}, year = {1995}, crossref = {DBLP:conf/lcpc/1995}, url = {https://doi.org/10.1007/BFb0014206}, doi = {10.1007/BFB0014206}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NovackHN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcr/HummelHN95, author = {Joe Hummel and Laurie J. Hendren and Alex Nicolau}, title = {Path Collection and Dependence Testing in the Presence of Dynamic, Pointer-Based Data Structures}, booktitle = {Languages, Compilers and Run-Time Systems for Scalable Computers, Third Workshop, {LCR} 1995, Troy, NY, USA, May 1995}, pages = {15--27}, year = {1995}, crossref = {DBLP:conf/lcr/1995}, url = {https://doi.org/10.1007/978-1-4615-2315-4\_2}, doi = {10.1007/978-1-4615-2315-4\_2}, timestamp = {Mon, 14 Feb 2022 14:49:19 +0100}, biburl = {https://dblp.org/rec/conf/lcr/HummelHN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1994, editor = {Keshav Pingali and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, 7th International Workshop, LCPC'94, Ithaca, NY, USA, August 8-10, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {892}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/BFb0025866}, doi = {10.1007/BFB0025866}, isbn = {3-540-58868-X}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/BanerjeeGNP94, author = {Utpal Banerjee and David Gelernter and Alex Nicolau and David A. Padua}, title = {Editors' introduction}, journal = {Int. J. Parallel Program.}, volume = {22}, number = {1}, pages = {1}, year = {1994}, url = {https://doi.org/10.1007/BF02577790}, doi = {10.1007/BF02577790}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/BanerjeeGNP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/HwuN94, author = {Wen{-}mei W. Hwu and Alex Nicolau}, title = {From the guest editors}, journal = {Int. J. Parallel Program.}, volume = {22}, number = {3}, pages = {207--208}, year = {1994}, url = {https://doi.org/10.1007/BF02577732}, doi = {10.1007/BF02577732}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/HwuN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KolsonND94, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt}, title = {Minimization of Memory Traffic in High-Level Synthesis}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {149--154}, year = {1994}, crossref = {DBLP:conf/dac/1994}, url = {https://doi.org/10.1145/196244.196316}, doi = {10.1145/196244.196316}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KolsonND94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/NovackND94, author = {Steven Novack and Alexandru Nicolau and Nikil D. Dutt}, title = {A Unified code generation approach using mutation scheduling}, booktitle = {Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31 - September 2, 1994]}, pages = {203--218}, year = {1994}, crossref = {DBLP:conf/dagstuhl/1994code}, timestamp = {Tue, 19 Jun 2018 18:52:39 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/NovackND94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/GongGN94, author = {Jie Gong and Daniel D. Gajski and Alex Nicolau}, title = {A performance evaluator for parameterized {ASIC} architectures}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {66--71}, year = {1994}, crossref = {DBLP:conf/eurodac/1994}, url = {http://dl.acm.org/citation.cfm?id=198212}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/GongGN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KolsonND94, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt}, title = {Integrating program transformations in the memory-based synthesis of image and video algorithms}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {27--30}, year = {1994}, crossref = {DBLP:conf/iccad/1994}, url = {https://doi.org/10.1109/ICCAD.1994.629738}, doi = {10.1109/ICCAD.1994.629738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KolsonND94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HummelHN94, author = {Joseph Hummel and Laurie J. Hendren and Alexandru Nicolau}, title = {A Framework for Data Dependence Testing in the Presence of Pointers}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {II:} Software}, pages = {216--224}, year = {1994}, crossref = {DBLP:conf/icpp/1994-2}, url = {https://doi.org/10.1109/ICPP.1994.26}, doi = {10.1109/ICPP.1994.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HummelHN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/CapitanioDN94, author = {Andrea Capitanio and Nikil D. Dutt and Alexandru Nicolau}, title = {Partitioning of Variables for Multiple-Register-File {VLIW} Architectures}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Architecture}, pages = {298--301}, year = {1994}, crossref = {DBLP:conf/icpp/1994-1}, url = {https://doi.org/10.1109/ICPP.1994.155}, doi = {10.1109/ICPP.1994.155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/CapitanioDN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipPACT/SrinivasNA94, author = {Mantipragada Srinivas and Alexandru Nicolau and Vicki H. Allan}, title = {An Approach to Combine Predicated/Speculative Execution for Programs with Unpredictable Branches}, booktitle = {Parallel Architectures and Compilation Techniques, Proceedings of the {IFIP} {WG10.3} Working Conference on Parallel Architectures and Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26 August, 1994}, pages = {147--156}, year = {1994}, crossref = {DBLP:conf/ifipPACT/1994}, url = {http://dl.acm.org/citation.cfm?id=713824}, timestamp = {Thu, 25 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifipPACT/SrinivasNA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipPACT/CapitanioDN94, author = {Andrea Capitanio and Nikil D. Dutt and Alexandru Nicolau}, title = {Partitioning of Variables for Multiple-Register-File Architectures via Hypergraph Coloring}, booktitle = {Parallel Architectures and Compilation Techniques, Proceedings of the {IFIP} {WG10.3} Working Conference on Parallel Architectures and Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26 August, 1994}, pages = {319--322}, year = {1994}, crossref = {DBLP:conf/ifipPACT/1994}, url = {http://dl.acm.org/citation.cfm?id=713841}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifipPACT/CapitanioDN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HummelNH94, author = {Joseph Hummel and Alexandru Nicolau and Laurie J. Hendren}, title = {A Language for Conveying the Aliasing Properties of Dynamic, Pointer-Based Data Structures}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {208--216}, year = {1994}, crossref = {DBLP:conf/ipps/1994}, url = {https://doi.org/10.1109/IPPS.1994.288299}, doi = {10.1109/IPPS.1994.288299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/HummelNH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WangNKS94, author = {Haigeng Wang and Alexandru Nicolau and Stephen Keung and Kai{-}Yeung Siu}, title = {Scalable Techniques for Computing Band Linear Recurrences on Massively Parallel and Vector Supercomputers}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {502--508}, year = {1994}, crossref = {DBLP:conf/ipps/1994}, url = {https://doi.org/10.1109/IPPS.1994.288256}, doi = {10.1109/IPPS.1994.288256}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/WangNKS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NovackN94, author = {Steven Novack and Alexandru Nicolau}, title = {Mutation Scheduling: {A} Unified Approach to Compiling for Fine-Grain Parallelism}, booktitle = {Languages and Compilers for Parallel Computing, 7th International Workshop, LCPC'94, Ithaca, NY, USA, August 8-10, 1994, Proceedings}, pages = {16--30}, year = {1994}, crossref = {DBLP:conf/lcpc/1994}, url = {https://doi.org/10.1007/BFb0025868}, doi = {10.1007/BFB0025868}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NovackN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/HummelHN94, author = {Joseph Hummel and Laurie J. Hendren and Alexandru Nicolau}, title = {A General Data Dependence Test for Dynamic, Pointer-Based Data Structures}, booktitle = {Proceedings of the {ACM} SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994}, pages = {218--229}, year = {1994}, crossref = {DBLP:conf/pldi/1994}, url = {https://doi.org/10.1145/178243.178262}, doi = {10.1145/178243.178262}, timestamp = {Fri, 09 Jul 2021 14:03:46 +0200}, biburl = {https://dblp.org/rec/conf/pldi/HummelHN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KolsonDN94, author = {David J. Kolson and Nikil D. Dutt and Alexandru Nicolau}, title = {Ultra Fine-Grain Template-Driven Synthesis}, booktitle = {Proceedings of the Seventh International Conference on {VLSI} Design, {VLSI} Design 1994, Calcutta, India, January 5-8, 1994}, pages = {25--28}, year = {1994}, crossref = {DBLP:conf/vlsid/1994}, url = {https://doi.org/10.1109/ICVD.1994.282651}, doi = {10.1109/ICVD.1994.282651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KolsonDN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1993, editor = {Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, 6th International Workshop, Portland, Oregon, USA, August 12-14, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {768}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-57659-2}, doi = {10.1007/3-540-57659-2}, isbn = {3-540-57659-2}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhsc/YangBN93, author = {Jiyuan Yang and Lubomir Bic and Alexandru Nicolau}, title = {A Mapping Strategy for {MIMD} Computers}, journal = {Int. J. High Speed Comput.}, volume = {5}, number = {1}, pages = {89--123}, year = {1993}, url = {https://doi.org/10.1142/S0129053393000062}, doi = {10.1142/S0129053393000062}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhsc/YangBN93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhsc/Nicolau93, author = {Alexandru Nicolau}, title = {Massive Parallelism and Fine-Grain Parallelism: are They Incompatible?}, journal = {Int. J. High Speed Comput.}, volume = {5}, number = {2}, pages = {271--292}, year = {1993}, url = {https://doi.org/10.1142/S0129053393000128}, doi = {10.1142/S0129053393000128}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhsc/Nicolau93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BanerjeeENP93, author = {Utpal Banerjee and Rudolf Eigenmann and Alexandru Nicolau and David A. Padua}, title = {Automatic program parallelization}, journal = {Proc. {IEEE}}, volume = {81}, number = {2}, pages = {211--243}, year = {1993}, url = {https://doi.org/10.1109/5.214548}, doi = {10.1109/5.214548}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BanerjeeENP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangDNS93, author = {Haigeng Wang and Nikil D. Dutt and Alexandru Nicolau and Kai{-}Yeung Siu}, title = {High-Level Synthesis of Scalable Architectures for {IIR} Filters using Multichip Modules}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {336--342}, year = {1993}, crossref = {DBLP:conf/dac/1993}, url = {https://doi.org/10.1145/157485.164920}, doi = {10.1145/157485.164920}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangDNS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/WangDN93, author = {Haigeng Wang and Nikil D. Dutt and Alex Nicolau}, title = {Regular schedules for scalable design of {IIR} filters}, booktitle = {Proceedings of the European Design Automation Conference 1993, {EURO-DAC} '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993}, pages = {52--57}, year = {1993}, crossref = {DBLP:conf/eurodac/1993}, url = {https://doi.org/10.1109/EURDAC.1993.410616}, doi = {10.1109/EURDAC.1993.410616}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/WangDN93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/NicolauN93, author = {Alexandru Nicolau and Steven Novack}, title = {Trailblazing: {A} Hierarchical Approach to Percolation Scheduling}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {II:} Software}, pages = {120--124}, year = {1993}, crossref = {DBLP:conf/icpp/1993-2}, url = {https://doi.org/10.1109/ICPP.1993.181}, doi = {10.1109/ICPP.1993.181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/NicolauN93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NovackN93, author = {Steven Novack and Alexandru Nicolau}, title = {{VISTA:} The Visual Interface for Scheduling Transformations and Analysis}, booktitle = {Languages and Compilers for Parallel Computing, 6th International Workshop, Portland, Oregon, USA, August 12-14, 1993, Proceedings}, pages = {449--460}, year = {1993}, crossref = {DBLP:conf/lcpc/1993}, url = {https://doi.org/10.1007/3-540-57659-2\_26}, doi = {10.1007/3-540-57659-2\_26}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NovackN93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/WangDN93, author = {Haigeng Wang and Nikil D. Dutt and Alexandru Nicolau}, title = {Harmonic Scheduling: {A} Technique for Scheduling Beyond Loop-Carried Dependencies}, booktitle = {Proceedings of the Sixth International Conference on {VLSI} Design, {VLSI} Design 1993, Bombay, India, January 3-6, 1993}, pages = {198--201}, year = {1993}, crossref = {DBLP:conf/vlsid/1993}, url = {https://doi.org/10.1109/ICVD.1993.669677}, doi = {10.1109/ICVD.1993.669677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/WangDN93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1992, editor = {Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, 5th International Workshop, New Haven, Connecticut, USA, August 3-5, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {757}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-57502-2}, doi = {10.1007/3-540-57502-2}, isbn = {3-540-57502-2}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/loplas/HummelHN92, author = {Joseph Hummel and Laurie J. Hendren and Alexandru Nicolau}, title = {Abstract Description of Pointer Data Structures: An Approach for Improving the Analysis and Optimization of Imperative Programs}, journal = {{LOPLAS}}, volume = {1}, number = {3}, pages = {243--260}, year = {1992}, url = {https://doi.org/10.1145/151640.151644}, doi = {10.1145/151640.151644}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/loplas/HummelHN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/WangDN92, author = {Haigeng Wang and Nikil D. Dutt and Alexandru Nicolau}, title = {Harmonic scheduling of linear recurrences for digital filter design}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {396--401}, year = {1992}, crossref = {DBLP:conf/eurodac/1992}, url = {https://doi.org/10.1109/EURDAC.1992.246213}, doi = {10.1109/EURDAC.1992.246213}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/WangDN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HummelHN92, author = {Joseph Hummel and Laurie J. Hendren and Alexandru Nicolau}, title = {Applying an Abstract Data Structure Description Approach to Parallelizing Scientific Pointer Programs}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {II:} Software}, pages = {100--104}, year = {1992}, crossref = {DBLP:conf/icpp/1992-2}, timestamp = {Mon, 28 Jul 2014 17:06:02 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HummelHN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/NicolauN92, author = {Alexandru Nicolau and Steven Novack}, title = {An Efficient Global Resource Constrained Technique for Exploiting Instruction Level Parallelism}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {II:} Software}, pages = {297--301}, year = {1992}, crossref = {DBLP:conf/icpp/1992-2}, timestamp = {Mon, 28 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/NicolauN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/WangN92, author = {Haigeng Wang and Alexandru Nicolau}, title = {Speedup of band linear recurrences in the presence of resource constraints}, booktitle = {Proceedings of the 6th international conference on Supercomputing, {ICS} 1992, Washington, DC, USA, July 19-24, 1992}, pages = {466--477}, year = {1992}, crossref = {DBLP:conf/ics/1992}, url = {https://doi.org/10.1145/143369.143452}, doi = {10.1145/143369.143452}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/WangN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/BrownhillN92, author = {Carrie J. Brownhill and Alexandru Nicolau}, title = {A Hierarchical Parallelizing Compiler for {VLIW/MIMD} Machines}, booktitle = {Languages and Compilers for Parallel Computing, 5th International Workshop, New Haven, Connecticut, USA, August 3-5, 1992, Proceedings}, pages = {49--63}, year = {1992}, crossref = {DBLP:conf/lcpc/1992}, url = {https://doi.org/10.1007/3-540-57502-2\_39}, doi = {10.1007/3-540-57502-2\_39}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/BrownhillN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/CapitanioDN92, author = {Andrea Capitanio and Nikil D. Dutt and Alexandru Nicolau}, title = {Partitioned register files for VLIWs: a preliminary analysis of tradeoffs}, booktitle = {Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992}, pages = {292--300}, year = {1992}, crossref = {DBLP:conf/micro/1992}, url = {https://doi.org/10.1109/MICRO.1992.697033}, doi = {10.1109/MICRO.1992.697033}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/CapitanioDN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/HendrenHN92, author = {Laurie J. Hendren and Joseph Hummel and Alexandru Nicolau}, title = {Abstractions for Recursive Pointer Data Structures: Improving the Analysis of Imperative Programs}, booktitle = {Proceedings of the {ACM} SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992}, pages = {249--260}, year = {1992}, crossref = {DBLP:conf/pldi/1992}, url = {https://doi.org/10.1145/143095.143138}, doi = {10.1145/143095.143138}, timestamp = {Fri, 09 Jul 2021 14:03:46 +0200}, biburl = {https://dblp.org/rec/conf/pldi/HendrenHN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1991, editor = {Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Languages and Compilers for Parallel Computing, Fourth International Workshop, Santa Clara, California, USA, August 7-9, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {589}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/BFb0038653}, doi = {10.1007/BFB0038653}, isbn = {3-540-55422-X}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NicolauP91, author = {Alexandru Nicolau and Roni Potasman}, title = {Incremental Tree Height Reduction for High Level Synthesis}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {770--774}, year = {1991}, crossref = {DBLP:conf/dac/1991}, url = {https://doi.org/10.1145/127601.127767}, doi = {10.1145/127601.127767}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NicolauP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/YangBN91, author = {Jiyuan Yang and Lubomir Bic and Alexandru Nicolau}, title = {A Mapping Strategy for {MIMD} Computers}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {102--109}, year = {1991}, crossref = {DBLP:conf/icpp/1991-1}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/YangBN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/AbnousPBN91, author = {Arthur Abnous and Roni Potasman and Nader Bagherzadeh and Alexandru Nicolau}, title = {A Percolation Based {VLIW} Architecture}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {144--148}, year = {1991}, crossref = {DBLP:conf/icpp/1991-1}, timestamp = {Mon, 28 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/AbnousPBN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KimN91, author = {Ki{-}Chang Kim and Alexandru Nicolau}, title = {Parallelizing Tightly Nested Loops}, booktitle = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, pages = {630--633}, year = {1991}, crossref = {DBLP:conf/ipps/1991}, url = {https://doi.org/10.1109/IPPS.1991.153847}, doi = {10.1109/IPPS.1991.153847}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KimN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BrennerFGKLMNPPRSSW91, author = {Alfred Brenner and Richard F. Freund and R. Stockton Gaines and Rob Kelly and Louis Lome and Richard McAndrew and Alexandru Nicolau and Janak H. Patel and Thomas Probert and John H. Reif and Jorge L. C. Sanz and Howard Jay Siegel and Jon A. Webb}, title = {How Do We Make Parallel Processing a Reality? Bridging the Gap Between Theory and Practice}, booktitle = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, pages = {648--653}, year = {1991}, crossref = {DBLP:conf/ipps/1991}, timestamp = {Fri, 01 Aug 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/BrennerFGKLMNPPRSSW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/NicolauPW91, author = {Alexandru Nicolau and Roni Potasman and Haigeng Wang}, title = {Register Allocation, Renaming and Their Impact on Fine-Grain Parallelism}, booktitle = {Languages and Compilers for Parallel Computing, Fourth International Workshop, Santa Clara, California, USA, August 7-9, 1991, Proceedings}, pages = {218--235}, year = {1991}, crossref = {DBLP:conf/lcpc/1991}, url = {https://doi.org/10.1007/BFb0038667}, doi = {10.1007/BFB0038667}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/NicolauPW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/WangNP91, author = {Haigeng Wang and Alexandru Nicolau and Roni Potasman}, title = {A New Technique for Induction Variable Removal}, booktitle = {Proceedings of the 24th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 24, Albuquerque, New Mexico, USA, November 18-20, 1991}, pages = {172--180}, year = {1991}, crossref = {DBLP:conf/micro/1991}, url = {https://doi.org/10.1145/123465.123501}, doi = {10.1145/123465.123501}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/WangNP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/NicolauW91, author = {Alexandru Nicolau and Haigeng Wang}, title = {Optimal Schedules for Parallel Prefix Computation with Bounded Resources}, booktitle = {Proceedings of the Third {ACM} {SIGPLAN} Symposium on Principles {\&} Practice of Parallel Programming (PPOPP), Williamsburg, Virginia, USA, April 21-24, 1991}, pages = {1--10}, year = {1991}, crossref = {DBLP:conf/ppopp/1991}, url = {https://doi.org/10.1145/109625.109627}, doi = {10.1145/109625.109627}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/NicolauW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/BeckPN90, author = {Micah Beck and Keshav Pingali and Alexandru Nicolau}, title = {Static Scheduling for Dynamic Dataflow Machines}, journal = {J. Parallel Distributed Comput.}, volume = {10}, number = {4}, pages = {279--288}, year = {1990}, url = {https://doi.org/10.1016/0743-7315(90)90030-S}, doi = {10.1016/0743-7315(90)90030-S}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/BeckPN90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HendrenN90, author = {Laurie J. Hendren and Alexandru Nicolau}, title = {Parallelizing Programs with Recursive Data Structures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {1}, number = {1}, pages = {35--47}, year = {1990}, url = {https://doi.org/10.1109/71.80123}, doi = {10.1109/71.80123}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HendrenN90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PotasmanLNG90, author = {Roni Potasman and Joseph Lis and Alexandru Nicolau and Daniel Gajski}, title = {Percolation Based Synthesis}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {444--449}, year = {1990}, crossref = {DBLP:conf/dac/1990}, url = {https://doi.org/10.1145/123186.123333}, doi = {10.1145/123186.123333}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PotasmanLNG90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KimN90, author = {Ki{-}Chang Kim and Alexandru Nicolau}, title = {Parallelizing Non-Vectorizable Loops for {MIMD} Machines}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 2: Software}, pages = {114--118}, year = {1990}, crossref = {DBLP:conf/icpp/1990-2}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/KimN90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/NicolauP90, author = {Alexandru Nicolau and Roni Potasman}, title = {Realistic scheduling: compaction for pipelined architectures}, booktitle = {Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990}, pages = {69--79}, year = {1990}, crossref = {DBLP:conf/micro/1990}, url = {https://dl.acm.org/citation.cfm?id=255252}, timestamp = {Wed, 13 Feb 2019 11:42:26 +0100}, biburl = {https://dblp.org/rec/conf/micro/NicolauP90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/BilardiN89, author = {Gianfranco Bilardi and Alexandru Nicolau}, title = {Adaptive Bitonic Sorting: An Optimal Parallel Algorithm for Shared-Memory Machines}, journal = {{SIAM} J. Comput.}, volume = {18}, number = {2}, pages = {216--228}, year = {1989}, url = {https://doi.org/10.1137/0218014}, doi = {10.1137/0218014}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/BilardiN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Nicolau89, author = {Alexandru Nicolau}, title = {Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies}, journal = {{IEEE} Trans. Computers}, volume = {38}, number = {5}, pages = {663--678}, year = {1989}, url = {https://doi.org/10.1109/12.24269}, doi = {10.1109/12.24269}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Nicolau89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HendrenN89, author = {Laurie J. Hendren and Alexandru Nicolau}, title = {Parallelizing Programs with Recursive Data Structures}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '89, The Pennsylvania State University, University Park, PA, USA, August 1989. Volume 2: Software}, pages = {49--56}, year = {1989}, crossref = {DBLP:conf/icpp/1989-2}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HendrenN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EbciogluN89, author = {Kemal Ebcioglu and Alexandru Nicolau}, title = {A global resource-constrained parallelization technique}, booktitle = {Proceedings of the 3rd international conference on Supercomputing, {ICS} 1989, Heraklion, Crete, Greece, June 5-9, 1989}, pages = {154--163}, year = {1989}, crossref = {DBLP:conf/ics/1989}, url = {https://doi.org/10.1145/318789.318807}, doi = {10.1145/318789.318807}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/EbciogluN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HendrenN89, author = {Laurie J. Hendren and Alexandru Nicolau}, title = {Intererence analysis tools for parallelizing programs with recursive data structures}, booktitle = {Proceedings of the 3rd international conference on Supercomputing, {ICS} 1989, Heraklion, Crete, Greece, June 5-9, 1989}, pages = {205--214}, year = {1989}, crossref = {DBLP:conf/ics/1989}, url = {https://doi.org/10.1145/318789.318812}, doi = {10.1145/318789.318812}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/HendrenN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Nicolau88, author = {Alexandru Nicolau}, title = {Loop Quantization: {A} Generalized Loop Unwinding Technique}, journal = {J. Parallel Distributed Comput.}, volume = {5}, number = {5}, pages = {568--586}, year = {1988}, url = {https://doi.org/10.1016/0743-7315(88)90013-5}, doi = {10.1016/0743-7315(88)90013-5}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/Nicolau88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/NicolauPA88, author = {Alexandru Nicolau and Keshav Pingali and Alexander Aiken}, title = {Fine-grain compilation for pipelined machines}, journal = {J. Supercomput.}, volume = {2}, number = {3}, pages = {279--295}, year = {1988}, url = {https://doi.org/10.1007/BF00129781}, doi = {10.1007/BF00129781}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/NicolauPA88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/AikenN88, author = {Alexander Aiken and Alexandru Nicolau}, title = {A Development Environment for Horizontal Microcode}, journal = {{IEEE} Trans. Software Eng.}, volume = {14}, number = {5}, pages = {584--594}, year = {1988}, url = {https://doi.org/10.1109/32.6136}, doi = {10.1109/32.6136}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/AikenN88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esop/AikenN88, author = {Alexander Aiken and Alexandru Nicolau}, title = {Perfect Pipelining: {A} New Loop Parallelization Technique}, booktitle = {{ESOP} '88, 2nd European Symposium on Programming, Nancy, France, March 21-24, 1988, Proceedings}, pages = {221--235}, year = {1988}, crossref = {DBLP:conf/esop/1988}, url = {https://doi.org/10.1007/3-540-19027-9\_15}, doi = {10.1007/3-540-19027-9\_15}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/esop/AikenN88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/AikenN88, author = {Alexander Aiken and Alexandru Nicolau}, title = {Optimal Loop Parallelization}, booktitle = {Proceedings of the {ACM} SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988}, pages = {308--317}, year = {1988}, crossref = {DBLP:conf/pldi/1988}, url = {https://doi.org/10.1145/53990.54021}, doi = {10.1145/53990.54021}, timestamp = {Fri, 09 Jul 2021 14:03:46 +0200}, biburl = {https://dblp.org/rec/conf/pldi/AikenN88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Nicolau87, author = {Alexandru Nicolau}, title = {Loop Quantization or Unwinding Done Right}, booktitle = {Supercomputing, 1st International Conference, Athens, Greece, June 8-12, 1987, Proceedings}, pages = {294--308}, year = {1987}, crossref = {DBLP:conf/ics/1987}, url = {https://doi.org/10.1007/3-540-18991-2\_17}, doi = {10.1007/3-540-18991-2\_17}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/ics/Nicolau87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/KarplusN86, author = {Kevin Karplus and Alexandru Nicolau}, title = {Getting High Performance with Slow Memory}, booktitle = {Spring COMPCON'86, Digest of Papers, Thirty-First {IEEE} Computer Society International Conference, San Francisco, California, USA, March 3-6, 1986}, pages = {248--253}, year = {1986}, crossref = {DBLP:conf/compcon/1986}, timestamp = {Wed, 28 Jun 2006 09:47:20 +0200}, biburl = {https://dblp.org/rec/conf/compcon/KarplusN86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/AikenN86, author = {Alexander Aiken and Alexandru Nicolau}, title = {A development environment for horizontal microcode programs}, booktitle = {Proceedings of the 19th annual workshop on Microprogramming, New York, NY, USA, October 15-17, 1986}, pages = {23--31}, year = {1986}, crossref = {DBLP:conf/micro/1986}, url = {https://doi.org/10.1145/19551.19534}, doi = {10.1145/19551.19534}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/AikenN86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Nicolau85, author = {Alexandru Nicolau}, title = {Uniform Parallelism Exploitation in Ordinary Programs}, booktitle = {International Conference on Parallel Processing, ICPP'85, University Park, PA, USA, August 1985}, pages = {614--618}, year = {1985}, crossref = {DBLP:conf/icpp/1985}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/Nicolau85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KarplusN85, author = {Kevin Karplus and Alexandru Nicolau}, title = {Efficient hardware for multiway jumps and pre-fetches}, booktitle = {Proceedings of the 18th annual workshop on Microprogramming, Pacific Grove, CA, USA, December 3-6, 1985}, pages = {11--18}, year = {1985}, crossref = {DBLP:conf/micro/1985}, url = {https://doi.org/10.1145/18927.18908}, doi = {10.1145/18927.18908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/KarplusN85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/NicolauF84, author = {Alexandru Nicolau and Joseph A. Fisher}, title = {Measuring the Parallelism Available for Very Long Instruction Word Architectures}, journal = {{IEEE} Trans. Computers}, volume = {33}, number = {11}, pages = {968--976}, year = {1984}, url = {https://doi.org/10.1109/TC.1984.1676371}, doi = {10.1109/TC.1984.1676371}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/NicolauF84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/FisherERN84, author = {Joseph A. Fisher and John R. Ellis and John C. Ruttenberg and Alexandru Nicolau}, title = {Parallel processing: a smart compiler and a dumb machine (with retrospective)}, booktitle = {20 Years of the {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation 1979-1999, {A} Selection}, pages = {112--124}, year = {1984}, crossref = {DBLP:conf/pldi/2004best}, url = {https://doi.org/10.1145/989393.989408}, doi = {10.1145/989393.989408}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/FisherERN84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigplan/FisherERN84, author = {Joseph A. Fisher and John R. Ellis and John C. Ruttenberg and Alexandru Nicolau}, title = {Parallel processing: a smart compiler and a dumb machine}, booktitle = {Proceedings of the 1984 {SIGPLAN} Symposium on Compiler Construction, Montreal, Canada, June 17-22, 1984}, pages = {37--47}, year = {1984}, crossref = {DBLP:conf/sigplan/1984}, url = {https://doi.org/10.1145/502874.502878}, doi = {10.1145/502874.502878}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigplan/FisherERN84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/CohenN83, author = {Jacques Cohen and Alexandru Nicolau}, title = {Comparison of Compacting Algorithms for Garbage Collection}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {5}, number = {4}, pages = {532--553}, year = {1983}, url = {https://doi.org/10.1145/69575.357226}, doi = {10.1145/69575.357226}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/CohenN83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/NicolauF81, author = {Alexandru Nicolau and Joseph A. Fisher}, title = {Using an oracle to measure potential parallelism in single instruction stream programs}, booktitle = {Proceedings of the 14th annual workshop on Microprogramming, {MICRO} 1981, Chatham (Cape Cod), Massachusetts, {USA}}, pages = {171--182}, year = {1981}, crossref = {DBLP:conf/micro/1981}, url = {http://dl.acm.org/citation.cfm?id=802448}, timestamp = {Thu, 25 Sep 2014 17:21:53 +0200}, biburl = {https://dblp.org/rec/conf/micro/NicolauF81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cscloud/2023, title = {10th {IEEE} International Conference on Cyber Security and Cloud Computing, CSCloud 2023 / {IEEE} 9th International Conference on Edge Computing and Scalable Cloud, EdgeCom 2023, Xiangtan, Hunan, China, July 1-3, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CSCloud/EdgeCom58631.2023}, doi = {10.1109/CSCLOUD/EDGECOM58631.2023}, isbn = {979-8-3503-1246-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cscloud/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2023, title = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023}, doi = {10.1109/DAC56929.2023}, isbn = {979-8-3503-2348-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icrc/2023, title = {{IEEE} International Conference on Rebooting Computing, {ICRC} 2023, San Diego, CA, USA, December 5-6, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRC60800.2023}, doi = {10.1109/ICRC60800.2023}, isbn = {979-8-3503-8204-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icrc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2023w, title = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPSW59300.2023}, doi = {10.1109/IPDPSW59300.2023}, isbn = {979-8-3503-1199-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2023w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kdd/2023, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305}, doi = {10.1145/3580305}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/kdd/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/2023, title = {29th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RTCSA58653.2023}, doi = {10.1109/RTCSA58653.2023}, isbn = {979-8-3503-3786-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2022, editor = {Rob Oshana}, title = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517}, doi = {10.1145/3489517}, isbn = {978-1-4503-9142-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2022, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022}, doi = {10.23919/DATE54114.2022}, isbn = {978-3-9819263-6-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isqed/2022, title = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022}, doi = {10.1109/ISQED54688.2022}, isbn = {978-1-6654-9466-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isqed/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eduhpc/2021, title = {9th {IEEE/ACM} Workshop on Education for High Performance Computing, EduHPC@SC 2021, St. Louis, MO, USA, November 14, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EduHPC54835.2021}, doi = {10.1109/EDUHPC54835.2021}, isbn = {978-1-6654-1116-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/eduhpc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2021, title = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021}, doi = {10.1109/EMBC46164.2021}, isbn = {978-1-7281-1179-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/embc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2020w, title = {2020 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2020, New Orleans, LA, USA, May 18-22, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9142309/proceeding}, isbn = {978-1-7281-7445-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2020w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpga/2019, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602}, doi = {10.1145/3289602}, isbn = {978-1-4503-6137-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fpga/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2019w, title = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8765855/proceeding}, isbn = {978-1-7281-3510-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2019w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fccm/2018, title = {26th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2018, Boulder, CO, USA, April 29 - May 1, 2018}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8457441/proceeding}, isbn = {978-1-5386-5522-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fccm/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2018, editor = {Mary W. Hall and Hari Sundar}, title = {Languages and Compilers for Parallel Computing - 31st International Workshop, {LCPC} 2018, Salt Lake City, UT, USA, October 9-11, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11882}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34627-0}, doi = {10.1007/978-3-030-34627-0}, isbn = {978-3-030-34626-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmsys/2018, editor = {Pablo C{\'{e}}sar and Michael Zink and Niall Murray}, title = {Proceedings of the 9th {ACM} Multimedia Systems Conference, MMSys 2018, Amsterdam, The Netherlands, June 12-15, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3204949}, doi = {10.1145/3204949}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2017, title = {2017 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2017, Taipei, Taiwan, September 25, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8126806/proceeding}, isbn = {978-1-5386-2948-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiswc/2017, title = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8122074/proceeding}, isbn = {978-1-5386-1233-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2017, editor = {Lawrence Rauchwerger}, title = {Languages and Compilers for Parallel Computing - 30th International Workshop, {LCPC} 2017, College Station, TX, USA, October 11-13, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11403}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35225-7}, doi = {10.1007/978-3-030-35225-7}, isbn = {978-3-030-35224-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2016, editor = {Gianluca Palermo and John Feo}, title = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2903150}, doi = {10.1145/2903150}, isbn = {978-1-4503-4128-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cf/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2016, editor = {Chen Ding and John Criswell and Peng Wu}, title = {Languages and Compilers for Parallel Computing - 29th International Workshop, {LCPC} 2016, Rochester, NY, USA, September 28-30, 2016, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {10136}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-52709-3}, doi = {10.1007/978-3-319-52709-3}, isbn = {978-3-319-52708-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/reconfig/2016, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7838017/proceeding}, isbn = {978-1-5090-3707-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/samos/2016, editor = {Walid A. Najjar and Andreas Gerstlauer}, title = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7803477/proceeding}, isbn = {978-1-5090-3076-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/samos/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2015, title = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2744769}, isbn = {978-1-4503-3520-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2015, editor = {Wolfgang Nebel and David Atienza}, title = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755753}, isbn = {978-3-9815370-4-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/estimedia/2015, title = {13th {IEEE} Symposium on Embedded Systems For Real-time Multimedia, ESTIMedia 2015, Amsterdam, The Netherlands, October 8-9, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7312647/proceeding}, isbn = {978-1-4673-8164-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/samos/2015, editor = {Dimitrios Soudris and Luigi Carro}, title = {2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2015, Samos, Greece, July 19-23, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7358369/proceeding}, isbn = {978-1-4673-7311-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/samos/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2014, editor = {Radu Marculescu and Gabriela Nicolescu}, title = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656075}, doi = {10.1145/2656075}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/codes/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2014, title = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2593069}, isbn = {978-1-4503-2730-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/25a, editor = {Utpal Banerjee}, title = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2591635}, isbn = {978-1-4503-2840-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/25a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/npc/2014, editor = {Ching{-}Hsien Hsu and Xuanhua Shi and Valentina Salapura}, title = {Network and Parallel Computing - 11th {IFIP} {WG} 10.3 International Conference, {NPC} 2014, Ilan, Taiwan, September 18-20, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8707}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44917-2}, doi = {10.1007/978-3-662-44917-2}, isbn = {978-3-662-44916-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/npc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/appt/2013, editor = {Chenggang Wu and Albert Cohen}, title = {Advanced Parallel Processing Technologies - 10th International Symposium, {APPT} 2013, Stockholm, Sweden, August 27-28, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8299}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45293-2}, doi = {10.1007/978-3-642-45293-2}, isbn = {978-3-642-45292-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/appt/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2013, title = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6507004/proceeding}, isbn = {978-1-4673-3029-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cc/2013, editor = {Ranjit Jhala and Koen De Bosschere}, title = {Compiler Construction - 22nd International Conference, {CC} 2013, Held as Part of the European Joint Conferences on Theory and Practice of Software, {ETAPS} 2013, Rome, Italy, March 16-24, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7791}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37051-9}, doi = {10.1007/978-3-642-37051-9}, isbn = {978-3-642-37050-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2013, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2464996}, isbn = {978-1-4503-2130-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispdc/2013, editor = {Nicolae Tapus and Dan Grigoras and Rodica Potolea and Florin Pop}, title = {{IEEE} 12th International Symposium on Parallel and Distributed Computing, {ISPDC} 2013, Bucharest, Romania, June 27-30, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6598363/proceeding}, isbn = {978-1-4799-2967-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2012, editor = {John Feo and Paolo Faraboschi and Oreste Villa}, title = {Proceedings of the Computing Frontiers Conference, CF'12, Caligari, Italy - May 15 - 17, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2212908}, isbn = {978-1-4503-1215-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cf/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2012, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445}, doi = {10.1145/2380445}, isbn = {978-1-4503-1426-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/codes/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2012, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171057/proceeding}, isbn = {978-1-4577-2145-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/estimedia/2012, title = {{IEEE} 10th Symposium on Embedded Systems for Real-time Multimedia, ESTIMedia 2012, Tampere, Finland, October 11-12, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6504610/proceeding}, isbn = {978-1-4673-4968-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipc/2012, title = {19th International Conference on High Performance Computing, HiPC 2012, Pune, India, December 18-22, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6504607/proceeding}, isbn = {978-1-4673-2372-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/hipc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2012, editor = {Hironori Kasahara and Keiji Kimura}, title = {Languages and Compilers for Parallel Computing, 25th International Workshop, {LCPC} 2012, Tokyo, Japan, September 11-13, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7760}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37658-0}, doi = {10.1007/978-3-642-37658-0}, isbn = {978-3-642-37657-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2011, editor = {Calin Cascaval and Pedro Trancoso and Viktor K. Prasanna}, title = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, publisher = {{ACM}}, year = {2011}, isbn = {978-1-4503-0698-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cf/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sbac-pad/2011, editor = {Jean{-}Luc Gaudiot and Alba C. M. A. Melo and Alberto F. De Souza and Lucia Catabriga}, title = {23rd International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2011, Vit{\'{o}}ria, Esp{\'{\i}}rito Santo, Brazil, October 26-29, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6105662/proceeding}, isbn = {978-0-7695-4573-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:reference/parallel/2011, editor = {David A. Padua}, title = {Encyclopedia of Parallel Computing}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-0-387-09766-4}, doi = {10.1007/978-0-387-09766-4}, isbn = {978-0-387-09765-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/reference/parallel/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2010, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-4503-0044-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cf/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispdc/2010, title = {Ninth International Symposium on Parallel and Distributed Computing, {ISPDC} 2010, Istanbul, Turkey, July 7-9, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5531909/proceeding}, isbn = {978-0-7695-4120-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2010, editor = {Keith D. Cooper and John M. Mellor{-}Crummey and Vivek Sarkar}, title = {Languages and Compilers for Parallel Computing - 23rd International Workshop, {LCPC} 2010, Houston, TX, USA, October 7-9, 2010. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6548}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19595-2}, doi = {10.1007/978-3-642-19595-2}, isbn = {978-3-642-19594-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wosp/2010, editor = {Alan Adamson and Andre B. Bondi and Carlos Juiz and Mark S. Squillante}, title = {Proceedings of the first joint {WOSP/SIPEW} International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-60558-563-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/wosp/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/2009, title = {{ICPP} 2009, International Conference on Parallel Processing, Vienna, Austria, 22-25 September 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5361797/proceeding}, isbn = {978-0-7695-3802-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2009, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275}, doi = {10.1145/1542275}, isbn = {978-1-60558-498-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ijcnn/2009, title = {International Joint Conference on Neural Networks, {IJCNN} 2009, Atlanta, Georgia, USA, 14-19 June 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5161636/proceeding}, isbn = {978-1-4244-3548-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2009, editor = {Daniel A. Reed and Vivek Sarkar}, title = {Proceedings of the 14th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2009, Raleigh, NC, USA, February 14-18, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1504176}, doi = {10.1145/1504176}, isbn = {978-1-60558-397-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sipew/2009, editor = {David R. Kaeli and Kai Sachs}, title = {Computer Performance Evaluation and Benchmarking, {SPEC} Benchmark Workshop 2009, Austin, TX, USA, January 25, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5419}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-93799-9}, doi = {10.1007/978-3-540-93799-9}, isbn = {978-3-540-93798-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/sipew/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/systor/2009, editor = {Miriam Allalouf and Michael Factor and Dror G. Feitelson}, title = {Proceedings of of {SYSTOR} 2009: The Israeli Experimental Systems Conference 2009, Haifa, Israel, May 4-6, 2009}, series = {{ACM} International Conference Proceeding Series}, publisher = {{ACM}}, year = {2009}, isbn = {978-1-60558-623-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/systor/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2008, editor = {Erik R. Altman}, title = {Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2008, Atlanta, GA, USA, October 19-24, 2008}, publisher = {{ACM}}, year = {2008}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cases/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lctrts/2008, editor = {Kriszti{\'{a}}n Flautner and John Regehr}, title = {Proceedings of the 2008 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375657}, doi = {10.1145/1375657}, isbn = {978-1-60558-104-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lctrts/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2008, editor = {Siddhartha Chatterjee and Michael L. Scott}, title = {Proceedings of the 13th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2008, Salt Lake City, UT, USA, February 20-23, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1345206}, doi = {10.1145/1345206}, isbn = {978-1-59593-795-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/samos/2008ic, editor = {Walid A. Najjar and Holger Blume}, title = {Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2008), Samos, Greece, July 21-24, 2008}, publisher = {{IEEE}}, year = {2008}, isbn = {978-1-4244-1985-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/samos/2008ic.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2007, title = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4195969/proceeding}, isbn = {1-4244-0629-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2007, editor = {Taewhan Kim and Pascal Sainrat and Steven S. Lumetta and Nacho Navarro}, title = {Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria, September 30 - October 3, 2007}, publisher = {{ACM}}, year = {2007}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cases/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2007, editor = {Burton J. Smith}, title = {Proceedings of the 21th Annual International Conference on Supercomputing, {ICS} 2007, Seattle, Washington, USA, June 17-21, 2007}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1274971}, doi = {10.1145/1274971}, isbn = {978-1-59593-768-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2007, title = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4203121/proceeding}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/parco/2007, editor = {Christian H. Bischof and H. Martin B{\"{u}}cker and Paul Gibbon and Gerhard R. Joubert and Thomas Lippert and Bernd Mohr and Frans J. Peters}, title = {Parallel Computing: Architectures, Algorithms and Applications, ParCo 2007, Forschungszentrum J{\"{u}}lich and {RWTH} Aachen University, Germany, 4-7 September 2007}, series = {Advances in Parallel Computing}, volume = {15}, publisher = {{IOS} Press}, year = {2008}, isbn = {978-1-58603-796-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/parco/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2007, editor = {Katherine A. Yelick and John M. Mellor{-}Crummey}, title = {Proceedings of the 12th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2007, San Jose, California, USA, March 14-17, 2007}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1229428}, doi = {10.1145/1229428}, isbn = {978-1-59593-602-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmetrics/2007, editor = {Leana Golubchik and Mostafa H. Ammar and Mor Harchol{-}Balter}, title = {Proceedings of the 2007 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2007, San Diego, California, USA, June 12-16, 2007}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1254882}, doi = {10.1145/1254882}, isbn = {978-1-59593-639-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/sigmetrics/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2006, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254}, doi = {10.1145/1176254}, isbn = {1-59593-370-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/codes/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2006p, editor = {Georges G. E. Gielen}, title = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11014/proceeding}, isbn = {3-9810801-1-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2006p.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/estimedia/2006, editor = {Soonhoi Ha and Samarjit Chakraborty}, title = {Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction with {CODES+ISSS} 2006}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4115428/proceeding}, isbn = {0-7803-9783-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/europar/2006, editor = {Wolfgang E. Nagel and Wolfgang V. Walter and Wolfgang Lehner}, title = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4128}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11823285}, doi = {10.1007/11823285}, isbn = {3-540-37783-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/europar/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/2006, title = {2006 International Conference on Parallel Processing {(ICPP} 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11126/proceeding}, isbn = {0-7695-2636-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2006, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401}, doi = {10.1145/1183401}, isbn = {1-59593-282-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispdc/2006, title = {5th International Symposium on Parallel and Distributed Computing {(ISPDC} 2006), 6-9 July 2006, Timisoara, Romania}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4021893/proceeding}, isbn = {0-7695-2638-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lctrts/2006, editor = {Mary Jane Irwin and Koen De Bosschere}, title = {Proceedings of the 2006 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1134650}, doi = {10.1145/1134650}, isbn = {1-59593-362-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lctrts/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/spaa/2006, editor = {Phillip B. Gibbons and Uzi Vishkin}, title = {{SPAA} 2006: Proceedings of the 18th Annual {ACM} Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1148109}, doi = {10.1145/1148109}, isbn = {1-59593-452-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/spaa/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2005, editor = {Thomas M. Conte and Paolo Faraboschi and William H. Mangione{-}Smith and Walid A. Najjar}, title = {Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California, USA, September 24-27, 2005}, publisher = {{ACM}}, year = {2005}, isbn = {1-59593-149-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cases/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2005, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834}, doi = {10.1145/1084834}, isbn = {1-59593-161-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/codes/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2005, title = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9609/proceeding}, isbn = {0-7695-2288-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2005, editor = {Wayne H. Wolf}, title = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, publisher = {{ACM}}, year = {2005}, isbn = {1-59593-091-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/estimedia/2005, editor = {Miguel Miranda and Soonhoi Ha}, title = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10172/proceeding}, isbn = {0-7803-9347-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcsw/2005, title = {25th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9817/proceeding}, isbn = {0-7695-2328-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2005, title = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9722/proceeding}, isbn = {0-7695-2312-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ishpc/2005, editor = {Jes{\'{u}}s Labarta and Kazuki Joe and Toshinori Sato}, title = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4759}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77704-5}, doi = {10.1007/978-3-540-77704-5}, isbn = {978-3-540-77703-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispdc/2005, title = {4th International Symposium on Parallel and Distributed Computing {(ISPDC} 2005), 4-6 July 2005, Lille, France}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10707/proceeding}, isbn = {0-7695-2434-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2005, editor = {Eduard Ayguad{\'{e}} and Gerald Baumgartner and J. Ramanujam and P. Sadayappan}, title = {Languages and Compilers for Parallel Computing, 18th International Workshop, {LCPC} 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4339}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-69330-7}, doi = {10.1007/978-3-540-69330-7}, isbn = {978-3-540-69329-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2005, editor = {Keshav Pingali and Katherine A. Yelick and Andrew S. Grimshaw}, title = {Proceedings of the {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2005, June 15-17, 2005, Chicago, IL, {USA}}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065944}, doi = {10.1145/1065944}, isbn = {1-59593-080-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2004, editor = {Alex Orailoglu and Pai H. Chou and Petru Eles and Axel Jantsch}, title = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1016720}, doi = {10.1145/1016720}, isbn = {1-58113-937-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/codes/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2004, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, publisher = {{ACM}}, year = {2004}, url = {http://dl.acm.org/citation.cfm?id=996566}, isbn = {1-58113-828-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2004, title = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {http://www.computer.org/csdl/proceedings/date/2004/index.html}, isbn = {0-7695-2085-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpl/2004, editor = {J{\"{u}}rgen Becker and Marco Platzner and Serge Vernalde}, title = {Field Programmable Logic and Application, 14th International Conference , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3203}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b99787}, doi = {10.1007/B99787}, isbn = {3-540-22989-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fpl/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2004, editor = {Rudolf Eigenmann and Zhiyuan Li and Samuel P. Midkiff}, title = {Languages and Compilers for High Performance Computing, 17th International Workshop, {LCPC} 2004, West Lafayette, IN, USA, September 22-24, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3602}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11532378}, doi = {10.1007/11532378}, isbn = {3-540-28009-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mascots/2004, editor = {Doug DeGroot and Peter G. Harrison and Harry A. G. Wijshoff and Zary Segall}, title = {12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems {(MASCOTS} 2004), 4-8 October 2004, Vollendam, The Netherlands}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9336/proceeding}, isbn = {0-7695-2251-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/mascots/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2003, title = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8443/proceeding}, isbn = {0-7695-1870-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2003, title = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790/proceeding}, isbn = {0-7695-2025-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2003, title = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8608/proceeding}, isbn = {0-7695-1926-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2003, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, publisher = {{ACM}}, year = {2003}, isbn = {1-58113-682-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/islped/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/2003, editor = {Lawrence Rauchwerger}, title = {Languages and Compilers for Parallel Computing, 16th International Workshop, {LCPC} 2003, College Station, TX, USA, October 2-4, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2958}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b95707}, doi = {10.1007/B95707}, isbn = {3-540-21199-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2003, editor = {Lawrence A. Rowe and Harrick M. Vin and Thomas Plagemann and Prashant J. Shenoy and John R. Smith}, title = {Proceedings of the Eleventh {ACM} International Conference on Multimedia, Berkeley, CA, USA, November 2-8, 2003}, publisher = {{ACM}}, year = {2003}, url = {http://dl.acm.org/citation.cfm?id=957013}, isbn = {1-58113-722-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/mm/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsid/2003, title = {16th International Conference on {VLSI} Design {(VLSI} Design 2003), 4-8 January 2003, New Delhi, India}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8427/proceeding}, isbn = {0-7695-1868-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/03/JYVW2003, editor = {Ahmed Amine Jerraya and Sungjoo Yoo and Diederik Verkest and Norbert Wehn}, title = {Embedded Software for SoC}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/b105739}, doi = {10.1007/B105739}, isbn = {978-1-4020-7528-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/books/sp/03/JYVW2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2002, title = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, publisher = {{ACM}}, year = {2002}, url = {http://dl.acm.org/citation.cfm?id=513918}, isbn = {1-58113-461-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2002, title = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7834/proceeding}, isbn = {0-7695-1471-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ishpc/2002, editor = {Hans P. Zima and Kazuki Joe and Mitsuhisa Sato and Yoshiki Seo and Masaaki Shimasaki}, title = {High Performance Computing, 4th International Symposium, {ISHPC} 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2327}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47847-7}, doi = {10.1007/3-540-47847-7}, isbn = {3-540-43674-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/2002, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/581199}, doi = {10.1145/581199}, isbn = {1-58113-576-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsid/2002, title = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7806/proceeding}, doi = {10.5555/832284}, isbn = {0-7695-1299-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2001, editor = {Satoshi Goto}, title = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155}, doi = {10.1145/370155}, isbn = {0-7803-6634-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2001, title = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, publisher = {{ACM}}, year = {2001}, url = {http://dl.acm.org/citation.cfm?id=378239}, isbn = {1-58113-297-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2001, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7307/proceeding}, isbn = {0-7695-0993-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hldvt/2001, title = {Proceedings of the Sixth {IEEE} International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7669/proceeding}, isbn = {0-7695-1411-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2001, title = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7577/proceeding}, isbn = {0-7695-1200-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccd/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/2001, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1145/500001}, doi = {10.1145/500001}, isbn = {1-58113-418-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsid/2001, title = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7234/proceeding}, isbn = {0-7695-0831-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wae/2001, editor = {Gerth St{\o}lting Brodal and Daniele Frigioni and Alberto Marchetti{-}Spaccamela}, title = {Algorithm Engineering, 5th International Workshop, {WAE} 2001 Aarhus, Denmark, August 28-31, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2141}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44688-5}, doi = {10.1007/3-540-44688-5}, isbn = {3-540-42500-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/wae/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2000, editor = {Giovanni De Micheli}, title = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, publisher = {{ACM}}, year = {2000}, url = {http://dl.acm.org/citation.cfm?id=337292}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2000, editor = {Ivo Bolsens}, title = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/6761/proceeding}, doi = {10.1145/343647}, isbn = {0-7695-0537-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2000, editor = {Ellen Sentovich}, title = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/7189/proceeding}, isbn = {0-7803-6448-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccad/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2000, editor = {John Reynders and Alexander V. Veidenbaum}, title = {Proceedings of the 14th international conference on Supercomputing, {ICS} 2000, Santa Fe, NM, USA, May 8-11, 2000}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/335231}, doi = {10.1145/335231}, isbn = {1-58113-270-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip10-3/2000dipes, editor = {Bernd Kleinjohann}, title = {Architecture and Design of Distributed Embedded Systems, {IFIP} {WG10.3/WG10.4/WG10.5} International Workshop on Distributed and Parallel Embedded Systems {(DIPES} 2000), October 18-19, 2000, Schlo{\ss} Eringerfeld, Germany}, series = {{IFIP} Conference Proceedings}, volume = {189}, publisher = {Kluwer}, year = {2001}, isbn = {0-7923-7345-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ifip10-3/2000dipes.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ims/2000, editor = {Frederic T. Chong and Christoforos E. Kozyrakis and Mark Oskin}, title = {Intelligent Memory Systems, Second International Workshop, {IMS} 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2107}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44570-6}, doi = {10.1007/3-540-44570-6}, isbn = {3-540-42328-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ims/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ishpc/2000, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2}, doi = {10.1007/3-540-39999-2}, isbn = {3-540-41128-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cc/1999, editor = {Stefan J{\"{a}}hnichen}, title = {Compiler Construction, 8th International Conference, CC'99, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'99, Amsterdam, The Netherlands, 22-28 March, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1575}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/b72146}, doi = {10.1007/B72146}, isbn = {3-540-65717-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/cc/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/1999, title = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://ieeexplore.ieee.org/xpl/conhome/6133/proceeding}, doi = {10.1145/307418}, isbn = {0-7695-0078-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/euromicro/1999, title = {25th {EUROMICRO} '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://ieeexplore.ieee.org/xpl/conhome/6447/proceeding}, isbn = {0-7695-0321-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/1999, editor = {Theodore S. Papatheodorou and Mateo Valero and Constantine D. Polychronopoulos and Yoichi Muraoka and Jes{\'{u}}s Labarta}, title = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305138}, doi = {10.1145/305138}, isbn = {1-58113-164-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/1999, title = {Proceedings of the 12th International Symposium on System Synthesis, {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1999}, url = {https://ieeexplore.ieee.org/xpl/conhome/6603/proceeding}, isbn = {0-7695-0356-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/java/1999, editor = {Geoffrey C. Fox and Klaus E. Schauser and Marc Snir}, title = {Proceedings of the {ACM} 1999 Conference on Java Grande, {JAVA} '99, San Francisco, CA, USA, June 12-14, 1999}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/304065}, doi = {10.1145/304065}, isbn = {1-58113-161-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/java/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1999, editor = {Larry Carter and Jeanne Ferrante}, title = {Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1863}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44905-1}, doi = {10.1007/3-540-44905-1}, isbn = {3-540-67858-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/1998, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/conhome/5270/proceeding}, isbn = {0-8186-8359-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/1998, title = {12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing {(IPPS/SPDP} '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/conhome/5485/proceeding}, isbn = {0-8186-8403-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1998, editor = {Siddhartha Chatterjee and Jan F. Prins and Larry Carter and Jeanne Ferrante and Zhiyuan Li and David C. Sehr and Pen{-}Chung Yew}, title = {Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1656}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48319-5}, doi = {10.1007/3-540-48319-5}, isbn = {3-540-66426-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/IEEEpact/1997, title = {Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques {(PACT} '97), San Francisco, CA, USA, October 11-15, 1997}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/5192/proceeding}, isbn = {0-8186-8090-3}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/1997, title = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/4452/proceeding}, doi = {10.5555/787260}, isbn = {0-8186-7786-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/1997, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/5191/proceeding}, isbn = {0-8186-8200-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccad/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/1997, title = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/4973/proceeding}, isbn = {0-8186-8206-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccd/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irregular/1997, editor = {Gianfranco Bilardi and Afonso Ferreira and Reinhard L{\"{u}}ling and Jos{\'{e}} D. P. Rolim}, title = {Solving Irregularly Structured Problems in Parallel, 4th International Symposium, {IRREGULAR} '97, Paderborn, Germany, June 12-13, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1253}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-63138-0}, doi = {10.1007/3-540-63138-0}, isbn = {3-540-63138-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/irregular/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ishpc/1997, editor = {Constantine D. Polychronopoulos and Kazuki Joe and Keijiro Araki and Makoto Amamiya}, title = {High Performance Computing, International Symposium, ISHPC'97, Fukuoka, Japan, November 4-6, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1336}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0024199}, doi = {10.1007/BFB0024199}, isbn = {3-540-63766-4}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/1997, editor = {Frank Vahid and Francky Catthoor}, title = {Proceedings of the 10th International Symposium on System Synthesis, {ISSS} '97, Antwerp, Belgium, September 17-19, 1997}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/4904/proceeding}, isbn = {0-8186-7949-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcpc/1997, editor = {Zhiyuan Li and Pen{-}Chung Yew and Siddhartha Chatterjee and Chua{-}Huang Huang and P. Sadayappan and David C. Sehr}, title = {Languages and Compilers for Parallel Computing, 10th International Workshop, LCPC'97, Minneapolis, Minnesota, USA, August 7-9, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1366}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0032679}, doi = {10.1007/BFB0032679}, isbn = {3-540-64472-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/IEEEpact/1996, title = {Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://ieeexplore.ieee.org/xpl/conhome/4076/proceeding}, isbn = {0-8186-7632-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/1996, title = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://ieeexplore.ieee.org/xpl/conhome/3743/proceeding}, isbn = {0-8186-7255-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/1996, title = {Proceedings of the 9th International Symposium on System Synthesis, {ISSS} '96, San Diego, CA, USA, November 6-8, 1996}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1996}, url = {http://dl.acm.org/citation.cfm?id=524431}, isbn = {0-8186-7563-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/1995, title = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://ieeexplore.ieee.org/xpl/conhome/3300/proceeding}, doi = {10.5555/787258}, isbn = {0-8186-7039-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/date/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/1995, editor = {Pierre G. Paulin and Farhad Mavaddat}, title = {Proceedings of the 8th International Symposium on System Synthesis {(ISSS} 1995), September 13-15, 1995, Cannes, France}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224486}, doi = {10.1145/224486}, isbn = {0-89791-771-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/isss/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lcr/1995, editor = {Boleslaw K. Szymanski and Balaram Sinharoy}, title = {Languages, Compilers and Run-Time Systems for Scalable Computers, Third Workshop, {LCR} 1995, Troy, NY, USA, May 1995}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/978-1-4615-2315-4}, doi = {10.1007/978-1-4615-2315-4}, isbn = {978-1-4613-5979-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/lcr/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1994, editor = {Michael J. Lorenzetti}, title = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, publisher = {{ACM} Press}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=196244}, isbn = {0-7803-1836-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dagstuhl/1994code, editor = {Peter Marwedel and Gert Goossens}, title = {Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31 - September 2, 1994]}, publisher = {Kluwer}, year = {1995}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dagstuhl/1994code.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurodac/1994, editor = {Jean Mermet}, title = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198174}, isbn = {0-89791-685-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/1994, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/4983/proceeding}, isbn = {0-89791-690-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/iccad/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1994-2, editor = {K. C. Tai}, title = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {II:} Software}, publisher = {{CRC} Press}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/4115736/proceeding}, isbn = {0-8493-2494-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1994-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1994-1, editor = {Dharma P. Agrawal}, title = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Architecture}, publisher = {{CRC} Press}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/4115670/proceeding}, isbn = {0-8493-2493-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1994-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifipPACT/1994, editor = {Michel Cosnard and Guang R. Gao and Gabriel M. Silberman}, title = {Parallel Architectures and Compilation Techniques, Proceedings of the {IFIP} {WG10.3} Working Conference on Parallel Architectures and Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26 August, 1994}, series = {{IFIP} Transactions}, volume = {{A-50}}, publisher = {North-Holland}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=647042}, isbn = {0-444-81926-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ifipPACT/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/1994, editor = {Howard Jay Siegel}, title = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/958/proceeding}, isbn = {0-8186-5602-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pldi/1994, editor = {Vivek Sarkar and Barbara G. Ryder and Mary Lou Soffa}, title = {Proceedings of the {ACM} SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994}, publisher = {{ACM}}, year = {1994}, url = {https://doi.org/10.1145/178243}, doi = {10.1145/178243}, isbn = {0-89791-662-X}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/pldi/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsid/1994, title = {Proceedings of the Seventh International Conference on {VLSI} Design, {VLSI} Design 1994, Calcutta, India, January 5-8, 1994}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/930/proceeding}, isbn = {0-8186-4990-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1993, editor = {Alfred E. Dunlop}, title = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, publisher = {{ACM} Press}, year = {1993}, url = {http://dl.acm.org/citation.cfm?id=157485}, isbn = {0-89791-577-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurodac/1993, title = {Proceedings of the European Design Automation Conference 1993, {EURO-DAC} '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://ieeexplore.ieee.org/xpl/conhome/3227/proceeding}, isbn = {0-8186-4350-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1993-2, editor = {Alok N. Choudhary and P. Bruce Berra}, title = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {II:} Software}, publisher = {{CRC} Press}, year = {1993}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4134171}, isbn = {0-8493-8985-2}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1993-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsid/1993, title = {Proceedings of the Sixth International Conference on {VLSI} Design, {VLSI} Design 1993, Bombay, India, January 3-6, 1993}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://ieeexplore.ieee.org/xpl/conhome/5448/proceeding}, isbn = {0-8186-3180-5}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurodac/1992, editor = {Gerald Musgrave}, title = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://ieeexplore.ieee.org/xpl/conhome/409/proceeding}, isbn = {0-8186-2780-8}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/1992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1992-2, editor = {Kang G. Shin}, title = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {II:} Software}, publisher = {{CRC} Press}, year = {1992}, isbn = {0-8493-0782-1}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1992-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/1992, editor = {Ken Kennedy and Constantine D. Polychronopoulos}, title = {Proceedings of the 6th international conference on Supercomputing, {ICS} 1992, Washington, DC, USA, July 19-24, 1992}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/143369}, doi = {10.1145/143369}, isbn = {0-89791-485-6}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ics/1992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1992, editor = {Wen{-}mei W. Hwu}, title = {Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1992}, url = {https://ieeexplore.ieee.org/xpl/conhome/900/proceeding}, isbn = {0-8186-3175-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/micro/1992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pldi/1992, editor = {Stuart I. Feldman and Richard L. Wexelblat}, title = {Proceedings of the {ACM} SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/143095}, doi = {10.1145/143095}, isbn = {0-89791-475-9}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/pldi/1992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1991, editor = {A. Richard Newton}, title = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, publisher = {{ACM}}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=127601}, isbn = {0-89791395-7}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/dac/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1991-1, title = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1991-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/1991, editor = {V. K. Prasanna Kumar}, title = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://ieeexplore.ieee.org/xpl/conhome/489/proceeding}, isbn = {0-8186-9167-0}, timestamp = {Thu, 28 Mar 2024 23:42:47 +0100}, biburl = {https://dblp.org/rec/conf/ipps/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1991, editor = {Yashwant K. Malaiya}, title = {Proceedings of the 24th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 24, Albuquerque, New Mexico, USA, November 18-20, 1991}, publisher = {{ACM/IEEE}}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=123465}, isbn = {0-89791-460-0}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/micro/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/1991, editor = {David S. Wise}, title = {Proceedings of the Third {ACM} {SIGPLAN} Symposium on Principles {\&} Practice of Parallel Programming (PPOPP), Williamsburg, Virginia, USA, April 21-24, 1991}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/109625}, doi = {10.1145/109625}, isbn = {0-89791-390-6}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1990, editor = {Richard C. Smith}, title = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {http://dl.acm.org/citation.cfm?id=123186}, isbn = {0-89791-363-9}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/dac/1990.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1990-2, editor = {David A. Padua}, title = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 2: Software}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1990-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1990, editor = {Christos A. Papachristou and Vicki H. Allan}, title = {Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990}, publisher = {{ACM/IEEE}}, year = {1990}, url = {http://dl.acm.org/citation.cfm?id=255237}, isbn = {0-89791-413-9}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/micro/1990.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1989-2, title = {Proceedings of the International Conference on Parallel Processing, {ICPP} '89, The Pennsylvania State University, University Park, PA, USA, August 1989. Volume 2: Software}, publisher = {Pennsylvania State University Press}, year = {1989}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1989-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/1989, editor = {George Paul and Theodore S. Papatheodorou and Dennis Gannon and E. N. Pudue}, title = {Proceedings of the 3rd international conference on Supercomputing, {ICS} 1989, Heraklion, Crete, Greece, June 5-9, 1989}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/318789}, doi = {10.1145/318789}, isbn = {0-89791-309-4}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/ics/1989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esop/1988, editor = {Harald Ganzinger}, title = {{ESOP} '88, 2nd European Symposium on Programming, Nancy, France, March 21-24, 1988, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {300}, publisher = {Springer}, year = {1988}, url = {https://doi.org/10.1007/3-540-19027-9}, doi = {10.1007/3-540-19027-9}, isbn = {3-540-19027-9}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/esop/1988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pldi/1988, editor = {Richard L. Wexelblat}, title = {Proceedings of the {ACM} SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/53990}, doi = {10.1145/53990}, isbn = {0-89791-269-1}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/pldi/1988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/1987, editor = {Elias N. Houstis and Theodore S. Papatheodorou and Constantine D. Polychronopoulos}, title = {Supercomputing, 1st International Conference, Athens, Greece, June 8-12, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {297}, publisher = {Springer}, year = {1988}, url = {https://doi.org/10.1007/3-540-18991-2}, doi = {10.1007/3-540-18991-2}, isbn = {3-540-18991-2}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/ics/1987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compcon/1986, title = {Spring COMPCON'86, Digest of Papers, Thirty-First {IEEE} Computer Society International Conference, San Francisco, California, USA, March 3-6, 1986}, publisher = {{IEEE} Computer Society}, year = {1986}, isbn = {0-8186-0692-4}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/compcon/1986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1986, editor = {Stanley Habib}, title = {Proceedings of the 19th annual workshop on Microprogramming, New York, NY, USA, October 15-17, 1986}, publisher = {{ACM/IEEE}}, year = {1986}, url = {http://dl.acm.org/citation.cfm?id=19551}, isbn = {0-8186-0736-X}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/micro/1986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1985, title = {International Conference on Parallel Processing, ICPP'85, University Park, PA, USA, August 1985}, publisher = {{IEEE} Computer Society Press}, year = {1985}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/icpp/1985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1985, editor = {Rich Belgard}, title = {Proceedings of the 18th annual workshop on Microprogramming, Pacific Grove, CA, USA, December 3-6, 1985}, publisher = {{ACM/IEEE}}, year = {1985}, url = {http://dl.acm.org/citation.cfm?id=18927}, isbn = {0-89791-172-5}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/micro/1985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pldi/2004best, editor = {Kathryn S. McKinley}, title = {20 Years of the {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation 1979-1999, {A} Selection}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/989393}, doi = {10.1145/989393}, isbn = {1-58113-623-4}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/pldi/2004best.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigplan/1984, editor = {Mary S. Van Deusen and Susan L. Graham}, title = {Proceedings of the 1984 {SIGPLAN} Symposium on Compiler Construction, Montreal, Canada, June 17-22, 1984}, publisher = {{ACM}}, year = {1984}, url = {https://doi.org/10.1145/502874}, doi = {10.1145/502874}, isbn = {0-89791-139-3}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/sigplan/1984.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1981, editor = {Dick Eckhouse}, title = {Proceedings of the 14th annual workshop on Microprogramming, {MICRO} 1981, Chatham (Cape Cod), Massachusetts, {USA}}, publisher = {{IEEE/ACM}}, year = {1981}, url = {http://dl.acm.org/citation.cfm?id=800075}, timestamp = {Thu, 28 Mar 2024 23:42:48 +0100}, biburl = {https://dblp.org/rec/conf/micro/1981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.