Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Hoi-Jun Yoo
@article{DBLP:journals/jssc/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {MetaVRain: {A} Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid {DNN} Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {65--78}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3291871}, doi = {10.1109/JSSC.2023.3291871}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLUJHLKHY24, author = {Sangjin Kim and Zhiyong Li and Soyeon Um and Wooyoung Jo and Sangwoo Ha and Juhyoung Lee and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {102--115}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3319962}, doi = {10.1109/JSSC.2023.3319962}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimLUJHLKHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKHCY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{C-DNN:} An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous {CNN/SNN} Core Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {157--172}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3330483}, doi = {10.1109/JSSC.2023.3330483}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKHCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-Power Artificial-Intelligence-Based 3-D Rendering Processor With Hybrid Deep Neural Network Computing}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {17--27}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3328965}, doi = {10.1109/MM.2023.3328965}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKHKCHY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Jiwon Choi and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {28--37}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3330169}, doi = {10.1109/MM.2023.3330169}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimKHKCHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimY24, author = {Sangjin Kim and Hoi{-}Jun Yoo}, title = {An Overview of Computing-in-Memory Circuits With {DRAM} and {NVM}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {3}, pages = {1626--1631}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3333851}, doi = {10.1109/TCSII.2023.3333851}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/KimY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImY24, author = {Dongseok Im and Hoi{-}Jun Yoo}, title = {LUTein: Dense-Sparse Bit-Slice Architecture With Radix-4 LUT-Based Slice-Tensor Processing Units}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {747--759}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00063}, doi = {10.1109/HPCA57654.2024.00063}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ImY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKJKHY24, author = {Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Soyeon Kim and Seongyon Hong and Hoi{-}Jun Yoo}, title = {20.5 C-Transformer: {A} 2.6-18.1{\(\mu\)}J/Token Homogeneous DNN-Transformer/Spiking-Transformer Processor with Big-Little Network and Implicit Weight Generation for Large Language Models}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {368--370}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454330}, doi = {10.1109/ISSCC49657.2024.10454330}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimKJKHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RyuKPLKHIKJY24, author = {Junha Ryu and Hankyul Kwon and Wonhoon Park and Zhiyong Li and Beomseok Kwon and Donghyeon Han and Dongseok Im and Sangyeob Kim and Hyungnam Joo and Hoi{-}Jun Yoo}, title = {20.7 NeuGPU: {A} 18.5mJ/Iter Neural-Graphics Processing Unit for Instant-Modeling and Real-Time Rendering with Segmented-Hashing Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {372--374}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454276}, doi = {10.1109/ISSCC49657.2024.10454276}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/RyuKPLKHIKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSSIHKLY24, author = {Gwangtae Park and Seokchan Song and Haoyang Sang and Dongseok Im and Donghyeon Han and Sangyeob Kim and Hongseok Lee and Hoi{-}Jun Yoo}, title = {20.8 Space-Mate: {A} 303.5mW Real-Time Sparse Mixture-of-Experts-Based NeRF-SLAM Processor for Mobile Spatial Computing}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {374--376}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454487}, doi = {10.1109/ISSCC49657.2024.10454487}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkSSIHKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03533, author = {Kwantae Kim and Changhyeon Kim and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 0.5V, 6.2{\(\mu\)}W, 0.059mm\({}^{\mbox{2}}\) Sinusoidal Current Generator {IC} with 0.088{\%} {THD} for Bio-Impedance Sensing}, journal = {CoRR}, volume = {abs/2402.03533}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03533}, doi = {10.48550/ARXIV.2402.03533}, eprinttype = {arXiv}, eprint = {2402.03533}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04982, author = {Jiwon Choi and Wooyoung Jo and Seongyon Hong and Beomseok Kwon and Wonhoon Park and Hoi{-}Jun Yoo}, title = {A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision}, journal = {CoRR}, volume = {abs/2403.04982}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04982}, doi = {10.48550/ARXIV.2403.04982}, eprinttype = {arXiv}, eprint = {2403.04982}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04982.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KimY23, author = {Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{C-DNN} {V2:} Complementary Deep-Neural-Network Processor With Full-Adder/OR-Based Reduction Tree and Reconfigurable Spatial Weight Reuse}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {13}, number = {4}, pages = {1026--1039}, year = {2023}, url = {https://doi.org/10.1109/JETCAS.2023.3321771}, doi = {10.1109/JETCAS.2023.3321771}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/KimY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImPRLKHLPKY23, author = {Dongseok Im and Gwangtae Park and Junha Ryu and Zhiyong Li and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {{DSPU:} An Efficient Deep Learning-Based Dense {RGB-D} Data Acquisition With Sensor Fusion and 3-D Perception SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {177--188}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3218278}, doi = {10.1109/JSSC.2022.3218278}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ImPRLKHLPKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiKIHY23, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {614--623}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3224964}, doi = {10.1109/JSSC.2022.3224964}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiKIHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKUKLY23, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{SNPU:} An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2812--2825}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3270442}, doi = {10.1109/JSSC.2023.3270442}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKUKLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKUKKY23, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Kwantae Kim and Hoi{-}Jun Yoo}, title = {Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2931--2945}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3273238}, doi = {10.1109/JSSC.2023.3273238}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKUKKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ImPLRKHLPKY23, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation}, journal = {{IEEE} Micro}, volume = {43}, number = {3}, pages = {74--82}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3255502}, doi = {10.1109/MM.2023.3255502}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ImPLRKHLPKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiKPJY23, author = {Jiwon Choi and Sangyeob Kim and Wonhoon Park and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A Resource-Efficient Super-Resolution {FPGA} Processor with Heterogeneous {CNN} and {SNN} Core Architecture}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347994}, doi = {10.1109/A-SSCC58667.2023.10347994}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ChoiKPJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKJY23, author = {Jingu Lee and Sangjin Kim and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Heterogeneous Fourier Transform-Based Transformer Accelerator with Frequency-Wise Dynamic Bit-Precision}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347933}, doi = {10.1109/A-SSCC58667.2023.10347933}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeKJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ParkHRIPY23, author = {Jongjun Park and Donghyeon Han and Junha Ryu and Dongseok Im and Gwangtae Park and Hoi{-}Jun Yoo}, title = {A 33.6 {FPS} Embedding based Real-time Neural Rendering Accelerator with Switchable Computation Skipping Architecture on Edge Device}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347991}, doi = {10.1109/A-SSCC58667.2023.10347991}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ParkHRIPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/UmKHKY23, author = {Soyeon Um and Sangjin Kim and Seongyon Hong and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{LOG-CIM:} {A} 116.4 {TOPS/W} Digital Computing-In-Memory Processor Supporting a Wide Range of Logarithmic Quantization with Zero-Aware 6T Dual-WL Cell}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347959}, doi = {10.1109/A-SSCC58667.2023.10347959}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/UmKHKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HanRKKPY23, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid {DNN} Acceleration}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10122036}, doi = {10.1109/COOLCHIPS57690.2023.10122036}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/HanRKKPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimKHKHCY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit with {CNN-SNN} Heterogeneous Core and Event-driven Backpropagation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10121940}, doi = {10.1109/COOLCHIPS57690.2023.10121940}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimKHKHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/UmLY23, author = {Soyeon Um and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {A 3.8 mW 1.9 m {\(\Omega\)}/{\(\surd\)}Hz Electrical Impedance Tomography Imaging with 28.4 {M} {\(\Omega\)} High Input Impedance and Loading Calibration}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {357--360}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268764}, doi = {10.1109/ESSCIRC59616.2023.10268764}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/UmLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImPLRY23, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Hoi{-}Jun Yoo}, title = {Sibia: Signed Bit-slice Architecture for Dense {DNN} Acceleration with Slice-level Sparsity Exploitation}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {69--80}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071031}, doi = {10.1109/HPCA56546.2023.10071031}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ImPLRY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongUKKJY23, author = {Seongyon Hong and Soyeon Um and Sangjin Kim and Sangyeob Kim and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A 332 {TOPS/W} Input/Weight-Parallel Computing-in-Memory Processor with Voltage-Capacitance-Ratio Cell and Time-Based {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181902}, doi = {10.1109/ISCAS46773.2023.10181902}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongUKKJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKUKLKJY23, author = {Seryeong Kim and Soyeon Kim and Soyeon Um and Sangjin Kim and Zhiyong Li and Sangyeob Kim and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A Reconfigurable 1T1C eDRAM-based Spiking Neural Network Computing-In-Memory Processor for High System-Level Efficiency}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181420}, doi = {10.1109/ISCAS46773.2023.10181420}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimKUKLKJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonPRJY23, author = {Hankyul Kwon and Gwangtae Park and Junha Ryu and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A 15.9 mW 96.5 fps Memory-Efficient 3D Reconstruction Processor with Dilation-based {TSDF} Fusion and Block-Projection Cache System}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181660}, doi = {10.1109/ISCAS46773.2023.10181660}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonPRJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkRKUJKY23, author = {Wonhoon Park and Junha Ryu and Sangjin Kim and Soyeon Um and Wooyoung Jo and Sangyoeb Kim and Hoi{-}Jun Yoo}, title = {A 5.99 {TFLOPS/W} Heterogeneous {CIM-NPU} Architecture for an Energy Efficient Floating-Point {DNN} Acceleration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181869}, doi = {10.1109/ISCAS46773.2023.10181869}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkRKUJKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HanRKKY23, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {MetaVRain: {A} 133mW Real-Time Hyper-Realistic 3D-NeRF Processor with 1D-2D Hybrid-Neural Engines for Metaverse on Mobile Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {50--51}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067447}, doi = {10.1109/ISSCC42615.2023.10067447}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HanRKKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLUJHLKHY23, author = {Sangjin Kim and Zhiyong Li and Soyeon Um and Wooyoung Jo and Sangwoo Ha and Juhyoung Lee and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {DynaPlasia: An eDRAM In-Memory-Computing-Based Reconfigurable Spatial Accelerator with Triple-Mode Cell for Dynamic Resource Switching}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {256--257}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067352}, doi = {10.1109/ISSCC42615.2023.10067352}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimLUJHLKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKHKHY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{C-DNN:} {A} 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous {CNN/SNN} Core Architecture and Forward-Gradient-Based Sparsity Generation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {334--335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067497}, doi = {10.1109/ISSCC42615.2023.10067497}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKHKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKLHKCY23, author = {Wooyoung Jo and Sangjin Kim and Juhyoung Lee and Donghyeon Han and Sangyeob Kim and Seungyoon Choi and Hoi{-}Jun Yoo}, title = {NeRPIM: {A} 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185399}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185399}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKLHKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimUJLHLY23, author = {Sangjin Kim and Soyeon Um and Wooyoung Jo and Jingu Lee and Sangwoo Ha and Zhiyong Li and Hoi{-}Jun Yoo}, title = {Scaling-CIM: An eDRAM-based In-Memory-Computing Accelerator with Dynamic-Scaling {ADC} for SQNR-Boosting and Layer-wise Adaptive Bit-Truncation}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185439}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185439}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KimUJLHLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SongHKKPY23, author = {Seokchan Song and Donghyeon Han and Sangjin Kim and Sangyeob Kim and Gwangtae Park and Hoi{-}Jun Yoo}, title = {{GPPU:} {A} 330.4-{\(\mu\)}J/ task Neural Path Planning Processor with Hybrid {GNN} Acceleration for Autonomous 3D Navigation}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185367}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185367}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SongHKKPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/XieSKIKKY23, author = {Wenao Xie and Haoyang Sang and Beomseok Kwon and Dongseok Im and Sangjin Kim and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {A 709.3 {TOPS/W} Event-Driven Smart Vision SoC with High-Linearity and Reconfigurable {MRAM} {PIM}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185337}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185337}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/XieSKIKKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKY22, author = {Kwantae Kim and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {Design of Sub-10-{\(\mu\)}W Sub-0.1{\%} {THD} Sinusoidal Current Generator {IC} for Bio-Impedance Sensing}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {586--595}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3100716}, doi = {10.1109/JSSC.2021.3100716}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImHKY22, author = {Dongseok Im and Donghyeon Han and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {661--670}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3090864}, doi = {10.1109/JSSC.2021.3090864}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ImHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKKJKHY22, author = {Juhyoung Lee and Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Ji{-}Hoon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {999--1012}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3138520}, doi = {10.1109/JSSC.2021.3138520}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKKJKHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GweonKKY22, author = {Surin Gweon and Sanghoon Kang and Kwantae Kim and Hoi{-}Jun Yoo}, title = {FlashMAC: {A} Time-Frequency Hybrid {MAC} Architecture With Variable Latency-Aware Scheduling for TinyML Systems}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {10}, pages = {2944--2956}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3182699}, doi = {10.1109/JSSC.2022.3182699}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GweonKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimGGKYDL22, author = {Kwantae Kim and Chang Gao and Rui Gra{\c{c}}a and Ilya Kiselev and Hoi{-}Jun Yoo and Tobi Delbruck and Shih{-}Chii Liu}, title = {A 23-{\(\mu\)}W Keyword Spotting {IC} With Ring-Oscillator-Based Time-Domain Feature Extraction}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3298--3311}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3195610}, doi = {10.1109/JSSC.2022.3195610}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimGGKYDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LeeKJKKY22, author = {Juhyoung Lee and Jihoon Kim and Wooyoung Jo and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {{ECIM:} Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point {DNN} Training Processor}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {99--107}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3096236}, doi = {10.1109/MM.2021.3096236}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/LeeKJKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A Mobile {DNN} Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation}, journal = {{IEEE} Micro}, volume = {42}, number = {2}, pages = {16--25}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3135457}, doi = {10.1109/MM.2021.3135457}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/KimLKHJY22, author = {Sangyeob Kim and Juhyoung Lee and Sanghoon Kang and Donghyeon Han and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {{TSUNAMI:} Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {4}, pages = {1494--1506}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3138092}, doi = {10.1109/TCSI.2021.3138092}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/KimLKHJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/KimKLY22, author = {Sangjin Kim and Sangyeob Kim and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {4}, pages = {1507--1518}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3137259}, doi = {10.1109/TCSI.2021.3137259}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/KimKLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HaKHUY22, author = {Sangwoo Ha and Sangjin Kim and Donghyeon Han and Soyeon Um and Hoi{-}Jun Yoo}, title = {A 36.2 dB High {SNR} and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented {BL} and Reference Cell Array}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {5}, pages = {2433--2437}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3159808}, doi = {10.1109/TCSII.2022.3159808}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HaKHUY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SongKPHY22, author = {Seokchan Song and Soyeon Kim and Gwangtae Park and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {5}, pages = {2443--2447}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3160160}, doi = {10.1109/TCSII.2022.3160160}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SongKPHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A 0.95 mJ/frame {DNN} Training Processor for Robust Object Detection with Real-World Environmental Adaptation}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {37--40}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869960}, doi = {10.1109/AICAS54282.2022.9869960}, timestamp = {Fri, 16 Sep 2022 20:28:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LeeJPY22, author = {Juhyoung Lee and Wooyoung Jo and Seong{-}Wook Park and Hoi{-}Jun Yoo}, title = {Low-power Autonomous Adaptation System with Deep Reinforcement Learning}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {300--303}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9870002}, doi = {10.1109/AICAS54282.2022.9870002}, timestamp = {Fri, 16 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/LeeJPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HanIPKSLY22a, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A {DNN} Training Processor for Robust Object Detection with Real-World Environmental Adaptation}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {501}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869954}, doi = {10.1109/AICAS54282.2022.9869954}, timestamp = {Fri, 16 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HanIPKSLY22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiKIHY22, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An 0.92 mJ/frame High-quality {FHD} Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772778}, doi = {10.1109/CICC53496.2022.9772778}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/LiKIHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ImPRLKHLPKY22, author = {Dongseok Im and Gwangtae Park and Junha Ryu and Zhiyong Li and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {A Low-power and Real-time 3D Object Recognition Processor with Dense {RGB-D} Data Acquisition in Mobile Platforms}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2022, Tokyo, Japan, April 20-22, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COOLCHIPS54332.2022.9772667}, doi = {10.1109/COOLCHIPS54332.2022.9772667}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/ImPRLKHLPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{HNPU-V2:} {A} 46.6 {FPS} {DNN} Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895624}, doi = {10.1109/HCS55958.2022.9895624}, timestamp = {Wed, 05 Oct 2022 17:46:21 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ImPLRKHLPKY22, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {{DSPU:} {A} 281.6mW Real-Time Deep Learning-Based Dense {RGB-D} Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895605}, doi = {10.1109/HCS55958.2022.9895605}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ImPLRKHLPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKUKKY22, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Kwantae Kim and Hoi{-}Jun Yoo}, title = {Neuro-CIM: {A} 310.4 {TOPS/W} Neuromorphic Computing-in-Memory Processor with Low {WL/BL} activity and Digital-Analog Mixed-mode Neuron Firing}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895498}, doi = {10.1109/HCS55958.2022.9895498}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKUKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiKIHY22, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Efficient High-quality {FHD} Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895611}, doi = {10.1109/HCS55958.2022.9895611}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LiKIHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JoKLULY22, author = {Wooyoung Jo and Sangjin Kim and Juhyeong Lee and Soyeon Um and Zhiyong Li and Hoi{-}Jun Yoo}, title = {A 161.6 {TOPS/W} Mixed-mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural Networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {365--369}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9938010}, doi = {10.1109/ISCAS48785.2022.9938010}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JoKLULY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimGGKYDL22, author = {Kwantae Kim and Chang Gao and Rui Gra{\c{c}}a and Ilya Kiselev and Hoi{-}Jun Yoo and Tobi Delbr{\"{u}}ck and Shih{-}Chii Liu}, title = {A 23{\(\mu\)}W Solar-Powered Keyword-Spotting {ASIC} with Ring-Oscillator-Based Time-Domain Feature Extraction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731708}, doi = {10.1109/ISSCC42614.2022.9731708}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimGGKYDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImPLRKHLY22, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {{DSPU:} {A} 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning-Based Dense {RGB-D} Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {510--512}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731699}, doi = {10.1109/ISSCC42614.2022.9731699}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ImPLRKHLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimKUKKY22, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Kwantae Kim and Hoi{-}Jun Yoo}, title = {Neuro-CIM: {A} 310.4 {TOPS/W} Neuromorphic Computing-in-Memory Processor with Low {WL/BL} activity and Digital-Analog Mixed-mode Neuron Firing}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {38--39}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830276}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830276}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimKUKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-03601, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Hoi{-}Jun Yoo}, title = {Two-Step Spike Encoding Scheme and Architecture for Highly Sparse Spiking-Neural-Network}, journal = {CoRR}, volume = {abs/2202.03601}, year = {2022}, url = {https://arxiv.org/abs/2202.03601}, eprinttype = {arXiv}, eprint = {2202.03601}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-03601.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07679, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Hoi{-}Jun Yoo}, title = {Energy-efficient Dense {DNN} Acceleration with Signed Bit-slice Architecture}, journal = {CoRR}, volume = {abs/2203.07679}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07679}, doi = {10.48550/ARXIV.2203.07679}, eprinttype = {arXiv}, eprint = {2203.07679}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00693, author = {Kwantae Kim and Chang Gao and Rui Gra{\c{c}}a and Ilya Kiselev and Hoi{-}Jun Yoo and Tobi Delbr{\"{u}}ck and Shih{-}Chii Liu}, title = {A 23 {\(\mu\)}W Keyword Spotting {IC} with Ring-Oscillator-Based Time-Domain Feature Extraction}, journal = {CoRR}, volume = {abs/2208.00693}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00693}, doi = {10.48550/ARXIV.2208.00693}, eprinttype = {arXiv}, eprint = {2208.00693}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KangPKKHY21, author = {Sanghoon Kang and Gwangtae Park and Sangjin Kim and Soyeon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {4}, pages = {634--648}, year = {2021}, url = {https://doi.org/10.1109/JETCAS.2021.3120417}, doi = {10.1109/JETCAS.2021.3120417}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/KangPKKHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeGLULY21, author = {Jaehyuk Lee and Surin Gweon and Kwonjoon Lee and Soyeon Um and Kyoung{-}Rog Lee and Hoi{-}Jun Yoo}, title = {A 9.6-mW/Ch 10-MHz Wide-Bandwidth Electrical Impedance Tomography {IC} With Accurate Phase Compensation for Early Breast Cancer Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {3}, pages = {887--898}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3032723}, doi = {10.1109/JSSC.2020.3032723}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeGLULY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanLY21, author = {Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {{DF-LNPU:} {A} Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {5}, pages = {1630--1640}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3042978}, doi = {10.1109/JSSC.2020.3042978}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HanLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLEPLLYY21, author = {Jihee Lee and Kyoung{-}Rog Lee and Benjamin E. Eovino and Jeong Hoan Park and Luna Yue Liang and Liwei Lin and Hoi{-}Jun Yoo and Jerald Yoo}, title = {A 36-Channel Auto-Calibrated Front-End {ASIC} for a pMUT-Based Miniaturized 3-D Ultrasound System}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {6}, pages = {1910--1923}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3049560}, doi = {10.1109/JSSC.2021.3049560}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLEPLLYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangHLIKKRY21, author = {Sanghoon Kang and Donghyeon Han and Juhyoung Lee and Dongseok Im and Sangyeob Kim and Soyeon Kim and Junha Ryu and Hoi{-}Jun Yoo}, title = {{GANPU:} An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2845--2857}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3066572}, doi = {10.1109/JSSC.2021.3066572}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangHLIKKRY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanIPKSLY21, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{HNPU:} An Adaptive {DNN} Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2858--2869}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3066400}, doi = {10.1109/JSSC.2021.3066400}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HanIPKSLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKKY21, author = {Soyeon Kim and Sanghoon Kang and Donghyeon Han and Sangjin Kim and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {An Energy-Efficient {GAN} Accelerator With On-Chip Training for Domain-Specific Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {2968--2980}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3094469}, doi = {10.1109/JSSC.2021.3094469}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeY21, author = {Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {Simultaneous Electrical Bio-Impedance Plethysmography at Different Body Parts: Continuous and Non-Invasive Monitoring of Pulse Wave Velocity}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {5}, pages = {1027--1038}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3115021}, doi = {10.1109/TBCAS.2021.3115021}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/UmKKY21, author = {Soyeon Um and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory With Computation Reuse}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1605--1609}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3067327}, doi = {10.1109/TCSII.2021.3067327}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/UmKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimKKHY21, author = {Soyeon Kim and Sangjin Kim and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1675--1679}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3067351}, doi = {10.1109/TCSII.2021.3067351}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimKKHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/RyuPIKY21, author = {Junha Ryu and Gwangtae Park and Dongseok Im and Ji{-}Hoon Kim and Hoi{-}Jun Yoo}, title = {A 0.82 {\(\mu\)}W CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1700--1704}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3067151}, doi = {10.1109/TCSII.2021.3067151}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/RyuPIKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LeeKHKKY21, author = {Juhyoung Lee and Changhyeon Kim and Donghyeon Han and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458435}, doi = {10.1109/AICAS51828.2021.9458435}, timestamp = {Fri, 25 Jun 2021 11:56:02 +0200}, biburl = {https://dblp.org/rec/conf/aicas/LeeKHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/GweonKHLKY21, author = {Surin Gweon and Sanghoon Kang and Donghyeon Han and Kyoung{-}Rog Lee and Kwantae Kim and Hoi{-}Jun Yoo}, title = {FlashMAC: An Energy-Efficient Analog-Digital Hybrid {MAC} with Variable Latency-Aware Scheduling}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634746}, doi = {10.1109/A-SSCC53895.2021.9634746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/GweonKHLKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JoLPY21, author = {Wooyoung Jo and Juhyoung Lee and Seunghyun Park and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Deep Reinforcement Learning {FPGA} Accelerator for Online Fast Adaptation with Selective Mixed-precision Re-training}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634810}, doi = {10.1109/A-SSCC53895.2021.9634810}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JoLPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HanIPKSLY21, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Deep Neural Network Training Processor with Bit-Slice-Level Reconfigurability and Sparsity Exploitation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2021, Tokyo, Japan, April 14-16, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/COOLCHIPS52128.2021.9410324}, doi = {10.1109/COOLCHIPS52128.2021.9410324}, timestamp = {Tue, 04 May 2021 18:33:06 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/HanIPKSLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimLIY21, author = {Sangjin Kim and Juhyoung Lee and Dongseok Im and Hoi{-}Jun Yoo}, title = {{PNNPU:} {A} Fast and Efficient 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular {DRAM} Access}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566846}, doi = {10.1109/HCS52781.2021.9566846}, timestamp = {Mon, 25 Oct 2021 18:04:14 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimLIY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKJKKHLY21, author = {Juhyoung Lee and Jihoon Kim and Wooyoung Jo and Sangyeob Kim and Sangjin Kim and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {An Energy-efficient Floating-Point {DNN} Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566881}, doi = {10.1109/HCS52781.2021.9566881}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKJKKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKKKJHY21, author = {Juhyoung Lee and Sangyeob Kim and Ji{-}Hoon Kim and Sangjin Kim and Wooyoung Jo and Donghyeon Han and Hoi{-}Jun Yoo}, title = {OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567123}, doi = {10.1109/HCS52781.2021.9567123}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKKKJHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiILY21, author = {Zhiyong Li and Dongseok Im and Jinsu Lee and Hoi{-}Jun Yoo}, title = {A 3.6 {TOPS/W} Hybrid {FP-FXP} Deep Learning Processor with Outlier Compensation for Image-to-Image Application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401206}, doi = {10.1109/ISCAS51556.2021.9401206}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiILY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimLIY21, author = {Sangjin Kim and Juhyoung Lee and Dongseok Im and Hoi{-}Jun Yoo}, title = {{PNNPU:} {A} 11.9 {TOPS/W} High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular {DRAM} Access}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492450}, doi = {10.23919/VLSICIRCUITS52068.2021.9492450}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimLIY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKJKKLY21, author = {Juhyoung Lee and Jihoon Kim and Wooyoung Jo and Sangyeob Kim and Sangjin Kim and Jinsu Lee and Hoi{-}Jun Yoo}, title = {A 13.7 {TFLOPS/W} Floating-point {DNN} Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492476}, doi = {10.23919/VLSICIRCUITS52068.2021.9492476}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKJKKLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKJHLY21, author = {Juhyoung Lee and Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {OmniDRL: {A} 29.3 {TFLOPS/W} Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492504}, doi = {10.23919/VLSICIRCUITS52068.2021.9492504}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKJHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09650, author = {Juhyoung Lee and Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {{GST:} Group-Sparse Training for Accelerating Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2101.09650}, year = {2021}, url = {https://arxiv.org/abs/2101.09650}, eprinttype = {arXiv}, eprint = {2101.09650}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LeeLY20, author = {Juhyoung Lee and Jinsu Lee and Hoi{-}Jun Yoo}, title = {{SRNPU:} An Energy-Efficient CNN-Based Super-Resolution Processor With Tile-Based Selective Super-Resolution in Mobile Devices}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {10}, number = {3}, pages = {320--334}, year = {2020}, url = {https://doi.org/10.1109/JETCAS.2020.3014454}, doi = {10.1109/JETCAS.2020.3014454}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LeeLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKGKY20, author = {Kwantae Kim and Ji{-}Hoon Kim and Surin Gweon and Minseo Kim and Hoi{-}Jun Yoo}, title = {A 0.5-V Sub-10-{\(\mu\)}W 15.28-m{\(\Omega\)}/{\(\surd\)}Hz Bio-Impedance Sensor {IC} With Sub-1{\textdegree} Phase Error}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {8}, pages = {2161--2173}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2991511}, doi = {10.1109/JSSC.2020.2991511}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKGKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JangLCLY20, author = {Jaeeun Jang and Jihee Lee and Hyunwoo Cho and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {Wireless Body-Area-Network Transceiver and Low-Power Receiver With High Application Expandability}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2781--2789}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005765}, doi = {10.1109/JSSC.2020.3005765}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JangLCLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ShinY20, author = {Dongjoo Shin and Hoi{-}Jun Yoo}, title = {The Heterogeneous Deep Neural Network Processor With a Non-von Neumann Architecture}, journal = {Proc. {IEEE}}, volume = {108}, number = {8}, pages = {1245--1260}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2019.2897076}, doi = {10.1109/JPROC.2019.2897076}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ShinY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimLKLY20, author = {Sangyeob Kim and Juhyoung Lee and Sanghoon Kang and Jinsu Lee and Hoi{-}Jun Yoo}, title = {A Power-Efficient {CNN} Accelerator With Similar Feature Skipping for Face Recognition in Mobile Devices}, journal = {{IEEE} Trans. Circuits Syst. {I} Fundam. Theory Appl.}, volume = {67-I}, number = {4}, pages = {1181--1193}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2966243}, doi = {10.1109/TCSI.2020.2966243}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimLKLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimHKY20, author = {Youngwoo Kim and Donghyeon Han and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {A 0.22-0.89 mW Low-Power and Highly-Secure Always-On Face Recognition Processor With Adversarial Attack Prevention}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {5}, pages = {846--850}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2020.2980022}, doi = {10.1109/TCSII.2020.2980022}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimHKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ParkIHY20, author = {Gwangtae Park and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A 1.15 {TOPS/W} Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {9}, pages = {1594--1598}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2020.3005191}, doi = {10.1109/TCSII.2020.3005191}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ParkIHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeKLSHY20, author = {Jinsu Lee and Sanghoon Kang and Jinmook Lee and Dongjoo Shin and Donghyeon Han and Hoi{-}Jun Yoo}, title = {The Hardware and Algorithm Co-Design for Energy-Efficient {DNN} Processor on Edge/Mobile Devices}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3458--3470}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.3021397}, doi = {10.1109/TCSI.2020.3021397}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LeeKLSHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ImHCKY20, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3471--3483}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991189}, doi = {10.1109/TCSI.2020.2991189}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ImHCKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeLCY20, author = {Kyuho Jason Lee and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {The Development of Silicon for {AI:} Different Design Approaches}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {12}, pages = {4719--4732}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2996625}, doi = {10.1109/TCSI.2020.2996625}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LeeLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKHKKY20, author = {Soyeon Kim and Sanghoon Kang and Donghyeon Han and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {An Energy-Efficient {GAN} Accelerator with On-chip Training for Domain Specific Optimization}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336128}, doi = {10.1109/A-SSCC48613.2020.9336128}, timestamp = {Fri, 12 Feb 2021 13:30:23 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKHKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeGLULKLY20, author = {Jaehyuk Lee and Surin Gweon and Kwonjoon Lee and Soyeon Um and Kyoung{-}Rog Lee and Kwantae Kim and Jihee Lee and Hoi{-}Jun Yoo}, title = {A 9.6 mW/Ch 10 MHz Wide-bandwidth Electrical Impedance Tomography {IC} with Accurate Phase Compensation for Breast Cancer Detection}, booktitle = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CICC48029.2020.9075950}, doi = {10.1109/CICC48029.2020.9075950}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeGLULKLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Yoo20, author = {Hoi{-}Jun Yoo}, editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu}, title = {Deep Learning Processors for On-Device Intelligence}, booktitle = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event, China, September 7-9, 2020}, pages = {1--8}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386263.3409103}, doi = {10.1145/3386263.3409103}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/Yoo20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKLY20, author = {Sangjin Kim and Sangyeob Kim and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9181100}, doi = {10.1109/ISCAS45731.2020.9181100}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimKLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangHLIKKY20, author = {Sanghoon Kang and Donghyeon Han and Juhyoung Lee and Dongseok Im and Sangyeob Kim and Soyeon Kim and Hoi{-}Jun Yoo}, title = {7.4 {GANPU:} {A} 135TFLOPS/W Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {140--142}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062989}, doi = {10.1109/ISSCC19947.2020.9062989}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangHLIKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ImKHCY20, author = {Dongseok Im and Sanghoon Kang and Donghyeon Han and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162895}, doi = {10.1109/VLSICIRCUITS18222.2020.9162895}, timestamp = {Mon, 24 Aug 2020 16:22:01 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ImKHCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimKCY20, author = {Kwantae Kim and Changhyeon Kim and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 0.5V, 6.2{\(\mu\)}W, 0.059mm\({}^{\mbox{2}}\) Sinusoidal Current Generator {IC} with 0.088{\%} {THD} for Bio-Impedance Sensing}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162983}, doi = {10.1109/VLSICIRCUITS18222.2020.9162983}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimKCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimLKLY20, author = {Sangyeob Kim and Juhyoung Lee and Sanghoon Kang and Jinmook Lee and Hoi{-}Jun Yoo}, title = {A 146.52 {TOPS/W} Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Pruning and Adaptive Input/Output/Weight Skipping}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162795}, doi = {10.1109/VLSICIRCUITS18222.2020.9162795}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimLKLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimLLY020, author = {Ji{-}Hoon Kim and Juhyoung Lee and Jinsu Lee and Hoi{-}Jun Yoo and Joo{-}Young Kim}, title = {{Z-PIM:} An Energy-Efficient Sparsity Aware Processing-In-Memory Architecture with Fully-Variable Weight Precision}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163015}, doi = {10.1109/VLSICIRCUITS18222.2020.9163015}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimLLY020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-12830, author = {Donghyeon Han and Gwangtae Park and Junha Ryu and Hoi{-}Jun Yoo}, title = {Extension of Direct Feedback Alignment to Convolutional and Recurrent Neural Network for Bio-plausible Deep Learning}, journal = {CoRR}, volume = {abs/2006.12830}, year = {2020}, url = {https://arxiv.org/abs/2006.12830}, eprinttype = {arXiv}, eprint = {2006.12830}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-12830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChenMSY19, author = {Chia{-}Yu Chen and Boris Murmann and Jae{-}sun Seo and Hoi{-}Jun Yoo}, title = {Custom Sub-Systems and Circuits for Deep Learning: Guest Editorial Overview}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {2}, pages = {247--252}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2918317}, doi = {10.1109/JETCAS.2019.2918317}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChenMSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChoiBHY19, author = {Sungpill Choi and Kyeongryeol Bong and Donghyeon Han and Hoi{-}Jun Yoo}, title = {CNNP-v2: {A} Memory-Centric Architecture for Low-Power {CNN} Processor on Domain-Specific Mobile Devices}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {4}, pages = {598--611}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2952457}, doi = {10.1109/JETCAS.2019.2952457}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/ChoiBHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKKSKY19, author = {Jinmook Lee and Changhyeon Kim and Sanghoon Kang and Dongjoo Shin and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{UNPU:} An Energy-Efficient Deep Neural Network Accelerator With Fully Variable Weight Bit Precision}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {173--185}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2865489}, doi = {10.1109/JSSC.2018.2865489}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKKSKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JangLLLKLBY19, author = {Jaeeun Jang and Jihee Lee and Kyoung{-}Rog Lee and Jiwon Lee and Minseo Kim and Yongsu Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A Four-Camera VGA-Resolution Capsule Endoscope System With 80-Mb/s Body Channel Communication Transceiver and Sub-Centimeter Range Capsule Localization}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {2}, pages = {538--549}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2873630}, doi = {10.1109/JSSC.2018.2873630}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JangLLLKLBY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLHKLGJY19, author = {Jaehyuk Lee and Kyoung{-}Rog Lee and Unsoo Ha and Ji{-}Hoon Kim and Kwonjoon Lee and Surin Gweon and Jaeeun Jang and Hoi{-}Jun Yoo}, title = {A 0.8-V 82.9- {\textdollar}{\textbackslash}mu{\textdollar} {W} In-Ear {BCI} Controller {IC} With 8.8 {PEF} {EEG} Instrumentation Amplifier and Wireless {BAN} Transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {4}, pages = {1185--1195}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2888845}, doi = {10.1109/JSSC.2018.2888845}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLHKLGJY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HanLLY19, author = {Donghyeon Han and Jinsu Lee and Jinmook Lee and Hoi{-}Jun Yoo}, title = {A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {5}, pages = {1794--1804}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2018.2880363}, doi = {10.1109/TCSI.2018.2880363}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HanLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ChoiBHY19, author = {Sungpill Choi and Kyeongryeol Bong and Donghyeon Han and Hoi{-}Jun Yoo}, title = {CNNP-v2: An Energy Efficient Memory-Centric Convolutional Neural Network Processor Architecture}, booktitle = {{IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2019, Hsinchu, Taiwan, March 18-20, 2019}, pages = {38--41}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AICAS.2019.8771617}, doi = {10.1109/AICAS.2019.8771617}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ChoiBHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeJLY19, author = {Jihee Lee and Jaeeun Jang and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {A battery-less 31 {\(\mathrm{\mu}\)}W {HBC} receiver with {RF} energy harvester for implantable devices}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {177--180}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056908}, doi = {10.1109/A-SSCC47793.2019.9056908}, timestamp = {Sun, 19 Apr 2020 17:47:11 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeJLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/JangCY19, author = {Jaeeun Jang and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {An 802.15.6 {HBC} Standard Compatible Transceiver and 90 pJ/b Full-Duplex Transceiver for Body Channel Communication}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8919040}, doi = {10.1109/BIOCAS.2019.8919040}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/JangCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/JangY19, author = {Jaeeun Jang and Hoi{-}Jun Yoo}, editor = {Lorenzo Mucchi and Matti H{\"{a}}m{\"{a}}l{\"{a}}inen and Sara Jayousi and Simone Morosi}, title = {Analysis of Channel Characteristic for Body Channel Communication Transceiver Design}, booktitle = {Body Area Networks. Smart IoT and Big Data for Intelligent Health Management - 14th {EAI} International Conference, {BODYNETS} 2019, Florence, Italy, October 2-3, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {297}, pages = {374--383}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34833-5\_28}, doi = {10.1007/978-3-030-34833-5\_28}, timestamp = {Tue, 19 Nov 2019 10:11:09 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/JangY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JangBY19, author = {Jaeeun Jang and Joonsung Bae and Hoi{-}Jun Yoo}, title = {Understanding Body Channel Communication : {A} review: from history to the future applications}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin, TX, USA, April 14-17, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CICC.2019.8780224}, doi = {10.1109/CICC.2019.8780224}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/JangBY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/Yoo19, author = {Hoi{-}Jun Yoo}, title = {Mobile Deep Learning Processors on the Edge}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin, TX, USA, April 14-17, 2019}, pages = {1--91}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CICC.2019.8780154}, doi = {10.1109/CICC.2019.8780154}, timestamp = {Wed, 07 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/Yoo19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/HanY19, author = {Donghyeon Han and Hoi{-}Jun Yoo}, title = {Direct Feedback Alignment Based Convolutional Neural Network Training for Low-Power Online Learning Processor}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2445--2452}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00299}, doi = {10.1109/ICCVW.2019.00299}, timestamp = {Thu, 12 Mar 2020 10:53:35 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/HanY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GweonLKY19, author = {Surin Gweon and Jaehyuk Lee and Kwantae Kim and Hoi{-}Jun Yoo}, title = {93.8{\%} Current Efficiency and 0.672 ns Transient Response Reconfigurable {LDO} for Wireless Sensor Network Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702435}, doi = {10.1109/ISCAS.2019.8702435}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GweonLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImHCKY19, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} Dilated and Transposed Convolution Neural Network Accelerator for Real-Time Image Segmentation on Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702243}, doi = {10.1109/ISCAS.2019.8702243}, timestamp = {Sun, 14 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ImHCKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKKY19, author = {Ji{-}Hoon Kim and Changhyeon Kim and Kwantae Kim and Hoi{-}Jun Yoo}, title = {An Ultra-Low-Power Analog-Digital Hybrid {CNN} Face Recognition Processor Integrated with a {CIS} for Always-on Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702698}, doi = {10.1109/ISCAS.2019.8702698}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLKLY19, author = {Sangyeob Kim and Juhyoung Lee and Sanghoon Kang and Jinsu Lee and Hoi{-}Jun Yoo}, title = {A 15.2 {TOPS/W} {CNN} Accelerator with Similar Feature Skipping for Face Recognition in Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702661}, doi = {10.1109/ISCAS.2019.8702661}, timestamp = {Sun, 14 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLKLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Yoo19, author = {Hoi{-}Jun Yoo}, title = {Intelligence on Silicon: From Deep-Neural-Network Accelerators to Brain Mimicking AI-SoCs}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {20--26}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662469}, doi = {10.1109/ISSCC.2019.8662469}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Yoo19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKSCKY19, author = {Changhyeon Kim and Sanghoon Kang and Dongjoo Shin and Sungpill Choi and Youngwoo Kim and Hoi{-}Jun Yoo}, title = {A 2.1TFLOPS/W Mobile Deep {RL} Accelerator with Transposable {PE} Array and Experience Compression}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {136--138}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662447}, doi = {10.1109/ISSCC.2019.8662447}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimKSCKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLHLPY19, author = {Jinsu Lee and Juhyoung Lee and Donghyeon Han and Jinmook Lee and Gwangtae Park and Hoi{-}Jun Yoo}, title = {{LNPU:} {A} 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of {FP8-FP16}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {142--144}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662302}, doi = {10.1109/ISSCC.2019.8662302}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeLHLPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLEPLYY19, author = {Jihee Lee and Kyoung{-}Rog Lee and Benjamin E. Eovino and Jeong Hoan Park and Liwei Lin and Hoi{-}Jun Yoo and Jerald Yoo}, title = {A 5.37mW/Channel Pitch-Matched Ultrasound {ASIC} with Dynamic-Bit-Shared {SAR} {ADC} and 13.2V Charge-Recycling {TX} in Standard {CMOS} for Intracardiac Echocardiography}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {190--192}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662531}, doi = {10.1109/ISSCC.2019.8662531}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeLEPLYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKLLGKY19, author = {Yongsu Lee and Kwantae Kim and Jiwon Lee and Kyoung{-}Rog Lee and Surin Gweon and Minseo Kim and Hoi{-}Jun Yoo}, title = {A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {288--289}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662504}, doi = {10.1109/ISSCC.2019.8662504}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKLLGKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKGLKLKY19, author = {Kwantae Kim and Ji{-}Hoon Kim and Surin Gweon and Jiwon Lee and Minseo Kim and Yongsu Lee and Soyeon Kim and Hoi{-}Jun Yoo}, title = {A 0.5V 9.26{\(\mu\)}W 15.28m{\(\Omega\)}/{\(\surd\)}Hz Bio-Impedance Sensor {IC} With 0.55{\textdegree} Overall Phase Error}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {364--366}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662466}, doi = {10.1109/ISSCC.2019.8662466}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKGLKLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSLLKY19, author = {Juhyoung Lee and Dongjoo Shin and Jinsu Lee and Jinmook Lee and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {A Full {HD} 60 fps {CNN} Super Resolution Processor with Selective Caching based Layer Fusion for Mobile Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {302}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778104}, doi = {10.23919/VLSIC.2019.8778104}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSLLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HanLLY19, author = {Donghyeon Han and Jinsu Lee and Jinmook Lee and Hoi{-}Jun Yoo}, title = {A 1.32 {TOPS/W} Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {304}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778006}, doi = {10.23919/VLSIC.2019.8778006}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HanLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-01986, author = {Donghyeon Han and Hoi{-}Jun Yoo}, title = {Efficient Convolutional Neural Network Training with Direct Feedback Alignment}, journal = {CoRR}, volume = {abs/1901.01986}, year = {2019}, url = {http://arxiv.org/abs/1901.01986}, eprinttype = {arXiv}, eprint = {1901.01986}, timestamp = {Thu, 31 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-01986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KangLBKKY18, author = {Sanghoon Kang and Jinmook Lee and Kyeongryeol Bong and Changhyeon Kim and Youchang Kim and Hoi{-}Jun Yoo}, title = {Low-Power Scalable 3-D Face Frontalization Processor for CNN-Based Face Recognition in Mobile Devices}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {4}, pages = {873--883}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2018.2845663}, doi = {10.1109/JETCAS.2018.2845663}, timestamp = {Fri, 18 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/KangLBKKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BongCKHY18, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A Low-Power Convolutional Neural Network Face Recognition Processor and a {CIS} Integrated With Always-on Face Detector}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {115--123}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2767705}, doi = {10.1109/JSSC.2017.2767705}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BongCKHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLKRCY18, author = {Unsoo Ha and Jaehyuk Lee and Minseo Kim and Taehwan Roh and Sangsik Choi and Hoi{-}Jun Yoo}, title = {An {EEG-NIRS} Multimodal SoC for Accurate Anesthesia Depth Monitoring}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {6}, pages = {1830--1843}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2810213}, doi = {10.1109/JSSC.2018.2810213}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLKRCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ShinLLLY18, author = {Dongjoo Shin and Jinmook Lee and Jinsu Lee and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{DNPU:} An Energy-Efficient Deep-Learning Processor with Heterogeneous Multi-Core Architecture}, journal = {{IEEE} Micro}, volume = {38}, number = {5}, pages = {85--93}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.053631145}, doi = {10.1109/MM.2018.053631145}, timestamp = {Fri, 12 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ShinLLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/CarminatiRTY18, author = {Marco Carminati and Jacob K. Rosenstein and Roland Thewes and Hoi{-}Jun Yoo}, title = {Guest Editorial Special Issue on Advances and Open Challenges for Integrated Circuits Detecting Bio Molecules}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {6}, pages = {1334--1336}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2887178}, doi = {10.1109/TBCAS.2018.2887178}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/CarminatiRTY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimSLLY18, author = {Youchang Kim and Dongjoo Shin and Jinsu Lee and Yongsu Lee and Hoi{-}Jun Yoo}, title = {A 0.55 {V} 1.1 mW Artificial Intelligence Processor With On-Chip {PVT} Compensation for Autonomous Mobile Robots}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {2}, pages = {567--580}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2727510}, doi = {10.1109/TCSI.2017.2727510}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimSLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/JangY18, author = {Jaeeun Jang and Hoi{-}Jun Yoo}, title = {A Capsule Endoscope System for Wide Visualization Field and Location Tracking}, booktitle = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOCAS.2018.8584812}, doi = {10.1109/BIOCAS.2018.8584812}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/JangY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/CarminatiTRY18, author = {Marco Carminati and Roland Thewes and Jacob K. Rosenstein and Hoi{-}Jun Yoo}, title = {Advances and Open Challenges for Integrated Circuits Detecting Bio-Molecules}, booktitle = {25th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018}, pages = {857--860}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICECS.2018.8617989}, doi = {10.1109/ICECS.2018.8617989}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/CarminatiTRY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanLLCY18, author = {Donghyeon Han and Jinsu Lee and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351398}, doi = {10.1109/ISCAS.2018.8351398}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanLLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKCSKY18, author = {Juhyoung Lee and Changhyeon Kim and Sungpill Choi and Dongjoo Shin and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351177}, doi = {10.1109/ISCAS.2018.8351177}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKCSKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLY18, author = {Jiwon Lee and Kyoung{-}Rog Lee and Hoi{-}Jun Yoo}, title = {A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10\({}^{\mbox{-6}}\) {BER} Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351334}, doi = {10.1109/ISCAS.2018.8351334}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKSKY18, author = {Jinmook Lee and Changhyeon Kim and Sanghoon Kang and Dongjoo Shin and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{UNPU:} {A} 50.6TOPS/W unified deep neural network accelerator with 1b-to-16b fully-variable weight bit-precision}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {218--220}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310262}, doi = {10.1109/ISSCC.2018.8310262}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKSKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLLY18, author = {Sungpill Choi and Jinsu Lee and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A 9.02mW CNN-stereo-based real-time 3D hand-gesture recognition processor for smart mobile devices}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {220--222}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310263}, doi = {10.1109/ISSCC.2018.8310263}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JangLLLKLBY18, author = {Jaeeun Jang and Jihee Lee and Kyoung{-}Rog Lee and Jiwon Lee and Minseo Kim and Yongsu Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {4-Camera VGA-resolution capsule endoscope with 80Mb/s body-channel communication transceiver and Sub-cm range capsule localization}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {282--284}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310294}, doi = {10.1109/ISSCC.2018.8310294}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JangLLLKLBY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/Yoo18, author = {Hoi{-}Jun Yoo}, title = {Mobile/embedded {DNN} and {AI} SoCs}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373285}, doi = {10.1109/VLSI-DAT.2018.8373285}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/Yoo18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeLHKLY18, author = {Jaehyuk Lee and Kyoung{-}Rog Lee and Unsoo Ha and Ji{-}Hoon Kim and Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {A 0.8V 82.9{\(\mathrm{\mu}\)}W In-Ear {BCI} Controller System with 8.8 {PEF} {EEG} Instrumentational Amplifier and Wireless {BAN} Transceiver}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {123--124}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502263}, doi = {10.1109/VLSIC.2018.8502263}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeLHKLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangLKY18, author = {Sanghoon Kang and Jinmook Lee and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {B-Face: 0.2 {MW} CNN-Based Face Recognition Processor with Face Alignment for Mobile User Identification}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {137--138}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502266}, doi = {10.1109/VLSIC.2018.8502266}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/KangLKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LeeLJLKLKYY17, author = {Yongsu Lee and Hyeonwoo Lee and Jaeeun Jang and Jihee Lee and Minseo Kim and Jaehyuk Lee and Hyunki Kim and Seunghyup Yoo and Hoi{-}Jun Yoo}, title = {Sticker-Type Hybrid Photoplethysmogram Monitoring System Integrating {CMOS} {IC} With Organic Optical Sensors}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {7}, number = {1}, pages = {50--59}, year = {2017}, url = {https://doi.org/10.1109/JETCAS.2016.2630301}, doi = {10.1109/JETCAS.2016.2630301}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LeeLJLKLKYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKJLLKY17, author = {Kyuho Jason Lee and Kyeongryeol Bong and Changhyeon Kim and Jaeeun Jang and Kyoung{-}Rog Lee and Jihee Lee and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 502-GOPS and 0.984-mW Dual-Mode Intelligent {ADAS} SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {139--150}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2617317}, doi = {10.1109/JSSC.2016.2617317}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKJLLKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimHLLY17, author = {Minseo Kim and Unsoo Ha and Kyuho Jason Lee and Yongsu Lee and Hoi{-}Jun Yoo}, title = {A 82-nW Chaotic Map True Random Number Generator Based on a Sub-Ranging {SAR} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {7}, pages = {1953--1965}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2694833}, doi = {10.1109/JSSC.2017.2694833}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimHLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimJKLLLLKLLY17, author = {Minseo Kim and Jaeeun Jang and Hyunki Kim and Jihee Lee and Jaehyuk Lee and Jiwon Lee and Kyoung{-}Rog Lee and Kwantae Kim and Yongsu Lee and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A 1.4-m {\textdollar}{\textbackslash}Omega{\textdollar} -Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {11}, pages = {2829--2842}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2753234}, doi = {10.1109/JSSC.2017.2753234}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimJKLLLLKLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimSLY17, author = {Youchang Kim and Dongjoo Shin and Jinsu Lee and Hoi{-}Jun Yoo}, title = {{BRAIN:} {A} Low-Power Deep Search Engine for Autonomous Robots}, journal = {{IEEE} Micro}, volume = {37}, number = {5}, pages = {11--19}, year = {2017}, url = {https://doi.org/10.1109/MM.2017.3711641}, doi = {10.1109/MM.2017.3711641}, timestamp = {Fri, 27 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimSLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BongCKY17, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {Low-Power Convolutional Neural Network Processor for a Face-Recognition System}, journal = {{IEEE} Micro}, volume = {37}, number = {6}, pages = {30--38}, year = {2017}, url = {https://doi.org/10.1109/MM.2017.4241350}, doi = {10.1109/MM.2017.4241350}, timestamp = {Mon, 11 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/BongCKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeePHY17, author = {Jinmook Lee and Seongwook Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Speech-Extraction Processor for Robust User Speech Recognition in Mobile Head-Mounted Display Systems}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {4}, pages = {457--461}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2016.2571902}, doi = {10.1109/TCSII.2016.2571902}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeePHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeSKY17, author = {Jinsu Lee and Dongjoo Shin and Youchang Kim and Hoi{-}Jun Yoo}, title = {A 17.5-fJ/bit Energy-Efficient Analog {SRAM} for Mixed-Signal Processing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {10}, pages = {2714--2723}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2664069}, doi = {10.1109/TVLSI.2017.2664069}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeSKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JangKBY17, author = {Jaeeun Jang and Minseo Kim and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 2.79-mW 0.5{\%}-THD {CMOS} current driver {IC} for portable electrical impedance tomography system}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {145--148}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240237}, doi = {10.1109/ASSCC.2017.8240237}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/JangKBY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeSY17, author = {Jinmook Lee and Dongjoo Shin and Hoi{-}Jun Yoo}, title = {A 21mW low-power recurrent neural network accelerator with quantization tables for embedded deep learning applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {237--240}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240260}, doi = {10.1109/ASSCC.2017.8240260}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HanKCY17, author = {Jinho Han and Youngsu Kwon and Yong Cheol Peter Cho and Hoi{-}Jun Yoo}, title = {A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for {ADAS} SoC complying with {ISO26262} in automotive electronics}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {313--316}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240279}, doi = {10.1109/ASSCC.2017.8240279}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HanKCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HaYB17, author = {Unsoo Ha and Hoi{-}Jun Yoo and Joonsung Bae}, title = {A multimodal headpatch system for patient brain monitoring in {OR} and {PACU}}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325055}, doi = {10.1109/BIOCAS.2017.8325055}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HaYB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KimBY17, author = {Minseo Kim and Joonsung Bae and Hoi{-}Jun Yoo}, title = {Wearable 3D lung ventilation monitoring system with multi frequency electrical impedance tomography}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325163}, doi = {10.1109/BIOCAS.2017.8325163}, timestamp = {Tue, 07 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KimBY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ShinLLLY17, author = {Dongjoo Shin and Jinmook Lee and Jinsu Lee and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {An energy-efficient deep learning processor with heterogeneous multi-core architecture for convolutional neural networks and recurrent neural networks}, booktitle = {2017 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} Chips 2017, Yokohama, Japan, April 19-21, 2017}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CoolChips.2017.7946376}, doi = {10.1109/COOLCHIPS.2017.7946376}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/ShinLLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeY17, author = {Yongsu Lee and Hoi{-}Jun Yoo}, title = {A 274{\(\mathrm{\mu}\)}W clock synchronized wireless body area network {IC} with super-regenerative {RSSI} for biomedical ad-hoc network system}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {710--713}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8036923}, doi = {10.1109/EMBC.2017.8036923}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKKSLKWY17, author = {Jiwon Lee and Minseo Kim and Kwantae Kim and Kiseok Song and Sanghoon Lee and Weon Kim and Jong Shin Woo and Hoi{-}Jun Yoo}, title = {An adaptive DC-balanced and multi-mode stimulator {IC} with 1G{\(\Omega\)} output impedance for compact electro-acupuncture system}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {1457--1460}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037109}, doi = {10.1109/EMBC.2017.8037109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeKKSLKWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimSBLLLHY17, author = {Kwantae Kim and Kiseok Song and Kyeongryeol Bong and Jaehyuk Lee and Kwonjoon Lee and Yongsu Lee and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A 24 {\(\mu\)}W 38.51 m{\(\Omega\)}rms resolution bio-impedance sensor with dual path instrumentation amplifier}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {223--226}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094566}, doi = {10.1109/ESSCIRC.2017.8094566}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimSBLLLHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimBHLCY17, author = {Changhyeon Kim and Kyeongryeol Bong and Injoon Hong and Kyuho Jason Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {An ultra-low-power and mixed-mode event-driven face detection SoC for always-on mobile applications}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {255--258}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094574}, doi = {10.1109/ESSCIRC.2017.8094574}, timestamp = {Tue, 14 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/KimBHLCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvs/LeeCBKKY17, author = {Kyuho Jason Lee and Gyeongmin Choe and Kyeongryeol Bong and Changhyeon Kim and In So Kweon and Hoi{-}Jun Yoo}, editor = {Ming Liu and Haoyao Chen and Markus Vincze}, title = {A Real-Time and Energy-Efficient Embedded System for Intelligent {ADAS} with RNN-Based Deep Risk Prediction using Stereo Camera}, booktitle = {Computer Vision Systems - 11th International Conference, {ICVS} 2017, Shenzhen, China, July 10-13, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10528}, pages = {346--356}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68345-4\_31}, doi = {10.1007/978-3-319-68345-4\_31}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icvs/LeeCBKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangLBKY17, author = {Sanghoon Kang and Jinmook Lee and Kyeongryeol Bong and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {A 0.53mW ultra-low-power 3D face frontalization processor for face recognition with human-level accuracy in wearable devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050764}, doi = {10.1109/ISCAS.2017.8050764}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangLBKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinLLY17, author = {Dongjoo Shin and Jinmook Lee and Jinsu Lee and Hoi{-}Jun Yoo}, title = {14.2 {DNPU:} An 8.1TOPS/W reconfigurable {CNN-RNN} processor for general-purpose deep neural networks}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {240--241}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870350}, doi = {10.1109/ISSCC.2017.7870350}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BongCKKKY17, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Sanghoon Kang and Youchang Kim and Hoi{-}Jun Yoo}, title = {14.6 {A} 0.62mW ultra-low-power convolutional-neural-network face-recognition processor and a {CIS} integrated with always-on haar-like face detector}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {248--249}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870354}, doi = {10.1109/ISSCC.2017.7870354}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BongCKKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKJLLLLKLY17, author = {Minseo Kim and Hyunki Kim and Jaeeun Jang and Jihee Lee and Jaehyuk Lee and Jiwon Lee and Kyungrog Lee and Kwantae Kim and Yongsu Lee and Hoi{-}Jun Yoo}, title = {21.2 {A} 1.4m{\(\Omega\)}-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {354--355}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870407}, doi = {10.1109/ISSCC.2017.7870407}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKJLLLLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLKKRCY17, author = {Unsoo Ha and Jaehyuk Lee and Jihee Lee and Kwantae Kim and Minseo Kim and Taehwan Roh and Sangsik Choi and Hoi{-}Jun Yoo}, title = {27.2 {A} 25.2mW {EEG-NIRS} multimodal SoC for accurate anesthesia depth monitoring}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {450--451}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870455}, doi = {10.1109/ISSCC.2017.7870455}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaLLKKRCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/BongLY17, author = {Kyeongryeol Bong and Kyuho Jason Lee and Hoi{-}Jun Yoo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 590MDE/s semi-global matching processor with lossless data compression}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {18--22}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8225998}, doi = {10.1109/SOCC.2017.8225998}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/BongLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShinKY17, author = {Dongjoo Shin and Youchang Kim and Hoi{-}Jun Yoo}, editor = {Massimo Alioto and Hai Helen Li and J{\"{u}}rgen Becker and Ulf Schlichtmann and Ramalingam Sridhar}, title = {A 1.41mW on-chip/off-chip hybrid transposition table for low-power robust deep tree search in artificial intelligence SoCs}, booktitle = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017, Munich, Germany, September 5-8, 2017}, pages = {138--142}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SOCC.2017.8226024}, doi = {10.1109/SOCC.2017.8226024}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ShinKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongBSPLKY16, author = {Injoon Hong and Kyeongryeol Bong and Dongjoo Shin and Seongwook Park and Kyuho Jason Lee and Youchang Kim and Hoi{-}Jun Yoo}, title = {A 2.71 nJ/Pixel Gaze-Activated Object Recognition System for Low-Power Mobile Smart Glasses}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {45--55}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2476786}, doi = {10.1109/JSSC.2015.2476786}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongBSPLKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoKKJLLBY16, author = {Hyunwoo Cho and Hyunki Kim and Minseo Kim and Jaeeun Jang and Yongsu Lee and Kyuho Jason Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 79 pJ/b 80 Mb/s Full-Duplex Transceiver and a 42.5{\(\mathrm{\mu}\)}W 100 kb/s Super-Regenerative Transceiver for Body Channel Communication}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {310--317}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2498761}, doi = {10.1109/JSSC.2015.2498761}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoKKJLLBY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BongHKY16, author = {Kyeongryeol Bong and Injoon Hong and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 0.5{\textdegree} Error 10 mW {CMOS} Image Sensor-Based Gaze Estimation Processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {1032--1040}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2504466}, doi = {10.1109/JSSC.2015.2504466}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BongHKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkHPY16, author = {Seongwook Park and Injoon Hong and Junyoung Park and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Embedded Deep Neural Network Processor for High Speed Visual Attention in Mobile Vision Recognition SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {10}, pages = {2380--2388}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2582864}, doi = {10.1109/JSSC.2016.2582864}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkHPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/BaeY16, author = {Joonsung Bae and Hoi{-}Jun Yoo}, title = {The effects of electrode impedance on receiver sensitivity in body channel communication}, journal = {Microelectron. J.}, volume = {53}, pages = {73--80}, year = {2016}, url = {https://doi.org/10.1016/j.mejo.2016.04.011}, doi = {10.1016/J.MEJO.2016.04.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/BaeY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/JangLCY16, author = {Jaeeun Jang and Yongsu Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 540-{\(\mathrm{\mu}\)}W Duty Controlled {RSSI} With Current Reusing Technique for Human Body Communication}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {4}, pages = {893--901}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2016.2579166}, doi = {10.1109/TBCAS.2016.2579166}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/JangLCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimHPY16, author = {Youchang Kim and Injoon Hong and Junyoung Park and Hoi{-}Jun Yoo}, title = {A 0.5 {V} 54 {\(\mathrm{\mu}\)}W Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {3}, pages = {359--369}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2515421}, doi = {10.1109/TCSI.2016.2515421}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KimHPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HanKBY16, author = {Jinho Han and Youngsu Kwon and Kyeongjin Byun and Hoi{-}Jun Yoo}, title = {A Fault-Tolerant Cache System of Automotive Vision Processor Complying With {ISO26262}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {12}, pages = {1146--1150}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2620997}, doi = {10.1109/TCSII.2016.2620997}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HanKBY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimBCLY16, author = {Changhyeon Kim and Kyeongryeol Bong and Sungpill Choi and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A {CMOS} Image Sensor-Based Stereo Matching Accelerator With Focal-Plane Sparse Rectification and Analog Census Transform}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {12}, pages = {2180--2188}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2619718}, doi = {10.1109/TCSI.2016.2619718}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimBCLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HaY16, author = {Unsoo Ha and Hoi{-}Jun Yoo}, title = {An {EEG-NIRS} ear-module SoC for wearable drowsiness monitoring system}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {193--196}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844168}, doi = {10.1109/ASSCC.2016.7844168}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HaY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiPY16, author = {Sungpill Choi and Seongwook Park and Hoi{-}Jun Yoo}, title = {A 34pJ/level pixel depth-estimation processor with shifter-based pipelined architecture for mobile user interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {257--260}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844184}, doi = {10.1109/ASSCC.2016.7844184}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChoiPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HaY16, author = {Unsoo Ha and Hoi{-}Jun Yoo}, title = {A multimodal drowsiness monitoring ear-module system with closed-loop real-time alarm}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {536--539}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833850}, doi = {10.1109/BIOCAS.2016.7833850}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HaY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimSLY16, author = {Youchang Kim and Dongjoo Shin and Jinsu Lee and Hoi{-}Jun Yoo}, title = {A 1.1 mW 32-thread artificial intelligence processor with 3-level transposition table and on-chip {PVT} compensation for autonomous mobile robots}, booktitle = {2016 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} XIX, Yokohama, Japan, April 20-22, 2016}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CoolChips.2016.7503671}, doi = {10.1109/COOLCHIPS.2016.7503671}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimSLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/LeeBKPY16, author = {Kyuho Jason Lee and Kyeongryeol Bong and Changhyeon Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {An energy-efficient parallel multi-core {ADAS} processor with robust visual attention and workload-prediction {DVFS} for real-time {HD} stereo stream}, booktitle = {2016 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} XIX, Yokohama, Japan, April 20-22, 2016}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CoolChips.2016.7503672}, doi = {10.1109/COOLCHIPS.2016.7503672}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/LeeBKPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeLYY16, author = {Yongsu Lee and Hyeonwoo Lee and Seunghyup Yoo and Hoi{-}Jun Yoo}, title = {Sticker-type {ECG/PPG} concurrent monitoring system hybrid integration of {CMOS} SoC and organic sensor device}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {2014--2017}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591121}, doi = {10.1109/EMBC.2016.7591121}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeLYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeSRY16, author = {Kwonjoon Lee and Kiseok Song and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A fabric wrist patch sensor for continuous and comprehensive monitoring of the cardiovascular system}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {6070--6073}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7592113}, doi = {10.1109/EMBC.2016.7592113}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeSRY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeePHY16, author = {Jinmook Lee and Seongwook Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {An 8.3mW 1.6Msamples/s multi-modal event-driven speech enhancement processor for robust speech recognition in smart glasses}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {117--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598256}, doi = {10.1109/ESSCIRC.2016.7598256}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeePHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimHLLY16, author = {Minseo Kim and Unsoo Ha and Yongsu Lee and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A 82nW chaotic-map true random number generator based on sub-ranging {SAR} {ADC}}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {157--160}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598266}, doi = {10.1109/ESSCIRC.2016.7598266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimHLLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeBKY16, author = {Kyuho Jason Lee and Kyeongryeol Bong and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {An intelligent {ADAS} processor with real-time semi-global matching and intention prediction for 720p stereo vision}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936225}, doi = {10.1109/HOTCHIPS.2016.7936225}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeBKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHY16, author = {Jaehyuk Lee and Unsoo Ha and Hoi{-}Jun Yoo}, title = {30-fps {SNR} equalized electrical impedance tomography {IC} with fast-settle filter and adaptive current control for lung monitoring}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {109--112}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527182}, doi = {10.1109/ISCAS.2016.7527182}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSKY16, author = {Jinsu Lee and Dongjoo Shin and Youchang Kim and Hoi{-}Jun Yoo}, title = {A 17.5 fJ/bit energy-efficient analog {SRAM} for mixed-signal processing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527414}, doi = {10.1109/ISCAS.2016.7527414}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKCLRY16, author = {Kwantae Kim and Minseo Kim and Hyunwoo Cho and Kwonjoon Lee and Seung{-}Tak Ryu and Hoi{-}Jun Yoo}, title = {A 54-{\(\mu\)}W fast-settling arterial pulse wave sensor for wrist watch type system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1082--1085}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527432}, doi = {10.1109/ISCAS.2016.7527432}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKCLRY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeJCY16, author = {Kyoung{-}Rog Lee and Jaeeun Jang and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 635 {\(\mu\)}W non-contact compensation {IC} for body channel communication}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1406--1409}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527513}, doi = {10.1109/ISCAS.2016.7527513}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeJCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBCY16, author = {Changhyeon Kim and Kyeongryeol Bong and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 43.7 mW 94 fps {CMOS} image sensor-based stereo matching accelerator with focal-plane rectification and analog census transformation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1418--1421}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527516}, doi = {10.1109/ISCAS.2016.7527516}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCY16, author = {Jihee Lee and Yongsu Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 48 {\(\mu\)}W, 8.88 {\texttimes} 10-3 {W/W} batteryless energy harvesting {BCC} identification system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1806--1809}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7538920}, doi = {10.1109/ISCAS.2016.7538920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanKBY16, author = {Jinho Han and Youngsu Kwon and Kyeongjin Byun and Hoi{-}Jun Yoo}, title = {A fault tolerant cache system of automotive vision processor complying with {ISO26262}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2912}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539215}, doi = {10.1109/ISCAS.2016.7539215}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanKBY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCLKPY16, author = {Seongwook Park and Sungpill Choi and Jinmook Lee and Minseo Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {14.1 {A} 126.1mW real-time natural {UI/UX} processor with embedded deep-learning core for low-power smart glasses}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {254--255}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418003}, doi = {10.1109/ISSCC.2016.7418003}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCLKPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeBKJKLLKY16, author = {Kyuho Jason Lee and Kyeongryeol Bong and Changhyeon Kim and Jaeeun Jang and Hyunki Kim and Jihee Lee and Kyoung{-}Rog Lee and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {14.2 {A} 502GOPS and 0.984mW dual-mode {ADAS} SoC with {RNN-FIS} engine for intention prediction in automotive black-box system}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {256--257}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418004}, doi = {10.1109/ISSCC.2016.7418004}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeBKJKLLKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLLY16, author = {Youchang Kim and Dongjoo Shin and Jinsu Lee and Yongsu Lee and Hoi{-}Jun Yoo}, title = {14.3 {A} 0.55V 1.1mW artificial-intelligence processor with {PVT} compensation for micro robots}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {258--259}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418005}, doi = {10.1109/ISSCC.2016.7418005}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLJLKLKLKCYY16, author = {Yongsu Lee and Hyeonwoo Lee and Jaeeun Jang and Jihee Lee and Minseo Kim and Jaehyuk Lee and Hyunki Kim and Kyoung{-}Rog Lee and Kwantae Kim and Hyunwoo Cho and Seunghyup Yoo and Hoi{-}Jun Yoo}, title = {22.3 {A} 141{\(\mathrm{\mu}\)}W sensor SoC on {OLED/OPD} substrate for SpO2/ExG monitoring sticker}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {384--385}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418068}, doi = {10.1109/ISSCC.2016.7418068}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLJLKLKLKCYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimHPY16, author = {Youchang Kim and Injoon Hong and Seongwook Park and Hoi{-}Jun Yoo}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Low-power real-time intelligent SoCs for smart machines}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {247--252}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905478}, doi = {10.1109/SOCC.2016.7905478}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimHPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLKPHBY15, author = {Gyeonghoon Kim and Kyuho Jason Lee and Youchang Kim and Seongwook Park and Injoon Hong and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {A 1.22 {TOPS} and 1.52 mW/MHz Augmented Reality Multicore Processor With Neural Network NoC for {HMD} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {113--124}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2352303}, doi = {10.1109/JSSC.2014.2352303}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLKPHBY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongLHKLKY15, author = {Sunjoo Hong and Kwonjoon Lee and Unsoo Ha and Hyunki Kim and Yongsu Lee and Youchang Kim and Hoi{-}Jun Yoo}, title = {A 4.9 m{\(\Omega\)}-Sensitivity Mobile Electrical Impedance Tomography {IC} for Early Breast-Cancer Detection System}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {245--257}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2355835}, doi = {10.1109/JSSC.2014.2355835}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongLHKLKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongHPBY15, author = {Kiseok Song and Unsoo Ha and Seongwook Park and Joonsung Bae and Hoi{-}Jun Yoo}, title = {An Impedance and Multi-Wavelength Near-Infrared Spectroscopy {IC} for Non-Invasive Blood Glucose Estimation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {4}, pages = {1025--1037}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2384037}, doi = {10.1109/JSSC.2014.2384037}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongHPBY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKPY15, author = {Kyuho Jason Lee and Gyeonghoon Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {4}, pages = {1059--1069}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2380790}, doi = {10.1109/JSSC.2014.2380790}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeKPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeY15, author = {Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 45 {\(\mathrm{\mu}\)}W Injection-Locked {FSK} Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {6}, pages = {1351--1360}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2420311}, doi = {10.1109/JSSC.2015.2420311}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongLBY15, author = {Sunjoo Hong and Jaehyuk Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2501--2512}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2464705}, doi = {10.1109/JSSC.2015.2464705}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongLBY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongKKKNY15, author = {Injoon Hong and Gyeonghoon Kim and Youchang Kim and Donghyun Kim and Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2513--2523}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2463074}, doi = {10.1109/JSSC.2015.2463074}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongKKKNY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoLBY15, author = {Hyunwoo Cho and Hyungwoo Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 5.2 mW {IEEE} 802.15.6 {HBC} Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based {BPSK} Demodulator}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2549--2559}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2475179}, doi = {10.1109/JSSC.2015.2475179}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoLBY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HaLKRBKY15, author = {Unsoo Ha and Yongsu Lee and Hyunki Kim and Taehwan Roh and Joonsung Bae and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {A Wearable {EEG-HEG-HRV} Multimodal System With Simultaneous Monitoring of tES for Mental Health Management}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {9}, number = {6}, pages = {758--766}, year = {2015}, url = {https://doi.org/10.1109/TBCAS.2015.2504959}, doi = {10.1109/TBCAS.2015.2504959}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HaLKRBKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ParkPBSLCY15, author = {Seongwook Park and Junyoung Park and Kyeongryeol Bong and Dongjoo Shin and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {An Energy-Efficient and Scalable Deep Learning/Inference Processor With Tetra-Parallel {MIMD} Architecture for Big Data Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {9}, number = {6}, pages = {838--848}, year = {2015}, url = {https://doi.org/10.1109/TBCAS.2015.2504563}, doi = {10.1109/TBCAS.2015.2504563}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/ParkPBSLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HongPPY15, author = {Injoon Hong and Seongwook Park and Junyoung Park and Hoi{-}Jun Yoo}, title = {A 1.9nJ/pixel embedded deep neural network processor for high speed visual attention in a mobile vision recognition SoC}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men, China, November 9-11, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASSCC.2015.7387453}, doi = {10.1109/ASSCC.2015.7387453}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HongPPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimSRY15, author = {Hyunki Kim and Kiseok Song and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A 95{\%} accurate EEG-connectome processor for a mental health monitoring system}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men, China, November 9-11, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASSCC.2015.7387479}, doi = {10.1109/ASSCC.2015.7387479}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/KimSRY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HongSKBPLY15, author = {Injoon Hong and Dongjoo Shin and Youchang Kim and Kyeongryeol Bong and Seongwook Park and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A keypoint-level parallel pipelined object recognition processor with gaze activation image sensor for mobile smart glasses system}, booktitle = {2015 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} XVIII, Yokohama, Japan, April 13-15, 2015}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CoolChips.2015.7158531}, doi = {10.1109/COOLCHIPS.2015.7158531}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/HongSKBPLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HaKLKRY15, author = {Unsoo Ha and Changhyeon Kim and Yongsu Lee and Hyunki Kim and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A multimodal stress monitoring system with canonical correlation analysis}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {1263--1266}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318597}, doi = {10.1109/EMBC.2015.7318597}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HaKLKRY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HongLY15, author = {Sunjoo Hong and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {Wearable lung-health monitoring system with electrical impedance tomography}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {1707--1710}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318706}, doi = {10.1109/EMBC.2015.7318706}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HongLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeePHY15, author = {Kyuho Jason Lee and Junyoung Park and Injoon Hong and Hoi{-}Jun Yoo}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {Intelligent task scheduler with high throughput NoC for real-time mobile object recognition SoC}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {100--103}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313838}, doi = {10.1109/ESSCIRC.2015.7313838}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LeePHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimY15, author = {Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A low-power and real-time augmented reality processor for the next generation smart glasses}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477476}, doi = {10.1109/HOTCHIPS.2015.7477476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KimY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/KimCY15, author = {Gyeonghoon Kim and Sungpill Choi and Hoi{-}Jun Yoo}, title = {K-glass: Real-time markerless augmented reality smart glasses platform}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2015, Seville, Spain, March 17-19, 2015}, pages = {1712--1717}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIT.2015.7125344}, doi = {10.1109/ICIT.2015.7125344}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/KimCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiPKY15, author = {Sungpill Choi and Seongwook Park and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 124.9fps memory-efficient hand segmentation processor for hand gesture in mobile devices}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {742--745}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168740}, doi = {10.1109/ISCAS.2015.7168740}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiPKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangLCY15, author = {Jaeeun Jang and Yongsu Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.54-mW duty controlled {RSSI} with current reusing technique for human body communication}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1230--1233}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168862}, doi = {10.1109/ISCAS.2015.7168862}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBHY15, author = {Minseo Kim and Joonsung Bae and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A 24-mW 28-Gb/s wireline receiver with low-frequency equalizing {CTLE} and 2-tap speculative {DFE}}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1610--1613}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168957}, doi = {10.1109/ISCAS.2015.7168957}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePHY15, author = {Jinmook Lee and Seongwook Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {A 3.13nJ/sample energy-efficient speech extraction processor for robust speech recognition in mobile head-mounted display systems}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1790--1793}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169002}, doi = {10.1109/ISCAS.2015.7169002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKKJBY15, author = {Hyunwoo Cho and Hyunki Kim and Minseo Kim and Jaeeun Jang and Joonsung Bae and Hoi{-}Jun Yoo}, title = {21.1 {A} 79pJ/b 80Mb/s full-duplex transceiver and a 42.5{\(\mu\)}W 100kb/s super-regenerative transceiver for body channel communication}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063085}, doi = {10.1109/ISSCC.2015.7063085}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKKJBY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLKRBKY15, author = {Unsoo Ha and Yongsu Lee and Hyunki Kim and Taehwan Roh and Joonsung Bae and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {21.9 {A} wearable {EEG-HEG-HRV} multimodal system with real-time tES monitoring for mental health management}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063093}, doi = {10.1109/ISSCC.2015.7063093}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaLKRBKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongBSPLKY15, author = {Injoon Hong and Kyeongryeol Bong and Dongjoo Shin and Seongwook Park and Kyuho Jason Lee and Youchang Kim and Hoi{-}Jun Yoo}, title = {18.1 {A} 2.71nJ/pixel 3D-stacked gaze-activated object-recognition system for low-power mobile {HMD} applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063058}, doi = {10.1109/ISSCC.2015.7063058}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongBSPLKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimHY15, author = {Youchang Kim and Injoon Hong and Hoi{-}Jun Yoo}, title = {18.3 {A} 0.5V 54{\(\mu\)}W ultra-low-power recognition processor with 93.5{\%} accuracy geometric vocabulary tree and 47.5{\%} database compression}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063060}, doi = {10.1109/ISSCC.2015.7063060}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkBSLCY15, author = {Seongwook Park and Kyeongryeol Bong and Dongjoo Shin and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {4.6 {A1.93TOPS/W} scalable deep learning/inference processor with tetra-parallel {MIMD} architecture for big-data applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062935}, doi = {10.1109/ISSCC.2015.7062935}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkBSLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChandrakasanY15, author = {Anantha P. Chandrakasan and Hoi{-}Jun Yoo}, title = {Session 1 overview: Plenary session}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {6--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062844}, doi = {10.1109/ISSCC.2015.7062844}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChandrakasanY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BongHKY15, author = {Kyeongryeol Bong and Injoon Hong and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 0.5-degree error 10mW {CMOS} image sensor-based gaze estimation processor with logarithmic processing}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {46}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231321}, doi = {10.1109/VLSIC.2015.7231321}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BongHKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinHKY15, author = {Dongjoo Shin and Injoon Hong and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A 33 nJ/vector descriptor generation processor for low-power object recognition}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {52}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231324}, doi = {10.1109/VLSIC.2015.7231324}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinHKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSY15, author = {Yongsu Lee and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 4.84mW 30fps dual frequency division multiplexing electrical impedance tomography SoC for lung ventilation monitoring system}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {204}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231259}, doi = {10.1109/VLSIC.2015.7231259}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongHLBY14, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An 87-mA {\(\cdot\)} min Iontophoresis Controller {IC} With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {1}, pages = {167--178}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2282090}, doi = {10.1109/JSSC.2013.2282090}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongHLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKPKLHBY14, author = {Gyeonghoon Kim and Donghyun Kim and Seongwook Park and Youchang Kim and Kyuho Jason Lee and Injoon Hong and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler}, journal = {{IEEE} Micro}, volume = {34}, number = {6}, pages = {31--41}, year = {2014}, url = {https://doi.org/10.1109/MM.2014.94}, doi = {10.1109/MM.2014.94}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimKPKLHBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/RohSCSY14, author = {Taehwan Roh and Kiseok Song and Hyunwoo Cho and Dongjoo Shin and Hoi{-}Jun Yoo}, title = {A Wearable Neuro-Feedback System With EEG-Based Mental Status Monitoring and Transcranial Electrical Stimulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {755--764}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2384017}, doi = {10.1109/TBCAS.2014.2384017}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/RohSCSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ParkHKNY14, author = {Junyoung Park and Injoon Hong and Gyeonghoon Kim and Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {Intelligent Network-on-Chip With Online Reinforcement Learning for Portable {HD} Object Recognition Processor}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {2}, pages = {476--484}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2013.2284188}, doi = {10.1109/TCSI.2013.2284188}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ParkHKNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HongLBY14, author = {Sunjoo Hong and Jaehyuk Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 10.4 mW electrical impedance tomography SoC for portable real-time lung ventilation monitoring system}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {193--196}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008893}, doi = {10.1109/ASSCC.2014.7008893}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HongLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HongKKKNY14, author = {Injoon Hong and Gyeonghoon Kim and Youchang Kim and Donghyun Kim and Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {A 27mW reconfigurable marker-less logarithmic camera pose estimation engine for mobile augmented reality processor}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {209--212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008897}, doi = {10.1109/ASSCC.2014.7008897}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HongKKKNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKHKY14, author = {Youchang Kim and Gyeonghoon Kim and Injoon Hong and Donghyun Kim and Hoi{-}Jun Yoo}, title = {A 4.9 mW neural network task scheduler for congestion-minimized network-on-chip in multi-core systems}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {213--216}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008898}, doi = {10.1109/ASSCC.2014.7008898}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/KimKHKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoLBY14, author = {Hyunwoo Cho and Hyungwoo Lee and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 5.2mW {IEEE} 802.15.6 {HBC} standard compatible transceiver with power efficient delay-locked-loop based {BPSK} demodulator}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {297--300}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008919}, doi = {10.1109/ASSCC.2014.7008919}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChoLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/BongKY14, author = {Kyeongryeol Bong and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {Energy-efficient Mixed-mode support vector machine processor with analog Gaussian kernel}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946137}, doi = {10.1109/CICC.2014.6946137}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/BongKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeCY14, author = {Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 33{\(\mu\)}W/node Duty Cycle Controlled {HBC} Transceiver system for medical {BAN} with 64 sensor nodes}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946058}, doi = {10.1109/CICC.2014.6946058}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimPLKHBSCPY14, author = {Gyeonghoon Kim and Seongwook Park and Kyuho Jason Lee and Youchang Kim and Injoon Hong and Kyeongryeol Bong and Dongjoo Shin and Sungpill Choi and Junyoung Park and Hoi{-}Jun Yoo}, title = {A task-level pipelined many-SIMD augmented reality processor with congestion-aware network-on-chip scheduler}, booktitle = {2014 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XVII, Yokohama, Japan, April 14-16, 2014}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CoolChips.2014.6842959}, doi = {10.1109/COOLCHIPS.2014.6842959}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimPLKHBSCPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/RohHY14, author = {Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {Wearable depression monitoring system with heart-rate variability}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {562--565}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943653}, doi = {10.1109/EMBC.2014.6943653}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/RohHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ParkKPY14, author = {Seongwook Park and Gyeonghoon Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {A 1.5nJ/pixel super-resolution enhanced {FAST} corner detection processor for high accuracy {AR}}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {191--194}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942054}, doi = {10.1109/ESSCIRC.2014.6942054}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/ParkKPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BongKHY14, author = {Kyeongryeol Bong and Gyeonghoon Kim and Injoon Hong and Hoi{-}Jun Yoo}, title = {An 1.61mW mixed-signal column processor for {BRISK} feature extraction in {CMOS} image sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {57--60}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865064}, doi = {10.1109/ISCAS.2014.6865064}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BongKHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinHY14, author = {Dongjoo Shin and Injoon Hong and Hoi{-}Jun Yoo}, title = {An 1.92mW Feature Reuse Engine based on inter-frame similarity for low-power object recognition in video frames}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {758--761}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865246}, doi = {10.1109/ISCAS.2014.6865246}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHSY14, author = {Yongsu Lee and Unsoo Ha and Kiseok Song and Hoi{-}Jun Yoo}, title = {3.8 mW electrocardiogram {(ECG)} filtered electrical impedance tomography {IC} using {I/Q} homodyne architecture for breast cancer diagnosis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865653}, doi = {10.1109/ISCAS.2014.6865653}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKLPHBSCOY14, author = {Gyeonghoon Kim and Youchang Kim and Kyuho Jason Lee and Seongwook Park and Injoon Hong and Kyeongryeol Bong and Dongjoo Shin and Sungpill Choi and Jinwook Oh and Hoi{-}Jun Yoo}, title = {10.4 {A} 1.22TOPS and 1.52mW/MHz augmented reality multi-core processor with neural network NoC for {HMD} applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {182--183}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757391}, doi = {10.1109/ISSCC.2014.6757391}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKLPHBSCOY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongLHKLKY14, author = {Sunjoo Hong and Kwonjoon Lee and Unsoo Ha and Hyunki Kim and Yongsu Lee and Youchang Kim and Hoi{-}Jun Yoo}, title = {18.4 {A} 4.9m{\(\Omega\)}-sensitivity mobile electrical impedance tomography {IC} for early breast-cancer detection system}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {316--317}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757450}, doi = {10.1109/ISSCC.2014.6757450}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongLHKLKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RohSCSHLY14, author = {Taehwan Roh and Kiseok Song and Hyunwoo Cho and Dongjoo Shin and Unsoo Ha and Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {18.5 {A} 2.14mW {EEG} neuro-feedback processor with transcranial electrical stimulation for mental-health management}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {318--319}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757451}, doi = {10.1109/ISSCC.2014.6757451}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RohSCSHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKPY14, author = {Kyuho Jason Lee and Gyeonghoon Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {A Vocabulary Forest-based object matching processor with 2.07M-vec/s throughput and 13.3nJ/vector energy in full-HD resolution}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858386}, doi = {10.1109/VLSIC.2014.6858386}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SongHPY14, author = {Kiseok Song and Unsoo Ha and Seongwook Park and Hoi{-}Jun Yoo}, title = {An impedance and multi-wavelength near-infrared spectroscopy {IC} for non-invasive blood glucose estimation}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858407}, doi = {10.1109/VLSIC.2014.6858407}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/SongHPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPHLKWY13, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 320 mW 342 {GOPS} Real-Time Dynamic Object Recognition Processor for {HD} 720p Video Streams}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {33--45}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2220651}, doi = {10.1109/JSSC.2012.2220651}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhKPHLKWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLY13, author = {Gyeonghoon Kim and Jinwook Oh and Seungjin Lee and Hoi{-}Jun Yoo}, title = {An 86 mW 98GOPS ANN-Searching Processor for Full-HD 30 fps Video Object Recognition With Zeroless Locality-Sensitive Hashing}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {7}, pages = {1615--1624}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2253220}, doi = {10.1109/JSSC.2013.2253220}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKNY13, author = {Jinwook Oh and Gyeonghoon Kim and Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {A 57 mW 12.5 {\(\mathrm{\mu}\)}J/Epoch Embedded Mixed-Mode Neuro-Fuzzy Processor for Mobile Real-Time Object Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {11}, pages = {2894--2907}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2280238}, doi = {10.1109/JSSC.2013.2280238}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhKNY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeY13, author = {Seulki Lee and Hoi{-}Jun Yoo}, title = {Low Power and Self-Reconfigurable {WBAN} Controller for Continuous Bio-Signal Monitoring System}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {7}, number = {2}, pages = {178--185}, year = {2013}, url = {https://doi.org/10.1109/TBCAS.2013.2254116}, doi = {10.1109/TBCAS.2013.2254116}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoBY13, author = {Hyunwoo Cho and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 37.5 {\(\mathrm{\mu}\)}W Body Channel Communication Wake-Up Receiver With Injection-Locking Ring Oscillator for Wireless Body Area Network}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {5}, pages = {1200--1208}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2249173}, doi = {10.1109/TCSI.2013.2249173}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/OhLY13, author = {Jinwook Oh and Seungjin Lee and Hoi{-}Jun Yoo}, title = {1.2-mW Online Learning Mixed-Mode Intelligent Inference Engine for Low-Power Real-Time Object Recognition Processor}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {5}, pages = {921--933}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2198249}, doi = {10.1109/TVLSI.2012.2198249}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/OhLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SongHLY13, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {Bio-feedback iontophoresis patch for controllable transdermal drug delivery}, booktitle = {2013 {IEEE} Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31 - Nov. 2, 2013}, pages = {330--333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BioCAS.2013.6679706}, doi = {10.1109/BIOCAS.2013.6679706}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SongHLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ParkHKKLPBY13, author = {Junyoung Park and Injoon Hong and Gyeonghoon Kim and Youchang Kim and Kyuho Jason Lee and Seongwook Park and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling}, booktitle = {2013 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XVI, Yokohama, Japan, April 17-19, 2013}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CoolChips.2013.6547917}, doi = {10.1109/COOLCHIPS.2013.6547917}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/ParkHKKLPBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ParkNY13, author = {Junyoung Park and Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {A high-throughput 16{\texttimes} super resolution processor for real-time object recognition SoC}, booktitle = {{ESSCIRC} 2013 - Proceedings of the 39th European Solid-State Circuits Conference, Bucharest, Romania, September 16-20, 2013}, pages = {259--262}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESSCIRC.2013.6649122}, doi = {10.1109/ESSCIRC.2013.6649122}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ParkNY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimPY13, author = {Youchang Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {A 34.1fps scale-space processor with two-dimensional cache for real-time object recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {689--692}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571940}, doi = {10.1109/ISCAS.2013.6571940}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPHY13, author = {Seongwook Park and Junyoung Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {A 32.8mW 60fps cortical vision processor for spatio-temporal action recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572018}, doi = {10.1109/ISCAS.2013.6572018}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkPHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaCY13, author = {Unsoo Ha and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.7pJ/bit 2Gbps self-synchronous serial link receiver using gated-ring oscillator for inductive coupling communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572063}, doi = {10.1109/ISCAS.2013.6572063}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePKHY13, author = {Kyuho Jason Lee and Junyoung Park and Gyeonghoon Kim and Injoon Hong and Hoi{-}Jun Yoo}, title = {A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1608--1611}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572169}, doi = {10.1109/ISCAS.2013.6572169}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeePKHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongHLBY13, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An 87mA{\(\cdot\)}min iontophoresis controller {IC} with dual-mode impedance sensor for patch-type transdermal drug delivery system}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {98--99}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487653}, doi = {10.1109/ISSCC.2013.6487653}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongHLBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKKLPBY13, author = {Junyoung Park and Injoon Hong and Gyeonghoon Kim and Youchang Kim and Kyuho Jason Lee and Seongwook Park and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {168--169}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487685}, doi = {10.1109/ISSCC.2013.6487685}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKKLPBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoHRKLOY13, author = {Hyunwoo Cho and Unsoo Ha and Taehwan Roh and Dongchurl Kim and Jeahyuck Lee and Yunje Oh and Hoi{-}Jun Yoo}, title = {1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {202--203}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487700}, doi = {10.1109/ISSCC.2013.6487700}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoHRKLOY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLHSRBY13, author = {Hyungwoo Lee and Kwonjoon Lee and Sunjoo Hong and Kiseok Song and Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 5.5mW {IEEE-802.15.6} wireless body-area-network standard transceiver for multichannel electro-acupuncture application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {452--453}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487811}, doi = {10.1109/ISSCC.2013.6487811}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLHSRBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/CalhounCOVY12, author = {Benton H. Calhoun and Anantha P. Chandrakasan and Brian P. Otis and Naveen Verma and Hoi{-}Jun Yoo}, title = {Guest Editorial Emerging Circuits and Systems Techniques for Ultra-Low Power Body Sensor Networks}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {2}, number = {1}, pages = {1--3}, year = {2012}, url = {https://doi.org/10.1109/JETCAS.2012.2187708}, doi = {10.1109/JETCAS.2012.2187708}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/CalhounCOVY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeSLCY12, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {310--322}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2170632}, doi = {10.1109/JSSC.2011.2170632}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeSLCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeYRHY12, author = {Seulki Lee and Long Yan and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {A 75 {\(\mathrm{\mu}\)} {W} Real-Time Scalable Body Area Network Controller and a 25 {\(\mathrm{\mu}\)}W ExG Sensor {IC} for Compact Sleep Monitoring Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {323--334}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2170636}, doi = {10.1109/JSSC.2011.2170636}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeYRHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeSLCY12a, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A Low-Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area Network}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2678--2692}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211654}, doi = {10.1109/JSSC.2012.2211654}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeSLCY12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKOLKY12, author = {Junyoung Park and Joonsoo Kwon and Jinwook Oh and Seungjin Lee and Joo{-}Young Kim and Hoi{-}Jun Yoo}, title = {A 92-mW Real-Time Traffic Sign Recognition System With Robust Illumination Adaptation and Support Vector Machine}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2711--2723}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211691}, doi = {10.1109/JSSC.2012.2211691}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkKOLKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OhKHPLKWY12, author = {Jinwook Oh and Gyeonghoon Kim and Injoon Hong and Junyoung Park and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {Low-Power, Real-Time Object-Recognition Processors for Mobile Vision Systems}, journal = {{IEEE} Micro}, volume = {32}, number = {6}, pages = {38--50}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.90}, doi = {10.1109/MM.2012.90}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/OhKHPLKWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongLHCHY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A Sub-10 nA DC-Balanced Adaptive Stimulator {IC} With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {6}, number = {6}, pages = {533--541}, year = {2012}, url = {https://doi.org/10.1109/TBCAS.2012.2232292}, doi = {10.1109/TBCAS.2012.2232292}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongLHCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SongLHCLY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {Compact electro-acupuncture system for multi-modal feedback stimulation}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {82}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418502}, doi = {10.1109/BIOCAS.2012.6418502}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SongLHCLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/RohBHCY12, author = {Taehwan Roh and Kyungryul Bong and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {Live demonstration: Wearable mental health monitoring system with planar-fashonable circuit board}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {84}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418500}, doi = {10.1109/BIOCAS.2012.6418500}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/RohBHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HongLRY12, author = {Sunjoo Hong and Seulki Lee and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A 46 {\(\mu\)}W motion artifact reduction bio-signal sensor with {ICA} based adaptive {DC} level control for sleep monitoring system}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330602}, doi = {10.1109/CICC.2012.6330602}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HongLRY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ParkHKOLY12, author = {Junyoung Park and Injoon Hong and Gyeonghoon Kim and Jinwook Oh and Seungjin Lee and Hoi{-}Jun Yoo}, title = {Online Reinforcement Learning NoC for portable {HD} object recognition processor}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330637}, doi = {10.1109/CICC.2012.6330637}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/ParkHKOLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/OhKPHLKY12, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Joo{-}Young Kim and Hoi{-}Jun Yoo}, editor = {Hiroaki Kobayashi and Makoto Ikeda and Fumio Arakawa}, title = {A simultaneous multithreading heterogeneous object recognition processor with machine learning based dynamic resource management}, booktitle = {2012 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XV, Yokohama, Japan, April 18-20, 2012}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/COOLChips.2012.6216579}, doi = {10.1109/COOLCHIPS.2012.6216579}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/OhKPHLKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SongLHCY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {The compact electro-acupuncture system for multi-modal feedback electro-acupuncture treatment}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {3265--3268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346662}, doi = {10.1109/EMBC.2012.6346662}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/SongLHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/RohBHCY12, author = {Taehwan Roh and Kyeongryeol Bong and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {Wearable mental-health monitoring platform with independent component analysis and nonlinear chaotic analysis}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {4541--4544}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346977}, doi = {10.1109/EMBC.2012.6346977}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/RohBHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimOY12, author = {Gyeonghoon Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 86mW 98GOPS ANN-searching processor for Full-HD 30fps video object recognition with zeroless locality-sensitive hashing}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {450--453}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341352}, doi = {10.1109/ESSCIRC.2012.6341352}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimOY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeRHY12, author = {Seulki Lee and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {A 2.1{\(\mathrm{\mu}\)}W real-time reconfigurable wearable {BAN} controller with dual linked list structure}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1540--1543}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271544}, doi = {10.1109/ISCAS.2012.6271544}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeRHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoBY12, author = {Hyunwoo Cho and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 39 {\(\mathrm{\mu}\)}W body channel communication wake-up receiver with injection-locking ring-oscillator for wireless body area network}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2641--2644}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271848}, doi = {10.1109/ISCAS.2012.6271848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhKPHLY12, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 320mW 342GOPS real-time moving object recognition processor for {HD} 720p video streams}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {220--222}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176983}, doi = {10.1109/ISSCC.2012.6176983}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhKPHLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooYEASYC12, author = {Jerald Yoo and Long Yan and Dina El{-}Damak and Muhammad Bin Altaf and Ali H. Shoeb and Hoi{-}Jun Yoo and Anantha P. Chandrakasan}, title = {An 8-channel scalable {EEG} acquisition SoC with fully integrated patient-specific seizure classification and recording processor}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {292--294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177019}, doi = {10.1109/ISSCC.2012.6177019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooYEASYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RohHCY12, author = {Taehwan Roh and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 259.6{\(\mu\)}W nonlinear {HRV-EEG} chaos processor with body channel communication interface for mental health monitoring}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {294--296}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177020}, doi = {10.1109/ISSCC.2012.6177020}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RohHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLHCY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A sub-10nA DC-balanced adaptive stimulator {IC} with multimodal sensor for compact electro-acupuncture system}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {296--298}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177021}, doi = {10.1109/ISSCC.2012.6177021}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HoofDLDDJLHY12, author = {Chris Van Hoof and Wim Dehaene and Wentai Liu and Timothy Denison and Minkyu Je and Hoi{-}Jun Yoo}, title = {Bioelectronics for sustainable healthcare}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {506--507}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177117}, doi = {10.1109/ISSCC.2012.6177117}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HoofDLDDJLHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeOPKKY11, author = {Seungjin Lee and Jinwook Oh and Junyoung Park and Joonsoo Kwon and Minsu Kim and Hoi{-}Jun Yoo}, title = {A 345 mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {42--51}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2075430}, doi = {10.1109/JSSC.2010.2075430}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeOPKKY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YanBLRSY11, author = {Long Yan and Joonsung Bae and Seulki Lee and Taehwan Roh and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 3.9 mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {353--364}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2074350}, doi = {10.1109/JSSC.2010.2074350}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YanBLRSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeYY11, author = {Joonsung Bae and Long Yan and Hoi{-}Jun Yoo}, title = {A Low Energy Injection-Locked {FSK} Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {4}, pages = {928--937}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2011.2109450}, doi = {10.1109/JSSC.2011.2109450}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongYLYY11, author = {Kiseok Song and Long Yan and Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Wirelessly Powered Electro-Acupuncture Based on Adaptive Pulsewidth Monophase Stimulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {5}, number = {2}, pages = {138--146}, year = {2011}, url = {https://doi.org/10.1109/TBCAS.2011.2144592}, doi = {10.1109/TBCAS.2011.2144592}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongYLYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/LeeKKKY11, author = {Seungjin Lee and Minsu Kim and Kwanho Kim and Joo{-}Young Kim and Hoi{-}Jun Yoo}, title = {24-GOPS 4.5-mm\({}^{\mbox{2}}\) Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC}, journal = {{IEEE} Trans. Neural Networks}, volume = {22}, number = {1}, pages = {64--73}, year = {2011}, url = {https://doi.org/10.1109/TNN.2010.2085443}, doi = {10.1109/TNN.2010.2085443}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/LeeKKKY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/BaeSLCY11, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A low energy crystal-less double-FSK transceiver for wireless body-area-network}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {181--184}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123632}, doi = {10.1109/ASSCC.2011.6123632}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/BaeSLCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/OhKY11, author = {Jinwook Oh and Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {An asynchronous mixed-mode neuro-fuzzy controller for energy efficient machine intelligence SoC}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {389--392}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123598}, doi = {10.1109/ASSCC.2011.6123598}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/OhKY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ParkKOLY11, author = {Junyoung Park and Joonsoo Kwon and Jinwook Oh and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 92mW real-time traffic sign recognition system with robust light and dark adaptation}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {397--400}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123600}, doi = {10.1109/ASSCC.2011.6123600}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ParkKOLY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongBYY11, author = {Kiseok Song and Joonsung Bae and Long Yan and Hoi{-}Jun Yoo}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {A 20 {\(\mathrm{\mu}\)}W contact impedance sensor for wireless body-area-network transceiver}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055370}, doi = {10.1109/CICC.2011.6055370}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongBYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YooY11, author = {Jerald Yoo and Hoi{-}Jun Yoo}, title = {Fabric circuit board-based dry electrode and its characteristics for long-term physiological signal recording}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {2497--2500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090692}, doi = {10.1109/IEMBS.2011.6090692}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/YooY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeYRHY11, author = {Seulki Lee and Long Yan and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {The Smart Patches and Wearable Band (W-Band) for comfortable sleep monitoring system}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {6915--6918}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091741}, doi = {10.1109/IEMBS.2011.6091741}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeYRHY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLPY11, author = {Gyeonghoon Kim and Seungjin Lee and Junyoung Park and Hoi{-}Jun Yoo}, title = {A low-energy hybrid radix-4/-8 multiplier for portable multimedia applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937777}, doi = {10.1109/ISCAS.2011.5937777}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimLPY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongRY11, author = {Sunjoo Hong and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A 145{\(\mathrm{\mu}\)}W 8{\texttimes}8 parallel multiplier based on optimized bypassing architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937778}, doi = {10.1109/ISCAS.2011.5937778}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongRY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSYY11, author = {Hyungwoo Lee and Kiseok Song and Long Yan and Hoi{-}Jun Yoo}, title = {A 2.4{\(\mathrm{\mu}\)}W 400nC/s constant charge injector for wirelessly-powered electro-acupuncture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937913}, doi = {10.1109/ISCAS.2011.5937913}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSLCYY11, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Long Yan and Hoi{-}Jun Yoo}, title = {A 0.24nJ/b wireless body-area-network transceiver with scalable double-FSK modulation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {34--36}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746207}, doi = {10.1109/ISSCC.2011.5746207}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSLCYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYRHY11, author = {Seulki Lee and Long Yan and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {A 75{\(\mu\)}W real-time scalable network controller and a 25{\(\mu\)}W ExG sensor {IC} for compact sleep-monitoring applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {36--38}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746208}, doi = {10.1109/ISSCC.2011.5746208}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYRHY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPKLY11, author = {Jinwook Oh and Junyoung Park and Gyeonghoon Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 57mW embedded mixed-mode neuro-fuzzy accelerator for intelligent multi-core processor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {130--132}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746250}, doi = {10.1109/ISSCC.2011.5746250}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhPKLY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooB11, author = {Hoi{-}Jun Yoo and Alison J. Burdett}, title = {Body area network: Technology, solutions, and standardization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {531}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746425}, doi = {10.1109/ISSCC.2011.5746425}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/YooH11, author = {Hoi{-}Jun Yoo and Chris Van Hoof}, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Introduction to Bio-Medical {CMOS} {IC}}, booktitle = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, pages = {1--9}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4\_1}, doi = {10.1007/978-1-4419-6597-4\_1}, timestamp = {Tue, 07 May 2019 07:11:44 +0200}, biburl = {https://dblp.org/rec/series/icas/YooH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/KimY11, author = {Hyejung Kim and Hoi{-}Jun Yoo}, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Low Power Bio-Medical {DSP}}, booktitle = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, pages = {191--215}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4\_6}, doi = {10.1007/978-1-4419-6597-4\_6}, timestamp = {Mon, 06 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/KimY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/SongY11, author = {Seong{-}Jun Song and Hoi{-}Jun Yoo}, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Body Channel Communication for Energy-Efficient {BAN}}, booktitle = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, pages = {303--336}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4\_9}, doi = {10.1007/978-1-4419-6597-4\_9}, timestamp = {Mon, 06 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/SongY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/YooY11, author = {Jerald Yoo and Hoi{-}Jun Yoo}, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Wearable Healthcare System}, booktitle = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, pages = {339--370}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4\_10}, doi = {10.1007/978-1-4419-6597-4\_10}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/YooY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/KimY11a, author = {Sunyoung Kim and Hoi{-}Jun Yoo}, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Digital Hearing Aid and Cochlear Implant}, booktitle = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, pages = {371--419}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4\_11}, doi = {10.1007/978-1-4419-6597-4\_11}, timestamp = {Mon, 06 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/KimY11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/icas/2011YH, editor = {Hoi{-}Jun Yoo and Chris Van Hoof}, title = {Bio-Medical {CMOS} ICs}, series = {Integrated Circuits and Systems}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6597-4}, doi = {10.1007/978-1-4419-6597-4}, isbn = {978-1-4419-6596-7}, timestamp = {Tue, 07 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/2011YH.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeYY10, author = {Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A 4.78 {\(\mathrm{\mu}\)}s Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {11}, pages = {2892--2900}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.2892}, doi = {10.1587/TRANSCOM.E93.B.2892}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKLOKY10, author = {Joo{-}Young Kim and Minsu Kim and Seungjin Lee and Jinwook Oh and Kwanho Kim and Hoi{-}Jun Yoo}, title = {A 201.4 {GOPS} 496 mW Real-Time Multi-Object Recognition Processor With Bio-Inspired Neural Perception Engine}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {1}, pages = {32--45}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2031768}, doi = {10.1109/JSSC.2009.2031768}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKLOKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooYLKY10, author = {Jerald Yoo and Long Yan and Seulki Lee and Yongsang Kim and Hoi{-}Jun Yoo}, title = {A 5.2 mW Self-Configured Wearable Body Sensor Network Controller and a 12 {\(\mu\)} {W} Wirelessly Powered Sensor for a Continuous Health Monitoring System}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {1}, pages = {178--188}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2034440}, doi = {10.1109/JSSC.2009.2034440}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooYLKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimPLKOY10, author = {Joo{-}Young Kim and Junyoung Park and Seungjin Lee and Minsu Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {7}, pages = {1399--1409}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2048085}, doi = {10.1109/JSSC.2010.2048085}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimPLKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YanYKY10, author = {Long Yan and Jerald Yoo and Binhee Kim and Hoi{-}Jun Yoo}, title = {A 0.5-{\(\mu\)} V\({}_{\mbox{rms}}\) 12-{\(\mu\)} {W} Wirelessly Powered Patch-Type Healthcare Sensor for Wearable Body Sensor Network}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {11}, pages = {2356--2365}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2065831}, doi = {10.1109/JSSC.2010.2065831}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YanYKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSYY10, author = {Seulki Lee and Kiseok Song and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {11}, pages = {2366--2374}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2065850}, doi = {10.1109/JSSC.2010.2065850}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeKKKY10, author = {Seungjin Lee and Kwanho Kim and Joo{-}Young Kim and Minsu Kim and Hoi{-}Jun Yoo}, title = {Familiarity based unified visual attention model for fast and robust object recognition}, journal = {Pattern Recognit.}, volume = {43}, number = {3}, pages = {1116--1128}, year = {2010}, url = {https://doi.org/10.1016/j.patcog.2009.07.014}, doi = {10.1016/J.PATCOG.2009.07.014}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeeKKKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/KimOLKOY10, author = {Joo{-}Young Kim and Sejong Oh and Seungjin Lee and Minsu Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {An attention controlled multi-core architecture for energy efficient object recognition}, journal = {Signal Process. Image Commun.}, volume = {25}, number = {5}, pages = {363--376}, year = {2010}, url = {https://doi.org/10.1016/j.image.2010.03.003}, doi = {10.1016/J.IMAGE.2010.03.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/KimOLKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HamouiY10, author = {Anas A. Hamoui and Hoi{-}Jun Yoo}, title = {Guest Editorial - Selected Papers From the 2010 {IEEE} International Solid-State Circuits Conference {(ISSCC)}}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {4}, number = {6}, pages = {337--339}, year = {2010}, url = {https://doi.org/10.1109/TBCAS.2010.2092172}, doi = {10.1109/TBCAS.2010.2092172}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HamouiY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimKLKY10, author = {Joo{-}Young Kim and Donghyun Kim and Seungjin Lee and Kwanho Kim and Hoi{-}Jun Yoo}, title = {Visual Image Processing {RAM:} Memory Architecture With 2-D Data Location Search and Data Consistency Management for a Multicore Object Recognition Processor}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {20}, number = {4}, pages = {485--495}, year = {2010}, url = {https://doi.org/10.1109/TCSVT.2009.2035830}, doi = {10.1109/TCSVT.2009.2035830}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KimKLKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/KimYMHY10, author = {Hyejung Kim and Refet Firat Yazicioglu and Patrick Merken and Chris Van Hoof and Hoi{-}Jun Yoo}, title = {{ECG} signal compression and classification algorithm with quad level vector for {ECG} holter system}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {14}, number = {1}, pages = {93--100}, year = {2010}, url = {https://doi.org/10.1109/TITB.2009.2031638}, doi = {10.1109/TITB.2009.2031638}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/KimYMHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeRBY10, author = {Hyungwoo Lee and Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 60{\(\mathrm{\mu}\)}W 10Mb/s fully digital {FSK} demodulator for power-jitter efficient medical {BAN}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {504--507}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5775061}, doi = {10.1109/APCCAS.2010.5775061}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeRBY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HongSYY10, author = {Sunjoo Hong and Kiseok Song and Long Yan and Hoi{-}Jun Yoo}, title = {A combined method to reduce motion artifact and power line interference for wearable healthcare systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {508--511}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5775062}, doi = {10.1109/APCCAS.2010.5775062}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HongSYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeOKPKKY10, author = {Seungjin Lee and Jinwook Oh and Minsu Kim and Junyoung Park and Joonsoo Kwon and Joo{-}Young Kim and Hoi{-}Jun Yoo}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {Intelligent NoC with neuro-fuzzy bandwidth regulation for a 51 {IP} object recognition processor}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617394}, doi = {10.1109/CICC.2010.5617394}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/LeeOKPKKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkLY10, author = {Junyoung Park and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 30fps stereo matching processor based on belief propagation with disparity-parallel {PE} array architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {453--456}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537657}, doi = {10.1109/ISCAS.2010.5537657}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonKOY10, author = {Joonsoo Kwon and Minsu Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 22.4 mW competitive fuzzy edge detection processor for volume rendering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1883--1886}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537899}, doi = {10.1109/ISCAS.2010.5537899}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongLY10, author = {Kiseok Song and Seulki Lee and Hoi{-}Jun Yoo}, title = {A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2087--2090}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537221}, doi = {10.1109/ISCAS.2010.5537221}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePY10, author = {Seulki Lee and Seungwook Paek and Hoi{-}Jun Yoo}, title = {Live demonstration: {A} real-time compensated inductive transceiver for wearable {MP3} player system on multi-layered planar fashionable circuit board}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2777}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537001}, doi = {10.1109/ISCAS.2010.5537001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePY10a, author = {Seulki Lee and Seungwook Paek and Hoi{-}Jun Yoo}, title = {A real-time compensated inductive transceiver for wearable {MP3} player system on multi-layered planar fashionable circuit board}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2778--2781}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537002}, doi = {10.1109/ISCAS.2010.5537002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePY10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RohBY10, author = {Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A lOMb/s 4ns jitter direct conversion low Modulation Index {FSK} demodulator for low-energy body sensor network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3004--3007}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538016}, doi = {10.1109/ISCAS.2010.5538016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RohBY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeOKPKY10, author = {Seungjin Lee and Jinwook Oh and Minsu Kim and Junyoung Park and Joonsoo Kwon and Hoi{-}Jun Yoo}, title = {A 345mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {332--333}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433905}, doi = {10.1109/ISSCC.2010.5433905}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeOKPKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YanBLKRSY10, author = {Long Yan and Joonsung Bae and Seulki Lee and Binhee Kim and Taehwan Roh and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {490--491}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433833}, doi = {10.1109/ISSCC.2010.5433833}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YanBLKRSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/LeeKRHY10, author = {Seulki Lee and Binhee Kim and Taehwan Roh and Sunjoo Hong and Hoi{-}Jun Yoo}, title = {Arm-band type textile-MP3 player with multi-layer Planar Fashionable Circuit Board {(P-FCB)} techniques}, booktitle = {14th {IEEE} International Symposium on Wearable Computers {(ISWC} 2010), 10-13 October 2010, Seoul, Korea}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISWC.2010.5665879}, doi = {10.1109/ISWC.2010.5665879}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/LeeKRHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/YooL10, author = {Hoi{-}Jun Yoo and Kristof Van Laerhoven}, title = {Message from technical program co-chairs}, booktitle = {14th {IEEE} International Symposium on Wearable Computers {(ISWC} 2010), 10-13 October 2010, Seoul, Korea}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISWC.2010.5665882}, doi = {10.1109/ISWC.2010.5665882}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/YooL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ph/YanY10, author = {Long Yan and Hoi{-}Jun Yoo}, title = {A smart poultice with reconfigurable sensor array for wearable cardiac healthcare}, booktitle = {4th International Conference on Pervasive Computing Technologies for Healthcare, PervasiveHealth 2010, Munich, Germany, 22-25 March, 2010}, pages = {1--2}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.4108/ICST.PERVASIVEHEALTH2010.8871}, doi = {10.4108/ICST.PERVASIVEHEALTH2010.8871}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ph/YanY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/WooSKY09, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices}, journal = {{IEEE} Computer Graphics and Applications}, volume = {29}, number = {5}, pages = {82--90}, year = {2009}, url = {https://doi.org/10.1109/MCG.2009.88}, doi = {10.1109/MCG.2009.88}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cga/WooSKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/KimKKLY09, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor}, journal = {{IET} Comput. Digit. Tech.}, volume = {3}, number = {5}, pages = {513--524}, year = {2009}, url = {https://doi.org/10.1049/iet-cdt.2008.0085}, doi = {10.1049/IET-CDT.2008.0085}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/KimKKLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimL0KY09, author = {Kwanho Kim and Seungjin Lee and Joo{-}Young Kim and Minsu Kim and Hoi{-}Jun Yoo}, title = {A 125 {GOPS} 583 mW Network-on-Chip Based Parallel Processor With Bio-Inspired Visual Attention Engine}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {136--147}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2007157}, doi = {10.1109/JSSC.2008.2007157}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimL0KY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoYBY09, author = {Namjun Cho and Long Yan and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 60 kb/s-10 Mb/s Adaptive Frequency Hopping Transceiver for Interference-Resilient Body Channel Communication}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {3}, pages = {708--717}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2012328}, doi = {10.1109/JSSC.2008.2012328}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoYBY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NamY09, author = {Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, title = {An Embedded Stream Processor Core Based on Logarithmic Arithmetic for a Low-Power 3-D Graphics SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {5}, pages = {1554--1570}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2016698}, doi = {10.1109/JSSC.2009.2016698}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NamY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooC09, author = {Hoi{-}Jun Yoo and SeongHwan Cho}, title = {Introduction to the Special Section on the 2008 Asian Solid-State Circuits Conference (A-SSCC'08)}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {2871--2872}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2028911}, doi = {10.1109/JSSC.2009.2028911}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooLY09, author = {Jerald Yoo and Seulki Lee and Hoi{-}Jun Yoo}, title = {A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {2999--3010}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2028952}, doi = {10.1109/JSSC.2009.2028952}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoBY09, author = {Namjun Cho and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 10.8 mW Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {12}, pages = {3459--3468}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2032592}, doi = {10.1109/JSSC.2009.2032592}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoBY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKLOOY09, author = {Joo{-}Young Kim and Minsu Kim and Seungjin Lee and Jinwook Oh and Sejong Oh and Hoi{-}Jun Yoo}, title = {Real-Time Object Recognition with Neuro-Fuzzy Controlled Workload-Aware Task Pipelining}, journal = {{IEEE} Micro}, volume = {29}, number = {6}, pages = {28--43}, year = {2009}, url = {https://doi.org/10.1109/MM.2009.102}, doi = {10.1109/MM.2009.102}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimKLOOY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeYY09, author = {Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A 200-Mbps 0.02-nJ/b Dual-Mode Inductive Coupling Transceiver for cm-Range Multimedia Application}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {5}, pages = {1063--1072}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2009.2015209}, doi = {10.1109/TCSI.2009.2015209}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeYY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimLKKY09, author = {Kwanho Kim and Seungjin Lee and Joo{-}Young Kim and Minsu Kim and Hoi{-}Jun Yoo}, title = {A Configurable Heterogeneous Multicore Architecture With Cellular Neural Network for Real-Time Object Recognition}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {19}, number = {11}, pages = {1612--1622}, year = {2009}, url = {https://doi.org/10.1109/TCSVT.2009.2031516}, doi = {10.1109/TCSVT.2009.2031516}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KimLKKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/YooYLKY09, author = {Jerald Yoo and Long Yan and Seulki Lee and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A Wearable {ECG} Acquisition System With Compact Planar-Fashionable Circuit Board-Based Shirt}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {13}, number = {6}, pages = {897--902}, year = {2009}, url = {https://doi.org/10.1109/TITB.2009.2033053}, doi = {10.1109/TITB.2009.2033053}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/YooYLKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimKKLLY09, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {81.6 {GOPS} Object Recognition Processor Based on a Memory-Centric NoC}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {3}, pages = {370--383}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2011226}, doi = {10.1109/TVLSI.2008.2011226}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimKKLLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WooSKY09, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and {MPEG4/H.264/JPEG}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {9}, pages = {1260--1266}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2002431}, doi = {10.1109/TVLSI.2008.2002431}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WooSKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/KimKKY09, author = {Hyejung Kim and Yongsang Kim and Binhee Kim and Hoi{-}Jun Yoo}, editor = {Benny P. L. Lo and Paul D. Mitcheson}, title = {A Wearable Fabric Computer by Planar-Fashionable Circuit Board Technique}, booktitle = {Sixth International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2009, Berkeley, CA, USA, 3-5 June 2009}, pages = {282--285}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BSN.2009.51}, doi = {10.1109/BSN.2009.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/KimKKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimKLOY09, author = {Minsu Kim and Joo{-}Young Kim and Seungjin Lee and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 54GOPS 51.8mW analog-digital mixed mode Neural Perception Engine for fast object detection}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {649--652}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280749}, doi = {10.1109/CICC.2009.5280749}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimKLOY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/0001K0KOY09, author = {Joo{-}Young Kim and Kwanho Kim and Seungjin Lee and Minsu Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 118.4GB/s multi-casting network-on-chip for real-time object recognition processor}, booktitle = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens, Greece, 14-18 September 2009}, pages = {400--403}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ESSCIRC.2009.5325978}, doi = {10.1109/ESSCIRC.2009.5325978}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/0001K0KOY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYYCY09, author = {Binhee Kim and Long Yan and Jerald Yoo and Namjun Cho and Hoi{-}Jun Yoo}, title = {An Energy-efficient Dual Sampling {SAR} {ADC} with Reduced Capacitive {DAC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {972--975}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117920}, doi = {10.1109/ISCAS.2009.5117920}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYYCY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimLOKY09, author = {Joo{-}Young Kim and Seungjin Lee and Jinwook Oh and Minsu Kim and Hoi{-}Jun Yoo}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {365--370}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594329}, doi = {10.1145/1594233.1594329}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KimLOKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKLOKOWKY09, author = {Joo{-}Young Kim and Minsu Kim and Seungjin Lee and Jinwook Oh and Kwanho Kim and Sejong Oh and Jeong{-}Ho Woo and Donghyun Kim and Hoi{-}Jun Yoo}, title = {A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {150--151}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977352}, doi = {10.1109/ISSCC.2009.4977352}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKLOKOWKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooYLKKKY09, author = {Jerald Yoo and Long Yan and Seulki Lee and Yongsang Kim and Hyejung Kim and Binhee Kim and Hoi{-}Jun Yoo}, title = {A 5.2mW self-configured wearable body sensor network controller and a 12{\(\mathrm{\mu}\)}W 54.9{\%} efficiency wirelessly powered sensor for continuous health monitoring system}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {290--291}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977422}, doi = {10.1109/ISSCC.2009.4977422}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooYLKKKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoBKY09, author = {Namjun Cho and Joonsung Bae and Sunyoung Kim and Hoi{-}Jun Yoo}, title = {A 10.8mW body-channel-communication/MICS dual-band transceiver for a unified body-sensor-network controller}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {424--425}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977489}, doi = {10.1109/ISSCC.2009.4977489}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoBKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/YooYLKKY09, author = {Jerald Yoo and Long Yan and Seulki Lee and Hyejung Kim and Binhee Kim and Hoi{-}Jun Yoo}, title = {An Attachable {ECG} Sensor Bandage with Planar-Fashionable Circuit Board}, booktitle = {13th {IEEE} International Symposium on Wearable Computers {(ISWC} 2009), 4-7 September 2009, Linz, Austria}, pages = {145--146}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISWC.2009.16}, doi = {10.1109/ISWC.2009.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/YooYLKKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ph/LeeYY09, author = {Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A wearable inductor channel design for blood pressure monitoring system in daily life}, booktitle = {3rd International Conference on Pervasive Computing Technologies for Healthcare, PervasiveHealth 2009, London, UK, April 1-3, 2009}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.4108/ICST.PERVASIVEHEALTH2009.5872}, doi = {10.4108/ICST.PERVASIVEHEALTH2009.5872}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ph/LeeYY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NamLKLY08, author = {Byeong{-}Gyu Nam and Jeabin Lee and Kwanho Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {Cost-effective low-power graphics processing unit for handheld devices}, journal = {{IEEE} Commun. Mag.}, volume = {46}, number = {4}, pages = {152--159}, year = {2008}, url = {https://doi.org/10.1109/MCOM.2008.4481355}, doi = {10.1109/MCOM.2008.4481355}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NamLKLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Kim0CSY08, author = {Sunyoung Kim and Seungjin Lee and Namjun Cho and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A Fully Integrated Digital Hearing Aid Chip With Human Factors Considerations}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {266--274}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.914721}, doi = {10.1109/JSSC.2007.914721}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Kim0CSY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooSKY08, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 195 mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and {MPEG4/H.264/JPEG}}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {9}, pages = {2047--2056}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2001911}, doi = {10.1109/JSSC.2008.2001911}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooSKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooSKY08a, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 195 mW, 9.1 MVertices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {11}, pages = {2370--2380}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2004525}, doi = {10.1109/JSSC.2008.2004525}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooSKY08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/NamKY08, author = {Byeong{-}Gyu Nam and Hyejung Kim and Hoi{-}Jun Yoo}, title = {Power and Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics Systems}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {4}, pages = {490--504}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.12}, doi = {10.1109/TC.2008.12}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/NamKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YooC08, author = {Hoi{-}Jun Yoo and Namjun Cho}, title = {Body channel communication for low energy {BSN/BAN}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {7--11}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745947}, doi = {10.1109/APCCAS.2008.4745947}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YooC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/YooCY08, author = {Jerald Yoo and Namjun Cho and Hoi{-}Jun Yoo}, editor = {Sethuraman Panchanathan and Sandeep Gupta}, title = {Analysis of body sensor network using human body as the channel}, booktitle = {3rd International {ICST} Conference on Body Area Networks, {BODYNETS} 2008, Tempe, Arizona, USA, March 13-15, 2008}, pages = {13}, publisher = {{ICST}}, year = {2008}, url = {https://doi.org/10.4108/ICST.BODYNETS2008.2928}, doi = {10.4108/ICST.BODYNETS2008.2928}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/YooCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimKKLY08, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Hoi{-}Jun Yoo}, editor = {Limor Fix}, title = {Vision platform for mobile intelligent robot based on 81.6 {GOPS} object recognition processor}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {96--101}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391496}, doi = {10.1145/1391469.1391496}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimKKLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/Kim00KY08, author = {Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Minsu Kim and Hoi{-}Jun Yoo}, editor = {William Redman{-}White and Anthony J. Walton}, title = {A 211 {GOPS/W} dual-mode real-time object recognition processor with Network-on-Chip}, booktitle = {{ESSCIRC} 2008 - 34th European Solid-State Circuits Conference, Edinburgh, Scotland, UK, 15-19 September 2008}, pages = {462--465}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ESSCIRC.2008.4681892}, doi = {10.1109/ESSCIRC.2008.4681892}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/Kim00KY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYY08, author = {Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {1954--1957}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541827}, doi = {10.1109/ISCAS.2008.4541827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeKY08, author = {Joonsung Bae and Joo{-}Young Kim and Hoi{-}Jun Yoo}, title = {A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 {\(\mathrm{\mu}\)}m {CMOS} for 10mm on-chip interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2861--2864}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542054}, doi = {10.1109/ISCAS.2008.4542054}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BaeKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKY08, author = {Hyejung Kim and Yongsang Kim and Hoi{-}Jun Yoo}, title = {A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {3310--3313}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542166}, doi = {10.1109/ISCAS.2008.4542166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoLYBKY08, author = {Namjun Cho and Jeabin Lee and Long Yan and Joonsung Bae and Sunyoung Kim and Hoi{-}Jun Yoo}, title = {A 60kb/s-to-10Mb/s 0.37nJ/b Adaptive-Frequency-Hopping Transceiver for Body-Area Network}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {132--133}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523092}, doi = {10.1109/ISSCC.2008.4523092}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoLYBKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKKY08, author = {Hyejung Kim and Yongsang Kim and Young{-}Se Kwon and Hoi{-}Jun Yoo}, title = {A 1.12mW Continuous Healthcare Monitor Chip Integrated on a Planar Fashionable Circuit Board}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {150--151}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523101}, doi = {10.1109/ISSCC.2008.4523101}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLKKKWY08, author = {Kwanho Kim and Seungjin Lee and Joo{-}Young Kim and Minsu Kim and Donghyun Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {308--309}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523180}, doi = {10.1109/ISSCC.2008.4523180}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimLKKKWY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NamKY07, author = {Byeong{-}Gyu Nam and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {8}, pages = {1767--1778}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.900243}, doi = {10.1109/JSSC.2007.900243}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NamKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongCY07, author = {Seong{-}Jun Song and Namjun Cho and Hoi{-}Jun Yoo}, title = {A 0.2-mW 2-Mb/s Digital Transceiver Based on Wideband Signaling for Human Body Communications}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {9}, pages = {2021--2033}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.903080}, doi = {10.1109/JSSC.2007.903080}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCSY07, author = {Sunyoung Kim and Namjun Cho and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A 0.9 {V} 96 {\(\mathrm{\mu}\)}W Fully Operational Digital Hearing Aid Chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {11}, pages = {2432--2440}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.907198}, doi = {10.1109/JSSC.2007.907198}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/YooSCK07, author = {Hoi{-}Jun Yoo and Seong{-}Jun Song and Namjun Cho and Hye Jeong Kim}, editor = {Steffen Leonhardt and Thomas Falck and Petri M{\"{a}}h{\"{o}}nen}, title = {Low Energy On-Body Communication for {BSN}}, booktitle = {4th International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2007, March 26-28, 2007, {RWTH} Aachen University, Germany}, pages = {15--20}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-70994-7\_3}, doi = {10.1007/978-3-540-70994-7\_3}, timestamp = {Tue, 21 Mar 2023 20:57:30 +0100}, biburl = {https://dblp.org/rec/conf/bsn/YooSCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/KimCY07, author = {Hyejung Kim and Sungdae Choi and Hoi{-}Jun Yoo}, editor = {Steffen Leonhardt and Thomas Falck and Petri M{\"{a}}h{\"{o}}nen}, title = {A Low Power Compression Processor for Body Sensor Network System}, booktitle = {4th International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2007, March 26-28, 2007, {RWTH} Aachen University, Germany}, pages = {65--69}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-70994-7\_11}, doi = {10.1007/978-3-540-70994-7\_11}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/KimCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimLCSY07, author = {Sunyoung Kim and Seungjin Lee and Namjun Cho and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A Real-Time Feedback Controlled Hearing Aid Chip with Reference Ear Model}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {127--130}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405696}, doi = {10.1109/CICC.2007.4405696}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimLCSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimKKLY07, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {An 81.6 {GOPS} Object Recognition Processor Based on NoC and Visual Image Processing Memory}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {443--446}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405769}, doi = {10.1109/CICC.2007.4405769}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KimKKLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimSYY07, author = {Hyejung Kim and Kyomin Sohn and Jerald Yoo and Hoi{-}Jun Yoo}, title = {An Embedded 8-bit {RISC} Controller for Yield Enhancement of the 90-nm {PRAM}}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {787--790}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405847}, doi = {10.1109/CICC.2007.4405847}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimSYY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/NamLKLY07, author = {Byeong{-}Gyu Nam and Jeabin Lee and Kwanho Kim and Seungjin Lee and Hoi{-}Jun Yoo}, editor = {Mark Segal and Timo Aila}, title = {A low-power handheld {GPU} using logarithmic arithmetic and triple {DVFS} power domains}, booktitle = {Proceedings of the {ACM} {SIGGRAPH/EUROGRAPHICS} Conference on Graphics Hardware 2007, San Diego, California, USA, August 4-5, 2007}, pages = {73--80}, publisher = {Eurographics Association}, year = {2007}, url = {https://doi.org/10.2312/EGGH/EGGH07/073-080}, doi = {10.2312/EGGH/EGGH07/073-080}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/egh/NamLKLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/NamY07, author = {Byeong{-}Gyu Nam and Hoi{-}Jun Yoo}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {A low-power vector processor using logarithmic arithmetic for handheld 3d graphics systems}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {232--235}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430286}, doi = {10.1109/ESSCIRC.2007.4430286}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/NamY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimKLKJY07, author = {Joo{-}Young Kim and Donghyun Kim and Seungjin Lee and Kwanho Kim and Seonghyun Jeon and Hoi{-}Jun Yoo}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {Visual image processing {RAM} for fast 2-D data location search}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {324--327}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430309}, doi = {10.1109/ESSCIRC.2007.4430309}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimKLKJY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeNSCY07, author = {Jeabin Lee and Byeong{-}Gyu Nam and Seong{-}Jun Song and Namjun Cho and Hoi{-}Jun Yoo}, title = {A Power Management Unit with Continuous Co-Locking of Clock Frequency and Supply Voltage for Dynamic Voltage and Frequency Scaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {2112--2115}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378516}, doi = {10.1109/ISCAS.2007.378516}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeNSCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKY07, author = {Seungjin Lee and Sunyoung Kim and Hoi{-}Jun Yoo}, title = {A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {2730--2733}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378526}, doi = {10.1109/ISCAS.2007.378526}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WooSKJJLY07, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Jongcheol Jeong and Euljoo Jeong and Suk Joong Lee and Hoi{-}Jun Yoo}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {A low power multimedia SoC with fully programmable 3D graphics and {MPEG4/H.264/JPEG} for mobile devices}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {238--243}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283832}, doi = {10.1145/1283780.1283832}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/WooSKJJLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLCSY07, author = {Sunyoung Kim and Seungjin Lee and Namjun Cho and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A Fully Integrated Digital Hearing-Aid Chip with Human-Factors Considerations}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {154--593}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373634}, doi = {10.1109/ISSCC.2007.373634}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLCSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NamLKLY07, author = {Byeong{-}Gyu Nam and Jeabin Lee and Kwanho Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 52.4mW 3D Graphics Processor with 141Mvertices/s Vertex Shader and 3 Power Domains of Dynamic Voltage and Frequency Scaling}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {278--603}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373402}, doi = {10.1109/ISSCC.2007.373402}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NamLKLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCKYCY07, author = {Seong{-}Jun Song and Namjun Cho and Sunyoung Kim and Jerald Yoo and Sungdae Choi and Hoi{-}Jun Yoo}, title = {A 0.9V 2.6mW Body-Coupled Scalable {PHY} Transceiver for Body Sensor Applications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {366--609}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373446}, doi = {10.1109/ISSCC.2007.373446}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongCKYCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/KimKKLY07, author = {Donghyun Kim and Kwanho Kim and Joo{-}Young Kim and Seungjin Lee and Hoi{-}Jun Yoo}, title = {Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {30--39}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.40}, doi = {10.1109/NOCS.2007.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/KimKKLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChungRKLKBYYK06, author = {Daehyun Chung and Chunghyun Ryu and Hyungsoo Kim and Choonheung Lee and Jinhan Kim and Kicheol Bae and Jiheon Yu and Hoi{-}Jun Yoo and Joungho Kim}, title = {Chip-package hybrid clock distribution network and {DLL} for low jitter clock delivery}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {274--286}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859882}, doi = {10.1109/JSSC.2005.859882}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChungRKLKBYYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKSKKY06, author = {Jae{-}Youl Lee and Sung{-}Eun Kim and Seong{-}Jun Song and Jin{-}Kyung Kim and Sunyoung Kim and Hoi{-}Jun Yoo}, title = {A regulated charge pump with small ripple voltage and fast start-up}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {2}, pages = {425--432}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.862340}, doi = {10.1109/JSSC.2005.862340}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKSKKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnMSBY06, author = {Kyomin Sohn and Hyun{-}Sun Mo and Young{-}Ho Suh and Hyun{-}Geun Byun and Hoi{-}Jun Yoo}, title = {An autonomous {SRAM} with on-chip sensors in an 80-nm double stacked cell technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {823--830}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870759}, doi = {10.1109/JSSC.2006.870759}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnMSBY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLSCY06, author = {Sunyoung Kim and Jae{-}Youl Lee and Seong{-}Jun Song and Namjun Cho and Hoi{-}Jun Yoo}, title = {An energy-efficient analog front-end circuit for a sub-1-V digital hearing aid chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {876--882}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870798}, doi = {10.1109/JSSC.2006.870798}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLSCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnWLKWY06, author = {Ju{-}Ho Sohn and Jeong{-}Ho Woo and Min{-}wuk Lee and Hyejung Kim and Ramchan Woo and Hoi{-}Jun Yoo}, title = {A 155-mW 50-m vertices/s graphics processor with fixed-point programmable vertex shader for mobile applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {5}, pages = {1081--1091}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.872869}, doi = {10.1109/JSSC.2006.872869}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnWLKWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimNSWY06, author = {Hyejung Kim and Byeong{-}Gyu Nam and Ju{-}Ho Sohn and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 231-MHz, 2.18-mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3-D Graphics System}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {11}, pages = {2373--2381}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.882887}, doi = {10.1109/JSSC.2006.882887}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimNSWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeLY06, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {Low-power network-on-chip for high-performance SoC design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {2}, pages = {148--160}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2005.863753}, doi = {10.1109/TVLSI.2005.863753}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChoiSSKKCWYY06, author = {Sungdae Choi and Seong{-}Jun Song and Kyomin Sohn and Hyejung Kim and Joo{-}Young Kim and Namjun Cho and Jeong{-}Ho Woo and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Multi-Nodes Human Body Communication Sensor Network Control Processor}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {109--112}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.321001}, doi = {10.1109/CICC.2006.321001}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChoiSSKKCWYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NamKY06, author = {Byeong{-}Gyu Nam and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {535--538}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320921}, doi = {10.1109/CICC.2006.320921}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/NamKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeKKCY06, author = {Se{-}Joong Lee and Kwanho Kim and Hyejung Kim and Namjun Cho and Hoi{-}Jun Yoo}, editor = {Georges G. E. Gielen}, title = {A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {79--80}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243986}, doi = {10.1109/DATE.2006.243986}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeKKCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SohnWYY06, author = {Ju{-}Ho Sohn and Jeong{-}Ho Woo and Jerald Yoo and Hoi{-}Jun Yoo}, editor = {Georges G. E. Gielen}, title = {Design and test of fixed-point multimedia co-processor for mobile applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {249--253}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243934}, doi = {10.1109/DATE.2006.243934}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SohnWYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLY06, author = {Joo{-}Young Kim and Kangmin Lee and Hoi{-}Jun Yoo}, title = {A 372 ps 64-bit adder using fast pull-up logic in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692510}, doi = {10.1109/ISCAS.2006.1692510}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YooKCSY06, author = {Jerald Yoo and Sunyoung Kim and Namjun Cho and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A 10{\(\mathrm{\mu}\)}W digital signal processor with adaptive-SNR monitoring for a sub-1V digital hearing aid}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693346}, doi = {10.1109/ISCAS.2006.1693346}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YooKCSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCKYY06, author = {Seong{-}Jun Song and Namjun Cho and Sunyoung Kim and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A 2Mb/s Wideband Pulse Transceiver with Direct-Coupled Interface for Human Body Communications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {2278--2287}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696290}, doi = {10.1109/ISSCC.2006.1696290}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongCKYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/ChoiSKKSCYY06, author = {Sungdae Choi and Kyomin Sohn and Hyejung Kim and Joo{-}Young Kim and Seong{-}Jun Song and Namjun Cho and Jerald Yoo and Hoi{-}Jun Yoo}, title = {An Ultra Low-Power Body Sensor Network Control Processor with Centralized Node Control}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.322003}, doi = {10.1109/ISSOC.2006.322003}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/ChoiSKKSCYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/SongLCY06, author = {Seong{-}Jun Song and Seungjin Lee and Namjun Cho and Hoi{-}Jun Yoo}, title = {Low Power Wearable Audio Player Using Human Body Communications}, booktitle = {Tenth {IEEE} International Symposium on Wearable Computers {(ISWC} 2006), 11-14 October 2006, Montreux, Switzerland}, pages = {125--126}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISWC.2006.286358}, doi = {10.1109/ISWC.2006.286358}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/SongLCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/ChoiSSKKYY06, author = {Sungdae Choi and Seong{-}Jun Song and Kyomin Sohn and Hyejung Kim and Joo{-}Young Kim and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Low-power Star-topology Body Area Network Controller for Periodic Data Monitoring Around and Inside the Human Body}, booktitle = {Tenth {IEEE} International Symposium on Wearable Computers {(ISWC} 2006), 11-14 October 2006, Montreux, Switzerland}, pages = {139--140}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISWC.2006.286365}, doi = {10.1109/ISWC.2006.286365}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/ChoiSSKKYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SohnPYWPY05, author = {Ju{-}Ho Sohn and Yong{-}Ha Park and Chi Weon Yoon and Ramchan Woo and Se{-}Jeong Park and Hoi{-}Jun Yoo}, title = {Low-power 3D graphics processors for mobile terminals}, journal = {{IEEE} Commun. Mag.}, volume = {43}, number = {12}, pages = {90--99}, year = {2005}, url = {https://doi.org/10.1109/MCOM.2005.1561925}, doi = {10.1109/MCOM.2005.1561925}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SohnPYWPY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeLY05, author = {Se{-}Joong Lee and Kangmin Lee and Hoi{-}Jun Yoo}, title = {Analysis and Implementation of Practical, Cost-Effective Networks on Chips}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {5}, pages = {422--433}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.103}, doi = {10.1109/MDT.2005.103}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiSY05, author = {Sungdae Choi and Kyomin Sohn and Hoi{-}Jun Yoo}, title = {A 0.7-fJ/bit/search 2.2-ns search time hybrid-type {TCAM} architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {254--260}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837979}, doi = {10.1109/JSSC.2004.837979}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoiSY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeLSY05, author = {Se{-}Joong Lee and Kangmin Lee and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {Packet-switched on-chip interconnection network for system-on-chip applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {52-II}, number = {6}, pages = {308--312}, year = {2005}, url = {https://doi.org/10.1109/TCSII.2005.848972}, doi = {10.1109/TCSII.2005.848972}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeLSY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/NamLY05, author = {Byeong{-}Gyu Nam and Min{-}wuk Lee and Hoi{-}Jun Yoo}, title = {Development of a 3-D graphics rendering engine with lighting acceleration for handheld multimedia systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {3}, pages = {1020--1027}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1510517}, doi = {10.1109/TCE.2005.1510517}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/NamLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SohnWWY05, author = {Ju{-}Ho Sohn and Jeong{-}Ho Woo and Ramchan Woo and Hoi{-}Jun Yoo}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {A fixed-point multimedia coprocessor with 50Mvertices/s programmable {SIMD} vertex shader for mobile applications}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {207--210}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541596}, doi = {10.1109/ESSCIR.2005.1541596}, timestamp = {Fri, 28 Apr 2023 15:39:25 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SohnWWY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoSKKY05, author = {Namjun Cho and Seong{-}Jun Song and Sunyoung Kim and Shiho Kim and Hoi{-}Jun Yoo}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {A 5.1-{\(\mu\)}W {UHF} {RFID} tag chip integrated with sensors for wireless environmental monitoring}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {279--282}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541614}, doi = {10.1109/ESSCIR.2005.1541614}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoSKKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLSCY05, author = {Sunyoung Kim and Jae{-}Youl Lee and Seong{-}Jun Song and Namjun Cho and Hoi{-}Jun Yoo}, title = {A 0.9-V 67-{\(\mathrm{\mu}\)}W analog front-end using adaptive-SNR technique for digital hearing aid}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {740--743}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464694}, doi = {10.1109/ISCAS.2005.1464694}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLSCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLLY05, author = {Kwanho Kim and Se{-}Joong Lee and Kangmin Lee and Hoi{-}Jun Yoo}, title = {An arbitration look-ahead scheme for reducing end-to-end latency in networks on chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {2357--2360}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465098}, doi = {10.1109/ISCAS.2005.1465098}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLLY05a, author = {Donghyun Kim and Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {2369--2372}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465101}, doi = {10.1109/ISCAS.2005.1465101}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLLY05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeNSCKKY05, author = {Min{-}wuk Lee and Byeong{-}Gyu Nam and Ju{-}Ho Sohn and Namjun Cho and Hyejung Kim and Kwanho Kim and Hoi{-}Jun Yoo}, title = {A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {4602--4605}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465657}, doi = {10.1109/ISCAS.2005.1465657}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeNSCKKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoSLKKY05, author = {Narrijun Cho and Seong{-}Jun Song and Jae{-}Youl Lee and Sunyoung Kim and Shiho Kim and Hoi{-}Jun Yoo}, title = {A 8-{\(\mathrm{\mu}\)}W, 0.3-mm\({}^{\mbox{2}}\) RF-powered transponder with temperature sensor for wireless environmental monitoring}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {4763--4766}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465697}, doi = {10.1109/ISCAS.2005.1465697}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoSLKKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkY04, author = {Sung Min Park and Hoi{-}Jun Yoo}, title = {1.25-Gb/s regulated cascode {CMOS} transimpedance amplifier for Gigabit Ethernet applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {1}, pages = {112--121}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2003.820884}, doi = {10.1109/JSSC.2003.820884}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooCSSY04, author = {Ramchan Woo and Sungdae Choi and Ju{-}Ho Sohn and Seong{-}Jun Song and Hoi{-}Jun Yoo}, title = {A 210-mW graphics {LSI} implementing full 3-D pipeline with 264 mtexels/s texturing for mobile multimedia applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {2}, pages = {358--367}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2003.821781}, doi = {10.1109/JSSC.2003.821781}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooCSSY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLY04, author = {Sung Min Park and Jaeseo Lee and Hoi{-}Jun Yoo}, title = {1-Gb/s 80-dB{\(\Omega\)} fully differential {CMOS} transimpedance amplifier in multichip on oxide technology for optical interconnects}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {6}, pages = {971--974}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.827795}, doi = {10.1109/JSSC.2004.827795}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooCSSBY04, author = {Ramchan Woo and Sungdae Choi and Ju{-}Ho Sohn and Seong{-}Jun Song and Young{-}Don Bae and Hoi{-}Jun Yoo}, title = {A low-power 3D rendering engine with two texture units and 29-Mb embedded {DRAM} for 3G multimedia terminals}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {7}, pages = {1101--1109}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.829406}, doi = {10.1109/JSSC.2004.829406}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooCSSBY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WooCSSBY04, author = {Ramchan Woo and Sungdae Choi and Ju{-}Ho Sohn and Seong{-}Jun Song and Young{-}Don Bae and Hoi{-}Jun Yoo}, editor = {Masaharu Imai}, title = {A low-power graphics {LSI} integrating 29Mb embedded {DRAM} for mobile multimedia applications}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {533--534}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.23}, doi = {10.1109/ASPDAC.2004.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WooCSSBY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/SohnWY04, author = {Ju{-}Ho Sohn and Ramchan Woo and Hoi{-}Jun Yoo}, editor = {Michael D. McCool and Tomas Akenine{-}M{\"{o}}ller}, title = {A programmable vertex shader with fixed-point {SIMD} datapath for low power wireless applications}, booktitle = {Proceedings of the {ACM} {SIGGRAPH/EUROGRAPHICS} Symposium on Graphics Hardware 2004, Grenoble, France, August 29-30, 2004}, pages = {107--114}, publisher = {Eurographics Association}, year = {2004}, url = {https://doi.org/10.2312/EGGH/EGGH04/107-114}, doi = {10.2312/EGGH/EGGH04/107-114}, timestamp = {Tue, 06 Nov 2018 11:06:57 +0100}, biburl = {https://dblp.org/rec/conf/egh/SohnWY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimSKKLY04, author = {Sung{-}Eun Kim and Seong{-}Jun Song and Jin Kyung Kim and Sunyoung Kim and Jae{-}Youl Lee and Hoi{-}Jun Yoo}, editor = {Michiel Steyaert and C. L. Claeys}, title = {A small ripple regulated charge pump with automatic pumping control schemes}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2004, Leuven, Belgium, September 21-23, 2004}, pages = {383--386}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ESSCIR.2004.1356698}, doi = {10.1109/ESSCIR.2004.1356698}, timestamp = {Wed, 29 Mar 2023 10:59:49 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimSKKLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeLY04, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {{SILENT:} serialized low energy transmission coding for on-chip interconnection networks}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {448--451}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382618}, doi = {10.1109/ICCAD.2004.1382618}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeLY04, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {Low energy transmission coding for on-chip serial communications}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {177--178}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362398}, doi = {10.1109/SOCC.2004.1362398}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimHRLLLY04, author = {Jong{-}Sun Kim and Min{-}Su Hwang and Seungsu Roh and Ja{-}Young Lee and Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {On-chip network based embedded core testing}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {223--226}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362415}, doi = {10.1109/SOCC.2004.1362415}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimHRLLLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongPY03, author = {Seong{-}Jun Song and Sung Min Park and Hoi{-}Jun Yoo}, title = {A 4-Gb/s {CMOS} clock and data recovery circuit using 1/8-rate clock technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {7}, pages = {1213--1219}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.813292}, doi = {10.1109/JSSC.2003.813292}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongPY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeLY03, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A distributed crossbar switch scheduler for on-chip networks}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {671--674}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249484}, doi = {10.1109/CICC.2003.1249484}, timestamp = {Mon, 15 Nov 2021 17:53:34 +0100}, biburl = {https://dblp.org/rec/conf/cicc/LeeLY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/WooCSSY03, author = {Ramchan Woo and Sungdae Choi and Ju{-}Ho Sohn and Seong{-}Jun Song and Hoi{-}Jun Yoo}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A low power 3D rendering engine with two texture units and 29Mb embedded {DRAM} for 3G multimedia terminals}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {53--56}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257070}, doi = {10.1109/ESSCIRC.2003.1257070}, timestamp = {Tue, 04 Jul 2023 08:46:31 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/WooCSSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeLY03, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A high-speed and lightweight on-chip crossbar switch scheduler for on-chip interconnection networks}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {453--456}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257170}, doi = {10.1109/ESSCIRC.2003.1257170}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeLY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeLY03a, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A 10Gbps/port 8{\texttimes}8 shared bus switch with embedded {DRAM} hierarchical output buffer}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {461--464}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257172}, doi = {10.1109/ESSCIRC.2003.1257172}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeLY03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSPY03, author = {Sung{-}Eun Kim and Seong{-}Jun Song and Sung Min Park and Hoi{-}Jun Yoo}, title = {{CMOS} optical receiver chipset for gigabit Ethernet applications}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {29--32}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205492}, doi = {10.1109/ISCAS.2003.1205492}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSPY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeY02, author = {Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {Race logic architecture {(RALA):} a novel logic concept using the race scheme of input variables}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {2}, pages = {191--201}, year = {2002}, url = {https://doi.org/10.1109/4.982425}, doi = {10.1109/4.982425}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKWLLYJY02, author = {Se{-}Jeong Park and Jeong{-}Su Kim and Ramchan Woo and Se{-}Joong Lee and Kang{-}Min Lee and Tae{-}Hum Yang and Jin{-}Yong Jung and Hoi{-}Jun Yoo}, title = {A reconfigurable multilevel parallel texture cache memory with 75-GB/s parallel cache replacement bandwidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {5}, pages = {612--623}, year = {2002}, url = {https://doi.org/10.1109/4.997855}, doi = {10.1109/4.997855}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkKWLLYJY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooYKLY02, author = {Ramchan Woo and Chi Weon Yoon and Jeonghoon Kook and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A 120-mW 3-D rendering engine with 6-Mb embedded {DRAM} and 3.2-GB/s runtime reconfigurable bus for {PDA} chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {10}, pages = {1352--1355}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.803051}, doi = {10.1109/JSSC.2002.803051}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooYKLY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeLY02, author = {Kangmin Lee and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A practical method to use eDRAM in the shared bus packet switch}, booktitle = {Proceedings of the Global Telecommunications Conference, 2002. {GLOBECOM} '02, Taipei, Taiwan, 17-21 November, 2002}, pages = {2303--2307}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/GLOCOM.2002.1189042}, doi = {10.1109/GLOCOM.2002.1189042}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LeeLY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SohnWY02, author = {Ju{-}Ho Sohn and Ramchan Woo and Hoi{-}Jun Yoo}, title = {Optimization of portable system architecture for real-time 3D graphics}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {769--772}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1009954}, doi = {10.1109/ISCAS.2002.1009954}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SohnWY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ParkKY02, author = {Yong{-}Ha Park and Jeonghoon Kook and Hoi{-}Jun Yoo}, title = {Embedded {DRAM} (eDRAM) Power-Energy Estimation for System-on-a-Chip (SoC) Applications}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {625--630}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.995006}, doi = {10.1109/ASPDAC.2002.995006}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ParkKY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkHLY01, author = {Yong{-}Ha Park and Seon{-}Ho Han and Jung{-}Hwan Lee and Hoi{-}Jun Yoo}, title = {A 7.1-GB/s low-power rendering engine in 2-D array-embedded memory logic {CMOS} for portable multimedia system}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {6}, pages = {944--955}, year = {2001}, url = {https://doi.org/10.1109/4.924857}, doi = {10.1109/4.924857}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkHLY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ParkHY01, author = {Yong{-}Ha Park and Seon{-}Ho Han and Hoi{-}Jun Yoo}, editor = {Satoshi Goto}, title = {Single chip 3D rendering engine integrating embedded {DRAM} frame buffer and Hierarchical Octet Tree {(HOT)} array processor with bandwidth amplification}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {9--10}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370202}, doi = {10.1145/370155.370202}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ParkHY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYWKKJY01, author = {Kangmin Lee and Chi Weon Yoon and Ramchan Woo and Jeong{-}Hun Kook and Ja{-}Il Koo and Tae{-}Sung Jung and Hoi{-}Jun Yoo}, title = {A comparative performance analysis of a DDR-SDRAM, a D-RDRAM, and a {DDR-FCRAM} using a POPeye simulator}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {81--84}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921990}, doi = {10.1109/ISCAS.2001.921990}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYWKKJY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLSSLY01, author = {Jaeseo Lee and Jae{-}Won Lim and Sung{-}Jun Song and Sung{-}Sik Song and Wang{-}joo Lee and Hoi{-}Jun Yoo}, title = {Design and implementation of {CMOS} {LVDS} 2.5 Gb/s transmitter and 1.3 Gb/s receiver for optical interconnections}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {702--705}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922334}, doi = {10.1109/ISCAS.2001.922334}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLSSLY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHHLKJY00, author = {Se{-}Joong Lee and Jinho Han and Seung{-}Ho Hank and Joe{-}Ho Lee and Jung{-}Su Kim and Minkyu Je and Hoi{-}Jun Yoo}, title = {One chip-low power digital-TCXO with sub-ppm accuracy}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.855984}, doi = {10.1109/ISCAS.2000.855984}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHHLKJY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WooLY00, author = {Ramchan Woo and Se{-}Joong Lee and Hoi{-}Jun Yoo}, title = {A 670 ps, 64 bit dynamic low-power adder design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {28--31}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857017}, doi = {10.1109/ISCAS.2000.857017}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WooLY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCYS98, author = {Jong{-}Shik Kim and Yu{-}Soo Choi and Hoi{-}Jun Yoo and Kwang{-}Seok Seo}, title = {A low-noise folded bit-line sensing architecture for multigigabit {DRAM} with ultrahigh-density 6F\({}^{\mbox{2}}\) cell {[CMOS} design]}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {7}, pages = {1096--1102}, year = {1998}, url = {https://doi.org/10.1109/4.701271}, doi = {10.1109/4.701271}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCYS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Yoo97, author = {Hoi{-}Jun Yoo}, title = {A study of pipeline architectures for high-speed synchronous DRAMs}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {10}, pages = {1597--1603}, year = {1997}, url = {https://doi.org/10.1109/4.634671}, doi = {10.1109/4.634671}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Yoo97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKMO93, author = {Hoi{-}Jun Yoo and Seung{-}Jun Lee and Jeong{-}Tae Kwon and Wi{-}Sik Min and Kye{-}Hwan Oh}, title = {A Precision {CMOS} Voltage Reference with Enhanced Stability for the Application to Advance VLSIs}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1318--1321}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKMO93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.