Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Edwin H.-M. Sha
@article{DBLP:journals/qip/SongSZXDX24, author = {Yuhong Song and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Wenlong Xiao and Qijun Dai and Longshan Xu}, title = {QuanPath: achieving one-step communication for distributed quantum circuit simulation}, journal = {Quantum Inf. Process.}, volume = {23}, number = {1}, pages = {1}, year = {2024}, url = {https://doi.org/10.1007/s11128-023-04192-x}, doi = {10.1007/S11128-023-04192-X}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/SongSZXDX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/XuSZSW23, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yuhong Song and Han Wang}, title = {Loop interchange and tiling for multi-dimensional loops to minimize write operations on NVMs}, journal = {J. Syst. Archit.}, volume = {135}, pages = {102799}, year = {2023}, url = {https://doi.org/10.1016/j.sysarc.2022.102799}, doi = {10.1016/J.SYSARC.2022.102799}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/XuSZSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SongSZXXLY23, author = {Yuhong Song and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Xiaowei Xu and Bingzhe Li and Lei Yang}, title = {Hardware-aware neural architecture search for stochastic computing-based neural networks on tiny devices}, journal = {J. Syst. Archit.}, volume = {135}, pages = {102810}, year = {2023}, url = {https://doi.org/10.1016/j.sysarc.2022.102810}, doi = {10.1016/J.SYSARC.2022.102810}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/SongSZXXLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JiaSZ0G23, author = {Min Jia and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Shouzhen Gu}, title = {Rapid recovery of program execution under power failures for embedded systems with {NVM}}, journal = {Microprocess. Microsystems}, volume = {103}, pages = {104932}, year = {2023}, url = {https://doi.org/10.1016/j.micpro.2023.104932}, doi = {10.1016/J.MICPRO.2023.104932}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/JiaSZ0G23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/SongSZXW23, author = {Yuhong Song and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Han Wang}, title = {Efficient algorithm for full-state quantum circuit simulation with {DD} compression while maintaining accuracy}, journal = {Quantum Inf. Process.}, volume = {22}, number = {11}, pages = {413}, year = {2023}, url = {https://doi.org/10.1007/s11128-023-04160-5}, doi = {10.1007/S11128-023-04160-5}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/SongSZXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FengCZLSX23, author = {Xiaoliu Feng and Xianzhang Chen and Qingfeng Zhuge and Duo Liu and Edwin H.{-}M. Sha and Chun Jason Xue}, title = {{V-WAFA:} An Endurance Variation Aware Fine-Grained Allocator for Persistent Memory}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {4}, pages = {998--1010}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3197086}, doi = {10.1109/TC.2022.3197086}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FengCZLSX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/XuSZSWS23, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yuhong Song and Han Wang and Liang Shi}, title = {Optimizing Data Placement for Hybrid SRAM+Racetrack Memory {SPM} in Embedded Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {3}, pages = {847--859}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3185548}, doi = {10.1109/TCAD.2022.3185548}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/XuSZSWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LiSLLS23, author = {Wentong Li and Liang Shi and Hang Li and Changlong Li and Edwin Hsing{-}Mean Sha}, title = {{IOSR:} Improving {I/O} Efficiency for Memory Swapping on Mobile Devices Via Scheduling and Reshaping}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {129:1--129:23}, year = {2023}, url = {https://doi.org/10.1145/3607923}, doi = {10.1145/3607923}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LiSLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuiSZXW23, author = {Peng Hui and Edwin H.{-}M. Sha and Qingfeng Zhuge and Rui Xu and Han Wang}, editor = {Atsushi Takahashi}, title = {Optimizing Data Layout for Racetrack Memory in Embedded Systems}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {110--115}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567854}, doi = {10.1145/3566097.3567854}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HuiSZXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiWZS23, author = {Changlong Li and Chao Wang and Xuehai Zhou and Edwin H.{-}M. Sha}, title = {FlashDAM: Flexible {I/O} Throttling for the User Experience of Mobile Systems}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {239--242}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00044}, doi = {10.1109/ICCD58817.2023.00044}, timestamp = {Tue, 09 Jan 2024 15:43:43 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiWZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceit/WangZSX23, author = {Han Wang and Qingfeng Zhuge and Edwin H.{-}M. Sha and Rui Xu}, title = {A Prototype of Efficient Learning System for Objective-Driven Learners}, booktitle = {12th {IEEE} International Conference on Educational and Information Technology, {ICEIT} 2023, Chongqing, China, March 16-18, 2023}, pages = {67--72}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIT57125.2023.10107889}, doi = {10.1109/ICEIT57125.2023.10107889}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceit/WangZSX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/ShiLLLXS22, author = {Liang Shi and Yina Lv and Longfei Luo and Changlong Li and Chun Jason Xue and Edwin H.{-}M. Sha}, title = {Read latency variation aware performance optimization on high-density {NAND} flash based storage systems}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {4}, number = {3}, pages = {265--280}, year = {2022}, url = {https://doi.org/10.1007/s42514-022-00102-2}, doi = {10.1007/S42514-022-00102-2}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccfthpc/ShiLLLXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JiaSZG22, author = {Min Jia and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Shouzhen Gu}, title = {Transient computing for energy harvesting systems: {A} survey}, journal = {J. Syst. Archit.}, volume = {132}, pages = {102743}, year = {2022}, url = {https://doi.org/10.1016/j.sysarc.2022.102743}, doi = {10.1016/J.SYSARC.2022.102743}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/JiaSZG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LvSLLXS22, author = {Yina Lv and Liang Shi and Longfei Luo and Changlong Li and Chun Jason Xue and Edwin H.{-}M. Sha}, title = {Tail Latency Optimization for LDPC-Based High-Density and Low-Cost Flash Memory Devices}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {3}, pages = {544--557}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3062768}, doi = {10.1109/TCAD.2021.3062768}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LvSLLXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SongSZXZLY22, author = {Yuhong Song and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Yongzhuo Zhang and Bingzhe Li and Lei Yang}, title = {{BSC:} Block-based Stochastic Computing to Enable Accurate and Efficient TinyML}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {314--319}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712585}, doi = {10.1109/ASP-DAC52403.2022.9712585}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SongSZXZLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuSZSL22, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yuhong Song and Jingzhi Lin}, title = {Optimal Loop Tiling for Minimizing Write Operations on NVMs with Complete Memory Latency Hiding}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {389--394}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712532}, doi = {10.1109/ASP-DAC52403.2022.9712532}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/XuSZSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuoYSDLS22, author = {Longfei Luo and Dingcui Yu and Liang Shi and Chuanming Ding and Changlong Li and Edwin H.{-}M. Sha}, editor = {Rob Oshana}, title = {{CDB:} critical data backup design for consumer devices with high-density flash based hybrid storage}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {391--396}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530468}, doi = {10.1145/3489517.3530468}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LuoYSDLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LiuSZXS22, author = {Jialin Liu and Edwin H.{-}M. Sha and Qingfeng Zhuge and Rui Xu and Yuhong Song}, title = {Efficient Checkpoint under Unstable Power Supplies on {NVM} based Devices}, booktitle = {24th {IEEE} Int Conf on High Performance Computing {\&} Communications; 8th Int Conf on Data Science {\&} Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application, HPCC/DSS/SmartCity/DependSys 2022, Hainan, China, December 18-20, 2022}, pages = {1846--1853}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys57074.2022.00278}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS57074.2022.00278}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/LiuSZXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ShaLZXSL22, author = {Edwin H.{-}M. Sha and Yeteng Liao and Qingfeng Zhuge and Rui Xu and Yuhong Song and Jialin Liu}, title = {Pseudo-Log: Restore Global Data Facing Power Failures with Minimum {NVM} Write}, booktitle = {24th {IEEE} Int Conf on High Performance Computing {\&} Communications; 8th Int Conf on Data Science {\&} Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application, HPCC/DSS/SmartCity/DependSys 2022, Hainan, China, December 18-20, 2022}, pages = {2027--2030}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys57074.2022.00301}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS57074.2022.00301}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/ShaLZXSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ShaoGSSZ22, author = {Shifan Shao and Shouzhen Gu and Biao Sun and Edwin H.{-}M. Sha and Qingfeng Zhuge}, title = {Fairness Scheduling for Tasks with Different Real-time Level on Heterogeneous Systems}, booktitle = {28th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2022, Nanjing, China, January 10-12, 2023}, pages = {625--632}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPADS56603.2022.00087}, doi = {10.1109/ICPADS56603.2022.00087}, timestamp = {Thu, 06 Apr 2023 14:52:40 +0200}, biburl = {https://dblp.org/rec/conf/icpads/ShaoGSSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08826, author = {Min Jia and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Shouzhen Gu}, title = {Rapid Recovery of Program Execution Under Power Failures for Embedded Systems with {NVM}}, journal = {CoRR}, volume = {abs/2209.08826}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08826}, doi = {10.48550/ARXIV.2209.08826}, eprinttype = {arXiv}, eprint = {2209.08826}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/GaoGXSZ21, author = {Siyuan Gao and Shouzhen Gu and Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge}, title = {Performance optimization for parallel systems with shared {DWM} via retiming, loop scheduling, and data placement}, journal = {J. Syst. Archit.}, volume = {112}, pages = {101842}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2020.101842}, doi = {10.1016/J.SYSARC.2020.101842}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/GaoGXSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ShaXGZ21, author = {Edwin H.{-}M. Sha and Mingrui Xu and Shouzhen Gu and Qingfeng Zhuge}, title = {Optimizing the data placement and scheduling on multi-port {DWM} in multi-core embedded system}, journal = {J. Syst. Archit.}, volume = {117}, pages = {102145}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2021.102145}, doi = {10.1016/J.SYSARC.2021.102145}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ShaXGZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChenSWYJZ21, author = {Xianzhang Chen and Edwin H.{-}M. Sha and Xinxin Wang and Chaoshu Yang and Weiwen Jiang and Qingfeng Zhuge}, title = {Contour: {A} Process Variation Aware Wear-Leveling Mechanism for Inodes of Persistent Memory File Systems}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {7}, pages = {1034--1045}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3002537}, doi = {10.1109/TC.2020.3002537}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChenSWYJZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ZhugeZSXLZ21, author = {Qingfeng Zhuge and Hao Zhang and Edwin Hsing{-}Mean Sha and Rui Xu and Jun Liu and Shengyu Zhang}, title = {Exploring Efficient Architectures on Remote In-Memory {NVM} over {RDMA}}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {20}, number = {5s}, pages = {73:1--73:20}, year = {2021}, url = {https://doi.org/10.1145/3477004}, doi = {10.1145/3477004}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/ZhugeZSXLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/JiangSZYDC21, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lei Yang and Hailiang Dong and Xianzhang Chen}, title = {On the Design of Minimal-Cost Pipeline Systems Satisfying Hard/Soft Real-Time Constraints}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {9}, number = {1}, pages = {24--34}, year = {2021}, url = {https://doi.org/10.1109/TETC.2017.2788800}, doi = {10.1109/TETC.2017.2788800}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/JiangSZYDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouDLXZSS21, author = {Bo Zhou and Chuanming Ding and Yina Lv and Chun Jason Xue and Qingfeng Zhuge and Edwin H.{-}M. Sha and Liang Shi}, title = {{SAC:} {A} Stream Aware Write Cache Scheme for Multi-Streamed Solid State Drives}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {645--650}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431520}, doi = {10.1145/3394885.3431520}, timestamp = {Mon, 03 May 2021 16:42:27 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouDLXZSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SongJLQZSDSD21, author = {Yuhong Song and Weiwen Jiang and Bingbing Li and Panjie Qi and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Sakyasingha Dasgupta and Yiyu Shi and Caiwen Ding}, title = {Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1003--1008}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586295}, doi = {10.1109/DAC18074.2021.9586295}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SongJLQZSDSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WangLSLXZS21, author = {Han Wang and Longfei Luo and Liang Shi and Changlong Li and Chun Jason Xue and Qingfeng Zhuge and Edwin H.{-}M. Sha}, editor = {Yiran Chen and Victor V. Zhirnov and Avesta Sasan and Ioannis Savidis}, title = {{SFP:} Smart File-Aware Prefetching for Flash based Storage Systems}, booktitle = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event, USA, June 22-25, 2021}, pages = {45--50}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453688.3461492}, doi = {10.1145/3453688.3461492}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/WangLSLXZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/XuSZSGH21, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Liang Shi and Shouzhen Gu and Yan Hou}, editor = {Yiran Chen and Victor V. Zhirnov and Avesta Sasan and Ioannis Savidis}, title = {Relaxed Placement: Minimizing Shift Operations for Racetrack Memory in Hybrid {SPM}}, booktitle = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event, USA, June 22-25, 2021}, pages = {129--134}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453688.3461513}, doi = {10.1145/3453688.3461513}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/XuSZSGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/QiSPHZS21, author = {Panjie Qi and Yuhong Song and Hongwu Peng and Shaoyi Huang and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Yiran Chen and Victor V. Zhirnov and Avesta Sasan and Ioannis Savidis}, title = {Accommodating Transformer onto {FPGA:} Coupling the Balanced Model Compression and FPGA-Implementation Optimization}, booktitle = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event, USA, June 22-25, 2021}, pages = {163--168}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453688.3461739}, doi = {10.1145/3453688.3461739}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/QiSPHZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/QiSZPHKSL21, author = {Panjie Qi and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Hongwu Peng and Shaoyi Huang and Zhenglun Kong and Yuhong Song and Bingbing Li}, title = {Accelerating Framework of Transformer by Hardware Design and Model Compression Co-Optimization}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643586}, doi = {10.1109/ICCAD51958.2021.9643586}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/QiSZPHKSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShiLLLLS21, author = {Liang Shi and Longfei Luo and Yina Lv and Shicheng Li and Changlong Li and Edwin Hsing{-}Mean Sha}, title = {Understanding and Optimizing Hybrid {SSD} with High-Density and Low-Cost Flash Memory}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {236--243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00046}, doi = {10.1109/ICCD53106.2021.00046}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ShiLLLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/DuanSZSLL21, author = {Hongwei Duan and Liang Shi and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Changlong Li and Yujiong Liang}, title = {An Empirical Study of NVM-based File System}, booktitle = {10th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2021, Beijing, China, August 18-20, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NVMSA53655.2021.9628430}, doi = {10.1109/NVMSA53655.2021.9628430}, timestamp = {Mon, 13 Dec 2021 16:21:41 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/DuanSZSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-06336, author = {Yuhong Song and Weiwen Jiang and Bingbing Li and Panjie Qi and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Sakyasingha Dasgupta and Yiyu Shi and Caiwen Ding}, title = {Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices}, journal = {CoRR}, volume = {abs/2102.06336}, year = {2021}, url = {https://arxiv.org/abs/2102.06336}, eprinttype = {arXiv}, eprint = {2102.06336}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-06336.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-10030, author = {Panjie Qi and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Hongwu Peng and Shaoyi Huang and Zhenglun Kong and Yuhong Song and Bingbing Li}, title = {Accelerating Framework of Transformer by Hardware Design and Model Compression Co-Optimization}, journal = {CoRR}, volume = {abs/2110.10030}, year = {2021}, url = {https://arxiv.org/abs/2110.10030}, eprinttype = {arXiv}, eprint = {2110.10030}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-10030.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-06686, author = {Yuhong Song and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Rui Xu and Yongzhuo Zhang and Bingzhe Li and Lei Yang}, title = {{BSC:} Block-based Stochastic Computing to Enable Accurate and Efficient TinyML}, journal = {CoRR}, volume = {abs/2111.06686}, year = {2021}, url = {https://arxiv.org/abs/2111.06686}, eprinttype = {arXiv}, eprint = {2111.06686}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-06686.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/WuCLXLZS20, author = {Ting Wu and Xianzhang Chen and Kai Liu and Chunhua Xiao and Zhixiang Liu and Qingfeng Zhuge and Edwin H.{-}M. Sha}, title = {HydraFS: an efficient NUMA-aware in-memory file system}, journal = {Clust. Comput.}, volume = {23}, number = {2}, pages = {705--724}, year = {2020}, url = {https://doi.org/10.1007/s10586-019-02952-y}, doi = {10.1007/S10586-019-02952-Y}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/WuCLXLZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MaSZJZG20, author = {Zhulin Ma and Edwin H.{-}M. Sha and Qingfeng Zhuge and Weiwen Jiang and Runyu Zhang and Shouzhen Gu}, title = {Towards the design of efficient hash-based indexing scheme for growing databases on non-volatile memory}, journal = {Future Gener. Comput. Syst.}, volume = {105}, pages = {1--12}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.07.035}, doi = {10.1016/J.FUTURE.2019.07.035}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/MaSZJZG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/YangZCSLZ20, author = {Chaoshu Yang and Qingfeng Zhuge and Xianzhang Chen and Edwin H.{-}M. Sha and Duo Liu and Runyu Zhang}, title = {Optimizing synchronization mechanism for block-based file systems using persistent memory}, journal = {Future Gener. Comput. Syst.}, volume = {111}, pages = {288--299}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.04.024}, doi = {10.1016/J.FUTURE.2020.04.024}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/YangZCSLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WuLXLZS20, author = {Ting Wu and Kai Liu and Chunhua Xiao and Bingyi Liu and Qingfeng Zhuge and Edwin H.{-}M. Sha}, title = {Multigranularity Space Management Scheme for Accelerating the Write Performance of In-Memory File Systems}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {4}, pages = {5429--5440}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2020.2975673}, doi = {10.1109/JSYST.2020.2975673}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WuLXLZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JiangYSZGDSH20, author = {Weiwen Jiang and Lei Yang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Shouzhen Gu and Sakyasingha Dasgupta and Yiyu Shi and Jingtong Hu}, title = {Hardware/Software Co-Exploration of Neural Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {4805--4815}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.2986127}, doi = {10.1109/TCAD.2020.2986127}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/JiangYSZGDSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YangJLSSH20, author = {Lei Yang and Weiwen Jiang and Weichen Liu and Edwin H.{-}M. Sha and Yiyu Shi and Jingtong Hu}, title = {Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {85--90}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045595}, doi = {10.1109/ASP-DAC47756.2020.9045595}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YangJLSSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LvS0XS20, author = {Yina Lv and Liang Shi and Qiao Li and Chun Jason Xue and Edwin H.{-}M. Sha}, title = {Access Characteristic Guided Partition for Read Performance Improvement on Solid State Drives}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218540}, doi = {10.1109/DAC18072.2020.9218540}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LvS0XS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangLZCNWZS20, author = {Chaoshu Yang and Duo Liu and Runyu Zhang and Xianzhang Chen and Shun Nie and Fengshun Wang and Qingfeng Zhuge and Edwin H.{-}M. Sha}, title = {Efficient Multi-Grained Wear Leveling for Inodes of Persistent Memory File Systems}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218626}, doi = {10.1109/DAC18072.2020.9218626}, timestamp = {Wed, 14 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YangLZCNWZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangLZCNZS20, author = {Chaoshu Yang and Duo Liu and Runyu Zhang and Xianzhang Chen and Shun Nie and Qingfeng Zhuge and Edwin H.{-}M. Sha}, title = {Optimizing Performance of Persistent Memory File Systems using Virtual Superpages}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {714--719}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116411}, doi = {10.23919/DATE48585.2020.9116411}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/YangLZCNZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LvSXZS20, author = {Yina Lv and Liang Shi and Chun Joseph Xue and Qingfeng Zhuge and Edwin H.{-}M. Sha}, editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu}, title = {Latency Variation Aware Read Performance Optimization on 3D High Density {NAND} Flash Memory}, booktitle = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event, China, September 7-9, 2020}, pages = {411--414}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386263.3406953}, doi = {10.1145/3386263.3406953}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/LvSXZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaTJYLCZSW20, author = {Zhulin Ma and Yujuan Tan and Hong Jiang and Zhichao Yan and Duo Liu and Xianzhang Chen and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chengliang Wang}, title = {Unified-TP: {A} Unified {TLB} and Page Table Cache Structure for Efficient Address Translation}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {255--262}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00052}, doi = {10.1109/ICCD50377.2020.00052}, timestamp = {Mon, 11 Jan 2021 13:35:27 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaTJYLCZSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuSZGS20, author = {Rui Xu and Edwin H.{-}M. Sha and Qingfeng Zhuge and Shouzhen Gu and Liang Shi}, title = {Optimizing Data Placement for Hybrid {SPM} with {SRAM} and Racetrack Memory}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {409--416}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00075}, doi = {10.1109/ICCD50377.2020.00075}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuSZGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/WangSXS20, author = {Weilan Wang and Liang Shi and Chun Jason Xue and Edwin H.{-}M. Sha}, title = {A Zero-Energy Consumption Scheme for System Suspend to Limited {NVM}}, booktitle = {9th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2020, Seoul, South Korea, August 19-21, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NVMSA51238.2020.9188083}, doi = {10.1109/NVMSA51238.2020.9188083}, timestamp = {Tue, 22 Sep 2020 12:06:25 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/WangSXS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/XuSZSG20, author = {Rui Xu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Liang Shi and Shouzhen Gu}, title = {Architectural Exploration on Racetrack Memories}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {31--36}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524792}, doi = {10.1109/SOCC49529.2020.9524792}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/XuSZSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JiangSZYCH19, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lei Yang and Xianzhang Chen and Jingtong Hu}, title = {On the Design of Time-Constrained and Buffer-Optimal Self-Timed Pipelines}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {8}, pages = {1515--1528}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2846642}, doi = {10.1109/TCAD.2018.2846642}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JiangSZYCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/JiangSZ0ZSH19, author = {Weiwen Jiang and Edwin H.{-}M. Sha and Xinyi Zhang and Lei Yang and Qingfeng Zhuge and Yiyu Shi and Jingtong Hu}, title = {Achieving Super-Linear Speedup across Multi-FPGA for Real-Time {DNN} Inference}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {67:1--67:23}, year = {2019}, url = {https://doi.org/10.1145/3358192}, doi = {10.1145/3358192}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/JiangSZ0ZSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangZSYZSH19, author = {Weiwen Jiang and Xinyi Zhang and Edwin Hsing{-}Mean Sha and Lei Yang and Qingfeng Zhuge and Yiyu Shi and Jingtong Hu}, title = {Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {5}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317757}, doi = {10.1145/3316781.3317757}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangZSYZSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenZSSGYX19, author = {Xianzhang Chen and Qingfeng Zhuge and Qiang Sun and Edwin Hsing{-}Mean Sha and Shouzhen Gu and Chaoshu Yang and Chun Jason Xue}, title = {A Wear-Leveling-Aware Fine-Grained Allocator for Non-Volatile Memory}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {116}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317752}, doi = {10.1145/3316781.3317752}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenZSSGYX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JiangZSZYSH19, author = {Weiwen Jiang and Xinyi Zhang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lei Yang and Yiyu Shi and Jingtong Hu}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {{XFER:} {A} Novel Design to Achieve Super-Linear Performance on Multiple FPGAs for Real-Time {AI}}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {305}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293988}, doi = {10.1145/3289602.3293988}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JiangZSZYSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/DiSCXS19, author = {Yejia Di and Liang Shi and Shuo{-}Han Chen and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, editor = {Jian{-}Jia Chen and Aviral Shrivastava}, title = {1+1{\textgreater}2: variation-aware lifetime enhancement for embedded 3D {NAND} flash systems}, booktitle = {Proceedings of the 20th {ACM} {SIGPLAN/SIGBED} International Conference on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2019, Phoenix, AZ, USA, June 23-23, 2019}, pages = {45--56}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316482.3326359}, doi = {10.1145/3316482.3326359}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/DiSCXS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nas/LvSLGXS19, author = {Yina Lv and Liang Shi and Qiao Li and Congming Gao and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Optimizing Tail Latency of {LDPC} based Flash Memory Storage Systems Via Smart Refresh}, booktitle = {2019 {IEEE} International Conference on Networking, Architecture and Storage, {NAS} 2019, EnShi, China, August 15-17, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NAS.2019.8834728}, doi = {10.1109/NAS.2019.8834728}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nas/LvSLGXS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LiDGLSS19, author = {Qi Li and Aosong Deng and Congming Gao and Yu Liang and Liang Shi and Edwin Hsing{-}Mean Sha}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Optimizing fragmentation and segment cleaning for {CPS} based storage devices}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {242--249}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297306}, doi = {10.1145/3297280.3297306}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LiDGLSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-11211, author = {Weiwen Jiang and Xinyi Zhang and Edwin Hsing{-}Mean Sha and Lei Yang and Qingfeng Zhuge and Yiyu Shi and Jingtong Hu}, title = {Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search}, journal = {CoRR}, volume = {abs/1901.11211}, year = {2019}, url = {http://arxiv.org/abs/1901.11211}, eprinttype = {arXiv}, eprint = {1901.11211}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-11211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04650, author = {Weiwen Jiang and Lei Yang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Shouzhen Gu and Yiyu Shi and Jingtong Hu}, title = {Hardware/Software Co-Exploration of Neural Architectures}, journal = {CoRR}, volume = {abs/1907.04650}, year = {2019}, url = {http://arxiv.org/abs/1907.04650}, eprinttype = {arXiv}, eprint = {1907.04650}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-08985, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Xinyi Zhang and Lei Yang and Qingfeng Zhuge and Yiyu Shi and Jingtong Hu}, title = {Achieving Super-Linear Speedup across Multi-FPGA for Real-Time {DNN} Inference}, journal = {CoRR}, volume = {abs/1907.08985}, year = {2019}, url = {http://arxiv.org/abs/1907.08985}, eprinttype = {arXiv}, eprint = {1907.08985}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-08985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/WuZSCC18, author = {Lin Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Linfeng Cheng}, title = {{DWARM:} {A} wear-aware memory management scheme for in-memory file systems}, journal = {Future Gener. Comput. Syst.}, volume = {88}, pages = {1--15}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.02.038}, doi = {10.1016/J.FUTURE.2018.02.038}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/WuZSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/JiangSCWZ18, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Lin Wu and Qingfeng Zhuge}, title = {Synthesizing distributed pipelining systems with timing constraints via optimal functional unit assignment and communication selection}, journal = {J. Comput. Sci.}, volume = {26}, pages = {332--343}, year = {2018}, url = {https://doi.org/10.1016/j.jocs.2017.03.020}, doi = {10.1016/J.JOCS.2017.03.020}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocs/JiangSCWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChenSZWJZW18, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Ting Wu and Weiwen Jiang and Xiaoping Zeng and Lin Wu}, title = {{UMFS:} An efficient user-space file system for non-volatile memory}, journal = {J. Syst. Archit.}, volume = {89}, pages = {18--29}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.04.004}, doi = {10.1016/J.SYSARC.2018.04.004}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ChenSZWJZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/SunZCS018, author = {Qiang Sun and Qingfeng Zhuge and Xianzhang Chen and Edwin H.{-}M. Sha and Lin Wu}, title = {{\unicode{24102}}{\unicode{30952}}{\unicode{25439}}{\unicode{22343}}{\unicode{34913}}{\unicode{30340}}{\unicode{23567}}{\unicode{31890}}{\unicode{24230}}{\unicode{38750}}{\unicode{26131}}{\unicode{22833}}{\unicode{24615}}{\unicode{20869}}{\unicode{23384}}{\unicode{31649}}{\unicode{29702}}{\unicode{26426}}{\unicode{21046}} (In-page Wear-leveling Memory Management Based on Non-volatile Memory)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {11A}, pages = {505--510}, year = {2018}, url = {http://www.jsjkx.com/CN/Y2018/V45/I11A/505}, doi = {Y2018/V45/I11A/505}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/SunZCS018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShaJDMZCZ18, author = {Edwin Hsing{-}Mean Sha and Weiwen Jiang and Hailiang Dong and Zhulin Ma and Runyu Zhang and Xianzhang Chen and Qingfeng Zhuge}, title = {Towards the Design of Efficient and Consistent Index Structure with Minimal Write Activities for Non-Volatile Memory}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {3}, pages = {432--448}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2754381}, doi = {10.1109/TC.2017.2754381}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ShaJDMZCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GaoSJDWXS18, author = {Congming Gao and Liang Shi and Cheng Ji and Yejia Di and Kaijie Wu and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Exploiting Parallelism for Access Conflict Minimization in Flash-Based Solid State Drives}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {1}, pages = {168--181}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2693281}, doi = {10.1109/TCAD.2017.2693281}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GaoSJDWXS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JiangSZYCH18, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lei Yang and Xianzhang Chen and Jingtong Hu}, title = {Heterogeneous FPGA-Based Cost-Optimal Design for Timing-Constrained CNNs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {11}, pages = {2542--2554}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2018.2857098}, doi = {10.1109/TCAD.2018.2857098}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JiangSZYCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/GaoSDLXWS18, author = {Congming Gao and Liang Shi and Yejia Di and Qiao Li and Chun Jason Xue and Kaijie Wu and Edwin Hsing{-}Mean Sha}, title = {Exploiting Chip Idleness for Minimizing Garbage Collection - Induced Chip Access Conflict on SSDs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {2}, pages = {15:1--15:29}, year = {2018}, url = {https://doi.org/10.1145/3131850}, doi = {10.1145/3131850}, timestamp = {Wed, 29 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/GaoSDLXWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/LuoLHLSZS18, author = {Huizhang Luo and Qing Liu and Jingtong Hu and Qiao Li and Liang Shi and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Write Energy Reduction for {PCM} via Pumping Efficiency Improvement}, journal = {{ACM} Trans. Storage}, volume = {14}, number = {3}, pages = {27:1--27:21}, year = {2018}, url = {https://doi.org/10.1145/3200139}, doi = {10.1145/3200139}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/LuoLHLSZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuoSLXS18, author = {Huizhang Luo and Liang Shi and Qiao Li and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, editor = {Youngsoo Shin}, title = {Energy, latency, and lifetime improvements in {MLC} {NVM} with enhanced {WOM} code}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {554--559}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297381}, doi = {10.1109/ASPDAC.2018.8297381}, timestamp = {Wed, 29 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LuoSLXS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenSZYJZ18, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Yuansong Zeng and Chaoshu Yang and Weiwen Jiang and Qingfeng Zhuge}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient wear leveling for inodes of file systems on persistent memories}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342257}, doi = {10.23919/DATE.2018.8342257}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenSZYJZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GaoSDLXS18, author = {Congming Gao and Liang Shi and Yejia Di and Qiao Li and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {An Efficient Cache Management Scheme for Capacitor Equipped Solid State Drives}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {463--466}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194639}, doi = {10.1145/3194554.3194639}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GaoSDLXS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ShaDJZC018, author = {Edwin Hsing{-}Mean Sha and Hailiang Dong and Weiwen Jiang and Qingfeng Zhuge and Xianzhang Chen and Lei Yang}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {On the Design of Reliable Heterogeneous Systems via Checkpoint Placement and Core Assignment}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {475--478}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194642}, doi = {10.1145/3194554.3194642}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ShaDJZC018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ZengSZCM018, author = {Yuansong Zeng and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Xianzhang Chen and Zhulin Ma and Lin Wu}, title = {An Efficient File System for Hybrid In-Memory {NVM} and Block Devices}, booktitle = {{IEEE} 7th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, pages = {43--48}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NVMSA.2018.00012}, doi = {10.1109/NVMSA.2018.00012}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ZengSZCM018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ZhouGZSZ018, author = {Yanbo Zhou and Shouzhen Gu and Lixia Zheng and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lin Wu}, title = {Write-Aware Data Allocation on Heterogeneous Memory Architecture with Minimum Cost}, booktitle = {24th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2018, Hakodate, Japan, August 28-31, 2018}, pages = {32--41}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/RTCSA.2018.00013}, doi = {10.1109/RTCSA.2018.00013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ZhouGZSZ018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuZSCC17, author = {Lin Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Linfeng Cheng}, title = {{BOSS:} An Efficient Data Distribution Strategy for Object Storage Systems With Hybrid Devices}, journal = {{IEEE} Access}, volume = {5}, pages = {23979--23993}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2744259}, doi = {10.1109/ACCESS.2017.2744259}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuZSCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/JiangSZW17, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Lin Wu}, title = {Efficient assignment algorithms to minimize operation cost for supply chain networks in agile manufacturing}, journal = {Comput. Ind. Eng.}, volume = {108}, pages = {225--239}, year = {2017}, url = {https://doi.org/10.1016/j.cie.2017.04.014}, doi = {10.1016/J.CIE.2017.04.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/JiangSZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/YangLJCLCS17, author = {Lei Yang and Weichen Liu and Weiwen Jiang and Chao Chen and Mengquan Li and Peng Chen and Edwin Hsing{-}Mean Sha}, title = {Hardware-software collaboration for dark silicon heterogeneous many-core systems}, journal = {Future Gener. Comput. Syst.}, volume = {68}, pages = {234--247}, year = {2017}, url = {https://doi.org/10.1016/j.future.2016.09.012}, doi = {10.1016/J.FUTURE.2016.09.012}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/YangLJCLCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ZhuLLZLQSS17, author = {Xiao Zhu and Duo Liu and Liang Liang and Kan Zhong and Linbo Long and Meikang Qiu and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Revisiting swapping in mobile systems with SwapBench}, journal = {Future Gener. Comput. Syst.}, volume = {74}, pages = {265--275}, year = {2017}, url = {https://doi.org/10.1016/j.future.2016.05.026}, doi = {10.1016/J.FUTURE.2016.05.026}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ZhuLLZLQSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ChenSJYWZ17, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Weiwen Jiang and Chaoshu Yang and Ting Wu and Qingfeng Zhuge}, title = {Refinery swap: An efficient swap mechanism for hybrid {DRAM-NVM} systems}, journal = {Future Gener. Comput. Syst.}, volume = {77}, pages = {52--64}, year = {2017}, url = {https://doi.org/10.1016/j.future.2017.06.012}, doi = {10.1016/J.FUTURE.2017.06.012}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/ChenSJYWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/SunSZC018, author = {Zhilong Sun and Edwin H.{-}M. Sha and Qingfeng Zhuge and Xianzhang Chen and Kaijie Wu}, title = {{\unicode{38754}}{\unicode{21521}}{\unicode{20869}}{\unicode{23384}}{\unicode{25991}}{\unicode{20214}}{\unicode{31995}}{\unicode{32479}}{\unicode{30340}}{\unicode{25968}}{\unicode{25454}}{\unicode{19968}}{\unicode{33268}}{\unicode{24615}}{\unicode{26356}}{\unicode{26032}}{\unicode{26426}}{\unicode{21046}}{\unicode{30740}}{\unicode{31350}} (Research on Data Consistency for In-memory File Systems)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {44}, number = {2}, pages = {222--227}, year = {2017}, url = {https://doi.org/10.11896/j.issn.1002-137X.2017.02.036}, doi = {10.11896/J.ISSN.1002-137X.2017.02.036}, timestamp = {Fri, 20 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/SunSZC018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShaGSWZX17, author = {Edwin Hsing{-}Mean Sha and Congming Gao and Liang Shi and Kaijie Wu and Mengying Zhao and Chun Jason Xue}, title = {Asymmetric Error Rates of Cell States Exploration for Performance Improvement on Flash Memory Based Storage Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {8}, pages = {1340--1352}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2626446}, doi = {10.1109/TCAD.2016.2626446}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShaGSWZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChenZMGWWS17, author = {Chao Chen and Daqing Zhang and Xiaojuan Ma and Bin Guo and Leye Wang and Yasha Wang and Edwin Hsing{-}Mean Sha}, title = {crowddeliver: Planning City-Wide Package Delivery Paths Leveraging the Crowd of Taxis}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {18}, number = {6}, pages = {1478--1496}, year = {2017}, url = {https://doi.org/10.1109/TITS.2016.2607458}, doi = {10.1109/TITS.2016.2607458}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ChenZMGWWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiuZWWSSX17, author = {Duo Liu and Kan Zhong and Tianzheng Wang and Yi Wang and Zili Shao and Edwin Hsing{-}Mean Sha and Jingling Xue}, title = {Durable Address Translation in PCM-Based Flash Storage Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {2}, pages = {475--490}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2016.2586059}, doi = {10.1109/TPDS.2016.2586059}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/LiuZWWSSX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/0018LJLCS17, author = {Lei Yang and Weichen Liu and Weiwen Jiang and Mengquan Li and Peng Chen and Edwin Hsing{-}Mean Sha}, title = {FoToNoC: {A} Folded Torus-Like Network-on-Chip Based Many-Core Systems-on-Chip in the Dark Silicon Era}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {7}, pages = {1905--1918}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2016.2643669}, doi = {10.1109/TPDS.2016.2643669}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/0018LJLCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JiangSCYZZ17, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Lei Yang and Lei Zhou and Qingfeng Zhuge}, title = {Optimal Functional-Unit Assignment for Heterogeneous Systems Under Timing Constraint}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {9}, pages = {2567--2580}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2676764}, doi = {10.1109/TPDS.2017.2676764}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/JiangSCYZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ZhongLLRLS17, author = {Kan Zhong and Duo Liu and Lingbo Long and Jinting Ren and Yang Li and Edwin Hsing{-}Mean Sha}, title = {Building NVRAM-Aware Swapping Through Code Migration in Mobile Devices}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {11}, pages = {3089--3099}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2713780}, doi = {10.1109/TPDS.2017.2713780}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ZhongLLRLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/0018LGLCS17, author = {Lei Yang and Weichen Liu and Nan Guan and Mengquan Li and Peng Chen and Edwin Hsing{-}Mean Sha}, title = {Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {494--499}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858371}, doi = {10.1109/ASPDAC.2017.7858371}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/0018LGLCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiSXZS17, author = {Qiao Li and Liang Shi and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Improving {LDPC} performance via asymmetric sensing level placement on flash memory}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {560--565}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858383}, doi = {10.1109/ASPDAC.2017.7858383}, timestamp = {Wed, 29 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiSXZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ZhouFGOLCSYY17, author = {Lei Zhou and Liang Feng and Abhishek Gupta and Yew{-}Soon Ong and K. Liu and C. Chen and Edwin Hsing{-}Mean Sha and B. Yang and B. W. Yan}, title = {Solving dynamic vehicle routing problem via evolutionary search with learning capability}, booktitle = {2017 {IEEE} Congress on Evolutionary Computation, {CEC} 2017, Donostia, San Sebasti{\'{a}}n, Spain, June 5-8, 2017}, pages = {890--896}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CEC.2017.7969403}, doi = {10.1109/CEC.2017.7969403}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cec/ZhouFGOLCSYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiSDD0XZS17, author = {Qiao Li and Liang Shi and Yejia Di and Yajuan Du and Kaijie Wu and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {A {PV} aware data placement scheme for read performance improvement on {LDPC} based flash memory: work-in-progress}, booktitle = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS} 2017, Seoul, Republic of Korea, October 15-20, 2017}, pages = {3:1--3:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125502.3125549}, doi = {10.1145/3125502.3125549}, timestamp = {Wed, 29 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LiSDD0XZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiSDDXS17, author = {Qiao Li and Liang Shi and Yejia Di and Yajuan Du and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Exploiting Process Variation for Read Performance Improvement on {LDPC} Based Flash Memory Storage Systems}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {681--684}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.118}, doi = {10.1109/ICCD.2017.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiSDDXS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LiuGCKHZS17, author = {Bicheng Liu and Shouzhen Gu and Mingsong Chen and Wang Kang and Jingtong Hu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {An Efficient Racetrack Memory-Based Processing-in-Memory Architecture for Convolutional Neural Networks}, booktitle = {2017 {IEEE} International Symposium on Parallel and Distributed Processing with Applications and 2017 {IEEE} International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), Guangzhou, China, December 12-15, 2017}, pages = {383--390}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISPA/IUCC.2017.00061}, doi = {10.1109/ISPA/IUCC.2017.00061}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/LiuGCKHZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/FengGYZS17, author = {Weiwei Feng and Shouzhen Gu and Yanqin Yang and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Efficient Task Assignment and Scheduling on {MPSOC} with {STT-RAM} Based Hybrid SPMs Considering Data Allocation}, booktitle = {2017 {IEEE} International Symposium on Parallel and Distributed Processing with Applications and 2017 {IEEE} International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), Guangzhou, China, December 12-15, 2017}, pages = {794--800}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISPA/IUCC.2017.00121}, doi = {10.1109/ISPA/IUCC.2017.00121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/FengGYZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/JiangSZDC17, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Hailiang Dong and Xianzhang Chen}, editor = {Vijay Nagarajan and Zili Shao}, title = {Optimal functional unit assignment and voltage selection for pipelined MPSoC with guaranteed probability on time performance}, booktitle = {Proceedings of the 18th {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2017, Barcelona, Spain, June 21-22, 2017}, pages = {41--50}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3078633.3081036}, doi = {10.1145/3078633.3081036}, timestamp = {Wed, 23 Jun 2021 15:34:31 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/JiangSZDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ChenSAZWYJ17, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Ahmad Abdullah and Qingfeng Zhuge and Lin Wu and Chaoshu Yang and Weiwen Jiang}, title = {{UDORN:} {A} design framework of persistent in-memory key-value database for {NVM}}, booktitle = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NVMSA.2017.8064478}, doi = {10.1109/NVMSA.2017.8064478}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ChenSAZWYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/LiSDDXYZS17, author = {Qiao Li and Liang Shi and Yejia Di and Yajuan Du and Chun Jason Xue and Chengmo Yang and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Improving read performance via selective Vpass reduction on high density 3D {NAND} flash memory}, booktitle = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NVMSA.2017.8064482}, doi = {10.1109/NVMSA.2017.8064482}, timestamp = {Wed, 29 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/LiSDDXYZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/DongSJCZZ17, author = {Hailiang Dong and Edwin Hsing{-}Mean Sha and Weiwen Jiang and Xianzhang Chen and Runyu Zhang and Qingfeng Zhuge}, title = {Towards the design of optimal range assignment for elevator groups under fluctuant traffic loads}, booktitle = {23rd {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2017, Hsinchu, Taiwan, August 16-18, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/RTCSA.2017.8046330}, doi = {10.1109/RTCSA.2017.8046330}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/DongSJCZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/CuiWWS16, author = {Xiaotong Cui and Kaijie Wu and Tongquan Wei and Edwin Hsing{-}Mean Sha}, title = {Worst-Case Finish Time Analysis for DAG-Based Applications in the Presence of Transient Faults}, journal = {J. Comput. Sci. Technol.}, volume = {31}, number = {2}, pages = {267--283}, year = {2016}, url = {https://doi.org/10.1007/s11390-016-1626-6}, doi = {10.1007/S11390-016-1626-6}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/CuiWWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/XiaYTPS16, author = {Hui Xia and Jia Yu and Chengliang Tian and Zhenkuan Pan and Edwin Hsing{-}Mean Sha}, title = {Light-weight trust-enhanced on-demand multi-path routing in mobile ad hoc networks}, journal = {J. Netw. Comput. Appl.}, volume = {62}, pages = {112--127}, year = {2016}, url = {https://doi.org/10.1016/j.jnca.2015.12.005}, doi = {10.1016/J.JNCA.2015.12.005}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/XiaYTPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChenSZJCCX16, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Weiwen Jiang and Junxi Chen and Jun Chen and Jun Xu}, title = {A unified framework for designing high performance in-memory and hybrid memory file systems}, journal = {J. Syst. Archit.}, volume = {68}, pages = {51--64}, year = {2016}, url = {https://doi.org/10.1016/j.sysarc.2016.05.004}, doi = {10.1016/J.SYSARC.2016.05.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ChenSZJCCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LongSLLZZ16, author = {Linbo Long and Edwin Hsing{-}Mean Sha and Duo Liu and Liang Liang and Kan Zhong and Xiao Zhu}, title = {A compiler assisted wear leveling for morphable {PCM} in embedded systems}, journal = {J. Syst. Archit.}, volume = {71}, pages = {32--43}, year = {2016}, url = {https://doi.org/10.1016/j.sysarc.2016.06.007}, doi = {10.1016/J.SYSARC.2016.06.007}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LongSLLZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LuoDSXZS16, author = {Huizhang Luo and Penglin Dai and Liang Shi and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Write reconstruction for write throughput improvement on {MLC} {PCM} based main memory}, journal = {J. Syst. Archit.}, volume = {71}, pages = {62--72}, year = {2016}, url = {https://doi.org/10.1016/j.sysarc.2016.05.006}, doi = {10.1016/J.SYSARC.2016.05.006}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/LuoDSXZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShaCZSJ16, author = {Edwin Hsing{-}Mean Sha and Xianzhang Chen and Qingfeng Zhuge and Liang Shi and Weiwen Jiang}, title = {A New Design of In-Memory File System Based on File Virtual Address Framework}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {10}, pages = {2959--2972}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2516019}, doi = {10.1109/TC.2016.2516019}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShaCZSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShiWZXLS16, author = {Liang Shi and Kaijie Wu and Mengying Zhao and Chun Jason Xue and Duo Liu and Edwin Hsing{-}Mean Sha}, title = {Retention Trimming for Lifetime Improvement of Flash Memory Storage Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {1}, pages = {58--71}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2453369}, doi = {10.1109/TCAD.2015.2453369}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShiWZXLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LongLLZZSS16, author = {Linbo Long and Duo Liu and Liang Liang and Xiao Zhu and Kan Zhong and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Morphable Resistive Memory Optimization for Mobile Virtualization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {6}, pages = {891--904}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2495264}, doi = {10.1109/TCAD.2015.2495264}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LongLLZZSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhongLLZLWS16, author = {Kan Zhong and Duo Liu and Liang Liang and Xiao Zhu and Linbo Long and Yi Wang and Edwin Hsing{-}Mean Sha}, title = {Energy-Efficient In-Memory Paging for Smartphones}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {10}, pages = {1577--1590}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2512904}, doi = {10.1109/TCAD.2015.2512904}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhongLLZLWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuSZCH16, author = {Shouzhen Gu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yiran Chen and Jingtong Hu}, title = {A Time, Energy, and Area Efficient Domain Wall Memory-Based {SPM} for Embedded Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {12}, pages = {2008--2017}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2547903}, doi = {10.1109/TCAD.2016.2547903}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuSZCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/DaiLZSLS16, author = {Penglin Dai and Kai Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Victor Chung Sing Lee and Sang Hyuk Son}, title = {Quality-of-Experience-Oriented Autonomous Intersection Control in Vehicular Networks}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {17}, number = {7}, pages = {1956--1967}, year = {2016}, url = {https://doi.org/10.1109/TITS.2016.2514271}, doi = {10.1109/TITS.2016.2514271}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/DaiLZSLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShiDZXWS16, author = {Liang Shi and Yejia Di and Mengying Zhao and Chun Jason Xue and Kaijie Wu and Edwin Hsing{-}Mean Sha}, title = {Exploiting Process Variation for Write Performance Improvement on {NAND} Flash Memory Storage Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {1}, pages = {334--337}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2393299}, doi = {10.1109/TVLSI.2015.2393299}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShiDZXWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YangLJLYS16, author = {Lei Yang and Weichen Liu and Weiwen Jiang and Mengquan Li and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {Application Mapping and Scheduling for Network-on-Chip-Based Multiprocessor System-on-Chip With Fine-Grain Communication Optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {10}, pages = {3027--3040}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2535359}, doi = {10.1109/TVLSI.2016.2535359}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YangLJLYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenSZXJW16, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Chun Jason Xue and Weiwen Jiang and Yuangang Wang}, title = {Efficient Data Placement for Improving Data Access Performance on Domain-Wall Memory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {10}, pages = {3094--3104}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2537400}, doi = {10.1109/TVLSI.2016.2537400}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenSZXJW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/JiangZCYYS16, author = {Weiwen Jiang and Qingfeng Zhuge and Xianzhang Chen and Lei Yang and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {Properties of Self-Timed Ring Architectures for Deadlock-Free and Consistent Configuration Reaching Maximum Throughput}, journal = {J. Signal Process. Syst.}, volume = {84}, number = {1}, pages = {123--137}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-0984-6}, doi = {10.1007/S11265-015-0984-6}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/JiangZCYYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/GuZYHS16, author = {Shouzhen Gu and Qingfeng Zhuge and Juan Yi and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Data Allocation with Minimum Cost under Guaranteed Probability for Multiple Types of Memories}, journal = {J. Signal Process. Syst.}, volume = {84}, number = {1}, pages = {151--162}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-0985-5}, doi = {10.1007/S11265-015-0985-5}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/GuZYHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/XiaYPCS16, author = {Hui Xia and Jia Yu and Zhenkuan Pan and Xiangguo Cheng and Edwin Hsing{-}Mean Sha}, title = {Applying trust enhancements to reactive routing protocols in mobile ad hoc networks}, journal = {Wirel. Networks}, volume = {22}, number = {7}, pages = {2239--2257}, year = {2016}, url = {https://doi.org/10.1007/s11276-015-1094-x}, doi = {10.1007/S11276-015-1094-X}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/winet/XiaYPCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YiZTWLSX16, author = {Juan Yi and Qian Zhang and Ye Tian and Ting Wang and Weichen Liu and Edwin Hsing{-}Mean Sha and Qiang Xu}, title = {ApproxMap: On task allocation and scheduling for resilient applications}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {318--323}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428031}, doi = {10.1109/ASPDAC.2016.7428031}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YiZTWLSX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YangLJLYS16, author = {Lei Yang and Weichen Liu and Weiwen Jiang and Mengquan Li and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {FoToNoC: {A} hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {725--730}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428097}, doi = {10.1109/ASPDAC.2016.7428097}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YangLJLYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbd/ShaCCJZ16, author = {Edwin Hsing{-}Mean Sha and Jun Chen and Xianzhang Chen and Weiwen Jiang and Qingfeng Zhuge}, title = {The Design and Implementation of a High-Performance Hybrid Memory File System}, booktitle = {International Conference on Advanced Cloud and Big Data, {CBD} 2016, Chengdu, China, August 13-16, 2016}, pages = {316--321}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CBD.2016.061}, doi = {10.1109/CBD.2016.061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbd/ShaCCJZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/YeFOLCS16, author = {Zhenbin Ye and Liang Feng and Yew{-}Soon Ong and Kai Liu and Chao Chen and Edwin Hsing{-}Mean Sha}, title = {A preliminary study on distance selection in probabilistic memetic framework for capacitated arc routing problem}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {1687--1694}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7743991}, doi = {10.1109/CEC.2016.7743991}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cec/YeFOLCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/JiangSZC16, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Xianzhang Chen}, title = {Optimal functional-unit assignment and buffer placement for probabilistic pipelines}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {13:1--13:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2968467}, doi = {10.1145/2968456.2968467}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/JiangSZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/ChenSJZCQZ16, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Weiwen Jiang and Qingfeng Zhuge and Junxi Chen and Jiejie Qin and Yuansong Zeng}, editor = {Petru Eles and Rahul Mangharam}, title = {The design of an efficient swap mechanism for hybrid {DRAM-NVM} systems}, booktitle = {2016 International Conference on Embedded Software, {EMSOFT} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {22:1--22:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968478.2968497}, doi = {10.1145/2968478.2968497}, timestamp = {Thu, 08 Aug 2019 11:04:11 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/ChenSJZCQZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/LiSXWJZS16, author = {Qiao Li and Liang Shi and Chun Jason Xue and Kaijie Wu and Cheng Ji and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Angela Demke Brown and Florentina I. Popovici}, title = {Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory}, booktitle = {14th {USENIX} Conference on File and Storage Technologies, {FAST} 2016, Santa Clara, CA, USA, February 22-25, 2016}, pages = {125--132}, publisher = {{USENIX} Association}, year = {2016}, url = {https://www.usenix.org/conference/fast16/technical-sessions/presentation/li-qiao}, timestamp = {Tue, 02 Feb 2021 08:06:35 +0100}, biburl = {https://dblp.org/rec/conf/fast/LiSXWJZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/WangLDSFCX16, author = {Junhua Wang and Kai Liu and Penglin Dai and Edwin Hsing{-}Mean Sha and Liang Feng and Chao Chen and Chunhua Xiao}, editor = {Jinjun Chen and Laurence T. Yang}, title = {Cooperative Information Services Based on Predictable Trajectories in Bus-VANETs}, booktitle = {18th {IEEE} International Conference on High Performance Computing and Communications; 14th {IEEE} International Conference on Smart City; 2nd {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2016, Sydney, Australia, December 12-14, 2016}, pages = {951--958}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCC-SmartCity-DSS.2016.0136}, doi = {10.1109/HPCC-SMARTCITY-DSS.2016.0136}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/WangLDSFCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/JiangSCZW16, author = {Weiwen Jiang and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Qingfeng Zhuge and Lin Wu}, title = {Optimal Functional Assignment and Communication Selection under Timing Constraint for Self-Timed Pipelines}, booktitle = {13th International Conference on Embedded Software and Systems, {ICESS} 2016, Chengdu, China, August 13-14, 2016}, pages = {87--92}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICESS.2016.33}, doi = {10.1109/ICESS.2016.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icess/JiangSCZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/ChenSSZJ16, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Zhilong Sun and Qingfeng Zhuge and Weiwen Jiang}, title = {The Design and Implementation of an Efficient Data Consistency Mechanism for In-Memory File Systems}, booktitle = {13th International Conference on Embedded Software and Systems, {ICESS} 2016, Chengdu, China, August 13-14, 2016}, pages = {170--175}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICESS.2016.18}, doi = {10.1109/ICESS.2016.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icess/ChenSSZJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ShaLJCZ16, author = {Edwin Hsing{-}Mean Sha and Yutong Liang and Weiwen Jiang and Xianzhang Chen and Qingfeng Zhuge}, title = {Optimizing Data Placement of MapReduce on Ceph-Based Framework under Load-Balancing Constraint}, booktitle = {22nd {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2016, Wuhan, China, December 13-16, 2016}, pages = {585--592}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICPADS.2016.0083}, doi = {10.1109/ICPADS.2016.0083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ShaLJCZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/DiSG0XS16, author = {Yejia Di and Liang Shi and Congming Gao and Kaijie Wu and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Minimizing cell-to-cell interference by exploiting differential bit impact characteristics of scaled {MLC} {NAND} flash memories}, booktitle = {5th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2016, Daegu, South Korea, August 17-19, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NVMSA.2016.7547180}, doi = {10.1109/NVMSA.2016.7547180}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/DiSG0XS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ShaJCZJQ16, author = {Edwin Hsing{-}Mean Sha and Yang Jia and Xianzhang Chen and Qingfeng Zhuge and Weiwen Jiang and Jiejie Qin}, title = {The design and implementation of an efficient user-space in-memory file system}, booktitle = {5th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2016, Daegu, South Korea, August 17-19, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NVMSA.2016.7547176}, doi = {10.1109/NVMSA.2016.7547176}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ShaJCZJQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/LiuSCJZ16, author = {Zhixiang Liu and Edwin Hsing{-}Mean Sha and Xianzhang Chen and Weiwen Jiang and Qingfeng Zhuge}, editor = {Hong Shen and Yingpeng Sang and Hui Tian}, title = {Performance Optimization for In-Memory File Systems on {NUMA} Machines}, booktitle = {17th International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2016, Guangzhou, China, December 16-18, 2016}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDCAT.2016.018}, doi = {10.1109/PDCAT.2016.018}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/LiuSCJZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/ZhouFZOZS16, author = {Lei Zhou and Liang Feng and Jinghui Zhong and Yew{-}Soon Ong and Zexuan Zhu and Edwin Hsing{-}Mean Sha}, title = {Evolutionary multitasking in combinatorial search spaces: {A} case study in capacitated vehicle routing problem}, booktitle = {2016 {IEEE} Symposium Series on Computational Intelligence, {SSCI} 2016, Athens, Greece, December 6-9, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SSCI.2016.7850039}, doi = {10.1109/SSCI.2016.7850039}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssci/ZhouFZOZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/DaiLZSLS16, author = {Penglin Dai and Kai Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Victor Chung Sing Lee and Sang Hyuk Son}, title = {A Convex Optimization Based Autonomous Intersection Control Strategy in Vehicular Cyber-Physical Systems}, booktitle = {2016 Intl {IEEE} Conferences on Ubiquitous Intelligence {\&} Computing, Advanced and Trusted Computing, Scalable Computing and Communications, Cloud and Big Data Computing, Internet of People, and Smart World Congress (UIC/ATC/ScalCom/CBDCom/IoP/SmartWorld), Toulouse, France, July 18-21, 2016}, pages = {203--210}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP-SmartWorld.2016.0050}, doi = {10.1109/UIC-ATC-SCALCOM-CBDCOM-IOP-SMARTWORLD.2016.0050}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/DaiLZSLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/ShaWZZL15, author = {Edwin Hsing{-}Mean Sha and Li Wang and Qingfeng Zhuge and Jun Zhang and Jing Liu}, title = {Power Efficiency for Hardware/Software Partitioning with Time and Area Constraints on MPSoC}, journal = {Int. J. Parallel Program.}, volume = {43}, number = {3}, pages = {381--402}, year = {2015}, url = {https://doi.org/10.1007/s10766-013-0283-4}, doi = {10.1007/S10766-013-0283-4}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/ShaWZZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GuZYHS15, author = {Shouzhen Gu and Qingfeng Zhuge and Juan Yi and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Optimizing Task and Data Assignment on Multi-Core Systems with Multi-Port SPMs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {26}, number = {9}, pages = {2549--2560}, year = {2015}, url = {https://doi.org/10.1109/TPDS.2014.2356194}, doi = {10.1109/TPDS.2014.2356194}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GuZYHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuXPXZS15, author = {Jingtong Hu and Mimi Xie and Chen Pan and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Low Overhead Software Wear Leveling for Hybrid {PCM} + {DRAM} Main Memory on Embedded Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {4}, pages = {654--663}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2321571}, doi = {10.1109/TVLSI.2014.2321571}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HuXPXZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/YiZHGQS15, author = {Juan Yi and Qingfeng Zhuge and Jingtong Hu and Shouzhen Gu and Mingwen Qin and Edwin Hsing{-}Mean Sha}, title = {Reliability-Guaranteed Task Assignment and Scheduling for Heterogeneous Multiprocessors Considering Timing Constraint}, journal = {J. Signal Process. Syst.}, volume = {81}, number = {3}, pages = {359--375}, year = {2015}, url = {https://doi.org/10.1007/s11265-014-0958-0}, doi = {10.1007/S11265-014-0958-0}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/YiZHGQS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LongLZZSS15, author = {Linbo Long and Duo Liu and Xiao Zhu and Kan Zhong and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Balloonfish: Utilizing morphable resistive memory in mobile virtualization}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {322--327}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059025}, doi = {10.1109/ASPDAC.2015.7059025}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LongLZZSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuSZCH15, author = {Shouzhen Gu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yiran Chen and Jingtong Hu}, title = {Area and performance co-optimization for domain wall memory in application-specific embedded systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {20:1--20:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744800}, doi = {10.1145/2744769.2744800}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuSZCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenSZDJ15, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Penglin Dai and Weiwen Jiang}, title = {Optimizing data placement for reducing shift operations on domain wall memories}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {139:1--139:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744883}, doi = {10.1145/2744769.2744883}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenSZDJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSGWXZS15, author = {Qiao Li and Liang Shi and Congming Gao and Kaijie Wu and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Wolfgang Nebel and David Atienza}, title = {Maximizing {IO} performance via conflict reduction for flash memory storage systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {904--907}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757022}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiSGWXZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhongLLZLZS15, author = {Kan Zhong and Duo Liu and Linbo Long and Xiao Zhu and Weichen Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Wolfgang Nebel and David Atienza}, title = {\emph{n}Code: limiting harmful writes to emerging mobile {NVRAM} through code swapping}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1305--1310}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757117}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhongLLZLZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ShaJZYC15, author = {Edwin Hsing{-}Mean Sha and Weiwen Jiang and Qingfeng Zhuge and Lei Yang and Xianzhang Chen}, title = {On the Design of High-Performance and Energy-Efficient Probabilistic Self-Timed Systems}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {260--265}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.109}, doi = {10.1109/HPCC-CSS-ICESS.2015.109}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/ShaJZYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ZhuLLZQS15, author = {Xiao Zhu and Duo Liu and Liang Liang and Kan Zhong and Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {SwapBench: The Easy Way to Demystify Swapping in Mobile Systems}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {497--502}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.262}, doi = {10.1109/HPCC-CSS-ICESS.2015.262}, timestamp = {Wed, 13 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/ZhuLLZQS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/YangLJZLYLS15, author = {Lei Yang and Weichen Liu and Weiwen Jiang and Wei Zhang and Mengquan Li and Juan Yi and Duo Liu and Edwin Hsing{-}Mean Sha}, title = {Traffic-Aware Application Mapping for Network-on-Chip Based Multiprocessor System-on-Chip}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {571--576}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.60}, doi = {10.1109/HPCC-CSS-ICESS.2015.60}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/YangLJZLYLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LiYLZYS15, author = {Mengquan Li and Juan Yi and Weichen Liu and Wei Zhang and Lei Yang and Edwin Hsing{-}Mean Sha}, title = {An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {688--693}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.59}, doi = {10.1109/HPCC-CSS-ICESS.2015.59}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/LiYLZYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LinLXDLZLWZS15, author = {Xiaohao Lin and Weichen Liu and Chunming Xiao and Jie Dai and Xianlu Luo and Dan Zhang and Duo Liu and Kaijie Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Realistic Task Parallelization of the {H.264} Decoding Algorithm for Multiprocessors}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {871--874}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.33}, doi = {10.1109/HPCC-CSS-ICESS.2015.33}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/LinLXDLZLWZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/DaiLLYXWZS15, author = {Jie Dai and Weichen Liu and Xiaohao Lin and Yaoyao Ye and Chunming Xiao and Kaijie Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {User Experience Enhanced Task Scheduling and Processor Frequency Scaling for Energy-Sensitive Mobile Devices}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {941--944}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.61}, doi = {10.1109/HPCC-CSS-ICESS.2015.61}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/DaiLLYXWZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/ShaJZCY15, author = {Edwin Hsing{-}Mean Sha and Weiwen Jiang and Qingfeng Zhuge and Xianzhang Chen and Lei Yang}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {Prevent Deadlock and Remove Blocking for Self-Timed Systems}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9528}, pages = {155--169}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27119-4\_11}, doi = {10.1007/978-3-319-27119-4\_11}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/ShaJZCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WangLSLS15, author = {Junhua Wang and Kai Liu and Edwin Hsing{-}Mean Sha and Victor C. S. Lee and Sang Hyuk Son}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {An Efficient Cluster-Based Data Sharing Algorithm for Bidirectional Road Scenario in Vehicular Ad-hoc Networks}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9528}, pages = {537--551}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27119-4\_37}, doi = {10.1007/978-3-319-27119-4\_37}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/WangLSLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WuZSS15, author = {Lin Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Zhilong Sun}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {Efficient Scheduling with Intensive In-Memory File Accesses Considering Bandwidth Constraint on Memory Bus}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9529}, pages = {567--580}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27122-4\_39}, doi = {10.1007/978-3-319-27122-4\_39}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/WuZSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/DaiLSZLS15, author = {Penglin Dai and Kai Liu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Victor C. S. Lee and Sang Hyuk Son}, title = {Vehicle Assisted Data Update for Temporal Information Service in Vehicular Networks}, booktitle = {{IEEE} 18th International Conference on Intelligent Transportation Systems, {ITSC} 2015, Gran Canaria, Spain, September 15-18, 2015}, pages = {2545--2550}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ITSC.2015.409}, doi = {10.1109/ITSC.2015.409}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/DaiLSZLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/LongLLZZS15, author = {Linbo Long and Duo Liu and Liang Liang and Kan Zhong and Xiao Zhu and Edwin Hsing{-}Mean Sha}, title = {Mixer: software enabled wear leveling for morphable {PCM} in embedded systems}, booktitle = {{IEEE} Non-Volatile Memory System and Applications Symposium, {NVMSA} 2015, Hong Kong, China, August 19-21, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NVMSA.2015.7304370}, doi = {10.1109/NVMSA.2015.7304370}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/LongLLZZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ShaCZSJ15, author = {Edwin Hsing{-}Mean Sha and Xianzhang Chen and Qingfeng Zhuge and Liang Shi and Weiwen Jiang}, title = {Designing an efficient persistent in-memory file system}, booktitle = {{IEEE} Non-Volatile Memory System and Applications Symposium, {NVMSA} 2015, Hong Kong, China, August 19-21, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NVMSA.2015.7304365}, doi = {10.1109/NVMSA.2015.7304365}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ShaCZSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/SunZHYS14, author = {Qunyan Sun and Qingfeng Zhuge and Jingtong Hu and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {Efficient grouping-based mapping and scheduling on heterogeneous cluster architectures}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {5}, pages = {1604--1620}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.03.009}, doi = {10.1016/J.COMPELECENG.2014.03.009}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/SunZHYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ifs/XiaJS14, author = {Hui Xia and Zhiping Jia and Edwin Hsing{-}Mean Sha}, title = {Research of trust model based on fuzzy theory in mobile ad hoc networks}, journal = {{IET} Inf. Secur.}, volume = {8}, number = {2}, pages = {88--103}, year = {2014}, url = {https://doi.org/10.1049/iet-ifs.2012.0145}, doi = {10.1049/IET-IFS.2012.0145}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ifs/XiaJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/ZhangSZYW14, author = {Jun Zhang and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Juan Yi and Kaijie Wu}, title = {Efficient fault-tolerant scheduling on multiprocessor systems via replication and deallocation}, journal = {Int. J. Embed. Syst.}, volume = {6}, number = {2/3}, pages = {216--224}, year = {2014}, url = {https://doi.org/10.1504/IJES.2014.063819}, doi = {10.1504/IJES.2014.063819}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/ZhangSZYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/OuyangTLSS14, author = {Aijia Ouyang and Zhuo Tang and Kenli Li and Ahmed Sallam and Edwin Hsing{-}Mean Sha}, title = {Estimating parameters of Muskingum Model using an Adaptive Hybrid {PSO} Algorithm}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {28}, number = {1}, year = {2014}, url = {https://doi.org/10.1142/S0218001414590034}, doi = {10.1142/S0218001414590034}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/OuyangTLSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/GaoZZZS14, author = {Qiuyan Gao and Qingfeng Zhuge and Jun Zhang and Guangyu Zhu and Edwin Hsing{-}Mean Sha}, title = {Optimizing Data Distribution for Loops on Embedded Multicore with Scratch-Pad Memory}, journal = {J. Comput.}, volume = {9}, number = {5}, pages = {1066--1076}, year = {2014}, url = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=77\&\#38;id=943}, doi = {10.4304/JCP.9.5.1066-1076}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/GaoZZZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/PengZLLS14, author = {Yunjiao Peng and Qingfeng Zhuge and Duo Liu and Jian Li and Edwin Hsing{-}Mean Sha}, title = {A Partition-based Mechanism for Reducing Energy in Phase Change Memory}, journal = {J. Comput.}, volume = {9}, number = {8}, pages = {1934--1945}, year = {2014}, url = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=65\&\#38;id=737}, doi = {10.4304/JCP.9.8.1934-1945}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/PengZLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/ZouMWS14, author = {Minhui Zou and Kun Ma and Kaijie Wu and Edwin Hsing{-}Mean Sha}, title = {Scan-Based Attack on Stream Ciphers: {A} Case Study on eSTREAM Finalists}, journal = {J. Comput. Sci. Technol.}, volume = {29}, number = {4}, pages = {646--655}, year = {2014}, url = {https://doi.org/10.1007/s11390-014-1456-3}, doi = {10.1007/S11390-014-1456-3}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/ZouMWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/XiaXYJS14, author = {Hui Xia and Shoujun Xia and Jia Yu and Zhiping Jia and Edwin Hsing{-}Mean Sha}, title = {Applying link stability estimation mechanism to multicast routing in MANETs}, journal = {J. Syst. Archit.}, volume = {60}, number = {5}, pages = {467--480}, year = {2014}, url = {https://doi.org/10.1016/j.sysarc.2014.02.004}, doi = {10.1016/J.SYSARC.2014.02.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/XiaXYJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LongLHGZS14, author = {Linbo Long and Duo Liu and Jingtong Hu and Shouzhen Gu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {A space allocation and reuse strategy for PCM-based embedded systems}, journal = {J. Syst. Archit.}, volume = {60}, number = {8}, pages = {655--667}, year = {2014}, url = {https://doi.org/10.1016/j.sysarc.2014.07.002}, doi = {10.1016/J.SYSARC.2014.07.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/LongLHGZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/OuyangLTSS14, author = {Aijia Ouyang and Kenli Li and Tung Khac Truong and Ahmed Sallam and Edwin Hsing{-}Mean Sha}, title = {Hybrid particle swarm optimization for parameter estimation of Muskingum model}, journal = {Neural Comput. Appl.}, volume = {25}, number = {7-8}, pages = {1785--1799}, year = {2014}, url = {https://doi.org/10.1007/s00521-014-1669-y}, doi = {10.1007/S00521-014-1669-Y}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/OuyangLTSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuZXTGS14, author = {Jingtong Hu and Qingfeng Zhuge and Chun Jason Xue and Wei{-}Che Tseng and Shouzhen Gu and Edwin Hsing{-}Mean Sha}, title = {Scheduling to Optimize Cache Utilization for Non-Volatile Main Memories}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {8}, pages = {2039--2051}, year = {2014}, url = {https://doi.org/10.1109/TC.2013.11}, doi = {10.1109/TC.2013.11}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HuZXTGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuW0SZS14, author = {Duo Liu and Tianzheng Wang and Yi Wang and Zili Shao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Application-Specific Wear Leveling for Extending Lifetime of Phase Change Memory in Embedded Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {10}, pages = {1450--1462}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2341922}, doi = {10.1109/TCAD.2014.2341922}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuW0SZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/HuZXTS14, author = {Jingtong Hu and Qingfeng Zhuge and Chun Jason Xue and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Management and optimization for nonvolatile memory-based hybrid scratchpad memory on multicore embedded processors}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {4}, pages = {79:1--79:25}, year = {2014}, url = {https://doi.org/10.1145/2560019}, doi = {10.1145/2560019}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/HuZXTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LiuLNSS14, author = {Kai Liu and Victor C. S. Lee and Joseph Kee{-}Yin Ng and Sang Hyuk Son and Edwin Hsing{-}Mean Sha}, title = {Scheduling Temporal Data with Dynamic Snapshot Consistency Requirement in Vehicular Cyber-Physical Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {5s}, pages = {163:1--163:21}, year = {2014}, url = {https://doi.org/10.1145/2629546}, doi = {10.1145/2629546}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LiuLNSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiuZGHZS14, author = {Jing Liu and Qingfeng Zhuge and Shouzhen Gu and Jingtong Hu and Guangyu Zhu and Edwin Hsing{-}Mean Sha}, title = {Minimizing System Cost with Efficient Task Assignment on Heterogeneous Multicore Processors Considering Time Constraint}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {8}, pages = {2101--2113}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.312}, doi = {10.1109/TPDS.2013.312}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LiuZGHZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CuiZWS14, author = {Xiaotong Cui and Jun Zhang and Kaijie Wu and Edwin Hsing{-}Mean Sha}, title = {Efficient feasibility analysis of {DAG} scheduling with real-time constraints in the presence of faults}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {131--136}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742878}, doi = {10.1109/ASPDAC.2014.6742878}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CuiZWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiWZXS14, author = {Liang Shi and Kaijie Wu and Mengying Zhao and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Retention Trimming for Wear Reduction of Flash Memory Storage Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {146:1--146:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593203}, doi = {10.1145/2593069.2593203}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiWZXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/ZhongWZLLLSS14, author = {Kan Zhong and Tianzheng Wang and Xiao Zhu and Linbo Long and Duo Liu and Weichen Liu and Zili Shao and Edwin Hsing{-}Mean Sha}, editor = {Tulika Mitra and Jan Reineke}, title = {Building high-performance smartphones via non-volatile memory: The swap approach}, booktitle = {2014 International Conference on Embedded Software, {EMSOFT} 2014, New Delhi, India, October 12-17, 2014}, pages = {30:1--30:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656045.2656049}, doi = {10.1145/2656045.2656049}, timestamp = {Wed, 04 Jan 2023 16:50:45 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/ZhongWZLLLSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GaoSWXS14, author = {Congming Gao and Liang Shi and Kaijie Wu and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Exploit asymmetric error rates of cell states to improve the performance of flash memory storage systems}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {202--207}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974682}, doi = {10.1109/ICCD.2014.6974682}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GaoSWXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhongZWZLLLS14, author = {Kan Zhong and Xiao Zhu and Tianzheng Wang and Dan Zhang and Xianlu Luo and Duo Liu and Weichen Liu and Edwin Hsing{-}Mean Sha}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {{DR.} Swap: energy-efficient paging for smartphones}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {81--86}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627647}, doi = {10.1145/2627369.2627647}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ZhongZWZLLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/YiLJQYLXDS14, author = {Juan Yi and Weichen Liu and Weiwen Jiang and Mingwen Qin and Lei Yang and Duo Liu and Chunming Xiao and Luelue Du and Edwin Hsing{-}Mean Sha}, title = {An Improved Thermal Model for Static Optimization of Application Mapping and Scheduling in Multiprocessor System-on-Chip}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {547--552}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.40}, doi = {10.1109/ISVLSI.2014.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/YiLJQYLXDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mss/GaoSZXWS14, author = {Congming Gao and Liang Shi and Mengying Zhao and Chun Jason Xue and Kaijie Wu and Edwin Hsing{-}Mean Sha}, title = {Exploiting parallelism in {I/O} scheduling for access conflict minimization in flash-based solid state drives}, booktitle = {{IEEE} 30th Symposium on Mass Storage Systems and Technologies, {MSST} 2014, Santa Clara, CA, USA, June 2-6, 2014}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MSST.2014.6855544}, doi = {10.1109/MSST.2014.6855544}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mss/GaoSZXWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/DuanNLZSL14, author = {Yaoxin Duan and Wendi Nie and Kai Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Victor C. S. Lee}, title = {Joint Convergecast and Power Allocation in Wireless Sensor Networks}, booktitle = {15th International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2014, Hong Kong, China, December 9-11, 2014}, pages = {98--104}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDCAT.2014.24}, doi = {10.1109/PDCAT.2014.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/DuanNLZSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/GuZHYS14, author = {Shouzhen Gu and Qingfeng Zhuge and Jingtong Hu and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {Minimum-cost data allocation with guaranteed probability on multiple types of memory}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910510}, doi = {10.1109/RTCSA.2014.6910510}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/GuZHYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/JiangZYYS14, author = {Weiwen Jiang and Qingfeng Zhuge and Juan Yi and Lei Yang and Edwin Hsing{-}Mean Sha}, title = {On self-timed ring for consistent mapping and maximum throughput}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910511}, doi = {10.1109/RTCSA.2014.6910511}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/JiangZYYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/NieDWZS14, author = {Wendi Nie and Yaoxin Duan and Kaijie Wu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Energy efficient routing techniques with guaranteed reliability based on multi-level uncertain graph}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910558}, doi = {10.1109/RTCSA.2014.6910558}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/NieDWZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ShaHWAC14, author = {Edwin Hsing{-}Mean Sha and J{\"{o}}rg Henkel and Kaijie Wu and Tarek F. Abdelzaher and Hojung Cha}, title = {Messages from the conference chairs}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910496}, doi = {10.1109/RTCSA.2014.6910496}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ShaHWAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/ChenZWMHS14, author = {Chao Chen and Daqing Zhang and Leye Wang and Xiaojuan Ma and Xiao Han and Edwin Hsing{-}Mean Sha}, title = {Taxi Exp: {A} Novel Framework for City-Wide Package Express Shipping via Taxi Crowd Sourcing}, booktitle = {2014 {IEEE} 11th Intl Conf on Ubiquitous Intelligence and Computing and 2014 {IEEE} 11th Intl Conf on Autonomic and Trusted Computing and 2014 {IEEE} 14th Intl Conf on Scalable Computing and Communications and Its Associated Workshops, Bali, Indonesia, December 9-12, 2014}, pages = {244--251}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom.2014.112}, doi = {10.1109/UIC-ATC-SCALCOM.2014.112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/ChenZWMHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/XiaJLJS13, author = {Hui Xia and Zhiping Jia and Xin Li and Lei Ju and Edwin Hsing{-}Mean Sha}, title = {Trust prediction and trust-based source routing in mobile ad hoc networks}, journal = {Ad Hoc Networks}, volume = {11}, number = {7}, pages = {2096--2114}, year = {2013}, url = {https://doi.org/10.1016/j.adhoc.2012.02.009}, doi = {10.1016/J.ADHOC.2012.02.009}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adhoc/XiaJLJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/XiaJJLS13, author = {Hui Xia and Zhiping Jia and Lei Ju and Xin Li and Edwin Hsing{-}Mean Sha}, title = {Impact of trust model on on-demand multi-path routing in mobile ad hoc networks}, journal = {Comput. Commun.}, volume = {36}, number = {9}, pages = {1078--1093}, year = {2013}, url = {https://doi.org/10.1016/j.comcom.2012.09.002}, doi = {10.1016/J.COMCOM.2012.09.002}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/XiaJJLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/DaiZCJS13, author = {Penglin Dai and Qingfeng Zhuge and Xianzhang Chen and Weiwen Jiang and Edwin Hsing{-}Mean Sha}, title = {Effective file data-block placement for different types of page cache on hybrid main memory architectures}, journal = {Des. Autom. Embed. Syst.}, volume = {17}, number = {3-4}, pages = {485--506}, year = {2013}, url = {https://doi.org/10.1007/s10617-014-9148-3}, doi = {10.1007/S10617-014-9148-3}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/DaiZCJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/LuoZSLS13, author = {Huizhang Luo and Qingfeng Zhuge and Liang Shi and Jian Li and Edwin Hsing{-}Mean Sha}, title = {Accurate age counter for wear leveling on non-volatile based main memory}, journal = {Des. Autom. Embed. Syst.}, volume = {17}, number = {3-4}, pages = {543--564}, year = {2013}, url = {https://doi.org/10.1007/s10617-014-9141-x}, doi = {10.1007/S10617-014-9141-X}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/LuoZSLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/LiZLLS13, author = {Jian Li and Qingfeng Zhuge and Duo Liu and Huizhang Luo and Edwin Hsing{-}Mean Sha}, title = {A content-aware writing mechanism for reducing energy on non-volatile memory based embedded storage systems}, journal = {Des. Autom. Embed. Syst.}, volume = {17}, number = {3-4}, pages = {711--737}, year = {2013}, url = {https://doi.org/10.1007/s10617-014-9150-9}, doi = {10.1007/S10617-014-9150-9}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/LiZLLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HuHZSXZ13, author = {Jingtong Hu and Yi He and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chun Jason Xue and Yingchao Zhao}, title = {Minimizing accumulative memory load cost on multi-core DSPs with multi-level memory}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {389--399}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.05.003}, doi = {10.1016/J.SYSARC.2013.05.003}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/HuHZSXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/MeiLHYS13, author = {Jing Mei and Kenli Li and Jingtong Hu and Shu Yin and Edwin Hsing{-}Mean Sha}, title = {Energy-aware preemptive scheduling algorithm for sporadic tasks on {DVS} platform}, journal = {Microprocess. Microsystems}, volume = {37}, number = {1}, pages = {99}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2012.11.002}, doi = {10.1016/J.MICPRO.2012.11.002}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/MeiLHYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuoZHYQS13, author = {Yibo Guo and Qingfeng Zhuge and Jingtong Hu and Juan Yi and Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {Data Placement and Duplication for Embedded Multicore Systems With Scratch Pad Memory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {6}, pages = {809--817}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2238990}, doi = {10.1109/TCAD.2013.2238990}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuoZHYQS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/HuXZTS13, author = {Jingtong Hu and Chun Jason Xue and Qingfeng Zhuge and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Write activity reduction on non-volatile main memories for embedded chip multiprocessors}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {12}, number = {3}, pages = {77:1--77:27}, year = {2013}, url = {https://doi.org/10.1145/2442116.2442127}, doi = {10.1145/2442116.2442127}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/HuXZTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuXZTS13, author = {Jingtong Hu and Chun Jason Xue and Qingfeng Zhuge and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Data Allocation Optimization for Hybrid Scratch Pad Memory With {SRAM} and Nonvolatile Memory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {6}, pages = {1094--1102}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2202700}, doi = {10.1109/TVLSI.2012.2202700}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HuXZTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/HuXQTS13, author = {Jingtong Hu and Chun Jason Xue and Meikang Qiu and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Algorithms to Minimize Data Transfer for Code Update on Wireless Sensor Network}, journal = {J. Signal Process. Syst.}, volume = {71}, number = {2}, pages = {143--157}, year = {2013}, url = {https://doi.org/10.1007/s11265-012-0689-z}, doi = {10.1007/S11265-012-0689-Z}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/HuXQTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/DuWZHS13, author = {Jiayi Du and Yan Wang and Qingfeng Zhuge and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Efficient Loop Scheduling for Chip Multiprocessors with Non-Volatile Main Memory}, journal = {J. Signal Process. Syst.}, volume = {71}, number = {3}, pages = {261--273}, year = {2013}, url = {https://doi.org/10.1007/s11265-012-0703-5}, doi = {10.1007/S11265-012-0703-5}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/DuWZHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ZhangDGZS13, author = {Jun Zhang and Tan Deng and Qiuyan Gao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Optimizing Data Placement of Loops for Energy Minimization with Multiple Types of Memories}, journal = {J. Signal Process. Syst.}, volume = {72}, number = {3}, pages = {151--164}, year = {2013}, url = {https://doi.org/10.1007/s11265-013-0774-y}, doi = {10.1007/S11265-013-0774-Y}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ZhangDGZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/TanJSYF13, author = {Yujuan Tan and Hong Jiang and Edwin Hsing{-}Mean Sha and Zhichao Yan and Dan Feng}, title = {{SAFE:} {A} Source Deduplication Framework for Efficient Cloud Backup Services}, journal = {J. Signal Process. Syst.}, volume = {72}, number = {3}, pages = {209--228}, year = {2013}, url = {https://doi.org/10.1007/s11265-013-0775-x}, doi = {10.1007/S11265-013-0775-X}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/TanJSYF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuWWSZS13, author = {Duo Liu and Tianzheng Wang and Yi Wang and Zili Shao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Curling-PCM: Application-specific wear leveling for phase change memory based embedded systems}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {279--284}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509609}, doi = {10.1109/ASPDAC.2013.6509609}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuWWSZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuZXTS13, author = {Jingtong Hu and Qingfeng Zhuge and Chun Jason Xue and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, editor = {Enrico Macii}, title = {Software enabled wear-leveling for hybrid {PCM} main memory on embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {599--602}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.131}, doi = {10.7873/DATE.2013.131}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HuZXTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GuZHYS13, author = {Shouzhen Gu and Qingfeng Zhuge and Jingtong Hu and Juan Yi and Edwin Hsing{-}Mean Sha}, title = {Efficient task assignment and scheduling for MPSoC {DSPS} with {VS-SPM} considering concurrent accesses through data allocation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {2615--2619}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6638129}, doi = {10.1109/ICASSP.2013.6638129}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GuZHYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/LongLHGZS13, author = {Linbo Long and Dou Liu and Jingtong Hu and Shouzhen Gu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {A space-based wear leveling for PCM-based embedded systems}, booktitle = {2013 {IEEE} 19th International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2013, Taipei, Taiwan, August 19-21, 2013}, pages = {145--148}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTCSA.2013.6732213}, doi = {10.1109/RTCSA.2013.6732213}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/LongLHGZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/YiZHGQS13, author = {Juan Yi and Qingfeng Zhuge and Jingtong Hu and Shouzhen Gu and Mingwen Qin and Edwin Hsing{-}Mean Sha}, title = {Optimizing task assignment for heterogeneous multiprocessor system with guaranteed reliability and timing constraint}, booktitle = {2013 {IEEE} 19th International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2013, Taipei, Taiwan, August 19-21, 2013}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTCSA.2013.6732219}, doi = {10.1109/RTCSA.2013.6732219}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/YiZHGQS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/GuoZZHS13, author = {Yibo Guo and Qingfeng Zhuge and Jun Zhang and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Optimal data allocation algorithm for loop-centric applications on scratch-PAD memories}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City, Taiwan, October 16-18, 2013}, pages = {383--388}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SiPS.2013.6674537}, doi = {10.1109/SIPS.2013.6674537}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/GuoZZHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ZhangJXLS12, author = {Feng Zhang and Zhiping Jia and Hui Xia and Xin Li and Edwin Hsing{-}Mean Sha}, title = {Node trust evaluation in mobile ad hoc networks based on multi-dimensional fuzzy and Markov SCGM(1, 1) model}, journal = {Comput. Commun.}, volume = {35}, number = {5}, pages = {589--596}, year = {2012}, url = {https://doi.org/10.1016/j.comcom.2011.10.007}, doi = {10.1016/J.COMCOM.2011.10.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ZhangJXLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isca/LiuZXS12, author = {Meilin Liu and Qingfeng Zhuge and Chun Xue and Edwin Hsing{-}Mean Sha}, title = {General Loop Fusion Technique with Improved Timing Performance and Minimal Code Size}, journal = {Int. J. Comput. Their Appl.}, volume = {19}, number = {1}, pages = {61--76}, year = {2012}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isca/LiuZXS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/TangLQS12, author = {Xiaoyong Tang and Kenli Li and Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {A hierarchical reliability-driven scheduling algorithm in grid systems}, journal = {J. Parallel Distributed Comput.}, volume = {72}, number = {4}, pages = {525--535}, year = {2012}, url = {https://doi.org/10.1016/j.jpdc.2011.12.004}, doi = {10.1016/J.JPDC.2011.12.004}, timestamp = {Wed, 14 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/TangLQS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HuXTZZS12, author = {Jingtong Hu and Chun Jason Xue and Wei{-}Che Tseng and Qingfeng Zhuge and Yingchao Zhao and Edwin Hsing{-}Mean Sha}, title = {Memory access schedule minimization for embedded systems}, journal = {J. Syst. Archit.}, volume = {58}, number = {1}, pages = {48--59}, year = {2012}, url = {https://doi.org/10.1016/j.sysarc.2011.10.002}, doi = {10.1016/J.SYSARC.2011.10.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/HuXTZZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhangLQHS12, author = {Daigu Zhang and Xiaofeng Liao and Meikang Qiu and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Randomized execution algorithms for smart cards to resist power analysis attacks}, journal = {J. Syst. Archit.}, volume = {58}, number = {10}, pages = {426--438}, year = {2012}, url = {https://doi.org/10.1016/j.sysarc.2012.08.004}, doi = {10.1016/J.SYSARC.2012.08.004}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ZhangLQHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ZhugeGHTXS12, author = {Qingfeng Zhuge and Yibo Guo and Jingtong Hu and Wei{-}Che Tseng and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Minimizing Access Cost for Multiple Types of Memory Units in Embedded Systems Through Data Allocation and Scheduling}, journal = {{IEEE} Trans. Signal Process.}, volume = {60}, number = {6}, pages = {3253--3263}, year = {2012}, url = {https://doi.org/10.1109/TSP.2012.2189768}, doi = {10.1109/TSP.2012.2189768}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ZhugeGHTXS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEinteract/LiZHXSH12, author = {Qing'an Li and Yingchao Zhao and Jingtong Hu and Chun Jason Xue and Edwin Hsing{-}Mean Sha and Yanxiang He}, title = {{MGC:} Multiple graph-coloring for non-volatile memory based hybrid Scratchpad Memory}, booktitle = {16th Workshop on Interaction between Compilers and Computer Architectures, {INTERACT} 2012, New Orleans, LA, USA, February 25, 2012}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/INTERACT.2012.6339622}, doi = {10.1109/INTERACT.2012.6339622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEinteract/LiZHXSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TsengXZHS12, author = {Wei{-}Che Tseng and Chun Jason Xue and Qingfeng Zhuge and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {{PRR:} {A} low-overhead cache replacement algorithm for embedded processors}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {35--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164972}, doi = {10.1109/ASPDAC.2012.6164972}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TsengXZHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WangLHZLS12, author = {Li Wang and Jing Liu and Jingtong Hu and Qingfeng Zhuge and Duo Liu and Edwin Hsing{-}Mean Sha}, editor = {Yang Xiang and Ivan Stojmenovic and Bernady O. Apduhan and Guojun Wang and Koji Nakano and Albert Y. Zomaya}, title = {Efficient Task Assignment on Heterogeneous Multicore Systems Considering Communication Overhead}, booktitle = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7439}, pages = {171--185}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33078-0\_13}, doi = {10.1007/978-3-642-33078-0\_13}, timestamp = {Fri, 31 Jul 2020 08:38:49 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/WangLHZLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangDHZS12, author = {Yan Wang and Jiayi Du and Jingtong Hu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Loop scheduling optimization for chip-multiprocessors with non-volatile main memory}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {1553--1556}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288188}, doi = {10.1109/ICASSP.2012.6288188}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WangDHZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HuZXTS12, author = {Jingtong Hu and Qingfeng Zhuge and Chun Jason Xue and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid {SPM} on Embedded CMPs}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium Workshops {\&} PhD Forum, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {982--989}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPSW.2012.120}, doi = {10.1109/IPDPSW.2012.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/HuZXTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/WangLHZS12, author = {Li Wang and Jing Liu and Jingtong Hu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Optimal Assignment for Tree-Structure Task Graph on Heterogeneous Multicore Systems Considering Time Constraint}, booktitle = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012}, pages = {121--127}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MCSoC.2012.11}, doi = {10.1109/MCSOC.2012.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/WangLHZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ZhangDGZS12, author = {Jun Zhang and Tan Deng and Qiuyan Gao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Optimizing Data Allocation for Loops on Embedded Systems with Scratch-Pad Memory}, booktitle = {2012 {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2012, Seoul, Korea (South), August 19-22, 2012}, pages = {184--191}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/RTCSA.2012.20}, doi = {10.1109/RTCSA.2012.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ZhangDGZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/TanYFSG12, author = {Yujuan Tan and Zhichao Yan and Dan Feng and Edwin Hsing{-}Mean Sha and Xiongzi Ge}, title = {Reducing the De-linearization of Data Placement to Improve Deduplication Performance}, booktitle = {2012 {SC} Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012}, pages = {796--800}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SC.Companion.2012.110}, doi = {10.1109/SC.COMPANION.2012.110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/TanYFSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/GuoSS11, author = {Minyi Guo and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Preface}, journal = {J. Comput. Sci. Technol.}, volume = {26}, number = {3}, pages = {373--374}, year = {2011}, url = {https://doi.org/10.1007/s11390-011-1140-9}, doi = {10.1007/S11390-011-1140-9}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/GuoSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ZhangQSZ11, author = {Lei Zhang and Meikang Qiu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge}, title = {Variable assignment and instruction scheduling for processor with multi-module memory}, journal = {Microprocess. Microsystems}, volume = {35}, number = {3}, pages = {308--317}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2010.12.002}, doi = {10.1016/J.MICPRO.2010.12.002}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ZhangQSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuTXZZS11, author = {Jingtong Hu and Wei{-}Che Tseng and Chun Jason Xue and Qingfeng Zhuge and Yingchao Zhao and Edwin Hsing{-}Mean Sha}, title = {Write Activity Minimization for Nonvolatile Main Memory Via Scheduling and Recomputation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {4}, pages = {584--592}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2010.2097307}, doi = {10.1109/TCAD.2010.2097307}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuTXZZS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangLLQSS11, author = {Yi Wang and Hui Liu and Duo Liu and Zhiwei Qin and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Overhead-aware energy optimization for real-time streaming applications on multiprocessor System-on-Chip}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {2}, pages = {14:1--14:32}, year = {2011}, url = {https://doi.org/10.1145/1929943.1929946}, doi = {10.1145/1929943.1929946}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WangLLQSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/QiuS11, author = {Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {2011 {ACM} {TODAES} best paper award}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {4}, pages = {36:1}, year = {2011}, url = {https://doi.org/10.1145/2003695.2003696}, doi = {10.1145/2003695.2003696}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/QiuS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/XuXS11, author = {Cathy Qun Xu and Chun Jason Xue and Edwin Hsing{-}Mean Sha}, title = {Energy-Efficient Joint Scheduling and Application-Specific Interconnection Design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {10}, pages = {1813--1822}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2062544}, doi = {10.1109/TVLSI.2010.2062544}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/XuXS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LiuSZHQ11, author = {Meilin Liu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yi He and Meikang Qiu}, title = {Loop Distribution and Fusion with Timing and Code Size Optimization}, journal = {J. Signal Process. Syst.}, volume = {62}, number = {3}, pages = {325--340}, year = {2011}, url = {https://doi.org/10.1007/s11265-010-0465-x}, doi = {10.1007/S11265-010-0465-X}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LiuSZHQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuXZTS11, author = {Jingtong Hu and Chun Jason Xue and Qingfeng Zhuge and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {746--751}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763127}, doi = {10.1109/DATE.2011.5763127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuXZTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greencom/QiuLLFMS11, author = {Meikang Qiu and Jianning Liu and Jiayin Li and Zongming Fei and Zhong Ming and Edwin Hsing{-}Mean Sha}, title = {A Novel Energy-Aware Fault Tolerance Mechanism for Wireless Sensor Networks}, booktitle = {2011 {IEEE/ACM} International Conference on Green Computing and Communications (GreenCom), Chengdu, China, August 4-5, 2011}, pages = {56--61}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/GreenCom.2011.18}, doi = {10.1109/GREENCOM.2011.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/greencom/QiuLLFMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/GuoZHQS11, author = {Yibo Guo and Qingfeng Zhuge and Jingtong Hu and Meikang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {Optimal Data Allocation for Scratch-Pad Memory on Embedded Multi-core Systems}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {464--471}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.79}, doi = {10.1109/ICPP.2011.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/GuoZHQS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/LiLTS11, author = {Kenli Li and Lingxiao Li and Teklay Tesfazghi and Edwin Hsing{-}Mean Sha}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {Adaptive and Cost-Optimal Parallel Algorithm for the 0-1 Knapsack Problem}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {537--544}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.11}, doi = {10.1109/PDP.2011.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/LiLTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/GuoZHS11, author = {Yibo Guo and Qingfeng Zhuge and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Optimal Data Placement for Memory Architectures with Scratch-Pad Memories}, booktitle = {{IEEE} 10th International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2011, Changsha, China, 16-18 November, 2011}, pages = {1045--1050}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TrustCom.2011.143}, doi = {10.1109/TRUSTCOM.2011.143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/GuoZHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/TsengHZHS10, author = {Wei{-}Che Tseng and Jingtong Hu and Qingfeng Zhuge and Yi He and Edwin Hsing{-}Mean Sha}, title = {Algorithms for Optimally Arranging Multicore Memory Structures}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/871510}, doi = {10.1155/2010/871510}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/TsengHZHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/XueHSS10, author = {Chun Jason Xue and Jingtong Hu and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Iterational retiming with partitioning: Loop scheduling with complete memory latency hiding}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {9}, number = {3}, pages = {22:1--22:26}, year = {2010}, url = {https://doi.org/10.1145/1698772.1698780}, doi = {10.1145/1698772.1698780}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/XueHSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/QiuYSS10, author = {Meikang Qiu and Laurence Tianruo Yang and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Dynamic and Leakage Energy Minimization With Soft Real-Time Loop Scheduling and Voltage Assignment}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {3}, pages = {501--504}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2008.2010941}, doi = {10.1109/TVLSI.2008.2010941}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/QiuYSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ZhangQTS10, author = {Lei Zhang and Meikang Qiu and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Variable Partitioning and Scheduling for MPSoC with Virtually Shared Scratch Pad Memory}, journal = {J. Signal Process. Syst.}, volume = {58}, number = {2}, pages = {247--265}, year = {2010}, url = {https://doi.org/10.1007/s11265-009-0362-3}, doi = {10.1007/S11265-009-0362-3}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ZhangQTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/XueLZS10, author = {Chun Jason Xue and Meilin Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Variable Length Pattern Matching for Hardware Network Intrusion Detection System}, journal = {J. Signal Process. Syst.}, volume = {59}, number = {1}, pages = {85--93}, year = {2010}, url = {https://doi.org/10.1007/s11265-008-0279-2}, doi = {10.1007/S11265-008-0279-2}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/XueLZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HeXXS10, author = {Yi He and Chun Jason Xue and Cathy Qun Xu and Edwin Hsing{-}Mean Sha}, title = {Co-optimization of memory access and task scheduling on MPSoC architectures with multi-level memory}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {95--100}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419914}, doi = {10.1109/ASPDAC.2010.5419914}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HeXXS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuXHS10, author = {Cathy Qun Xu and Chun Jason Xue and Yi He and Edwin Hsing{-}Mean Sha}, title = {Energy efficient joint scheduling and multi-core interconnect design}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {879--884}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419678}, doi = {10.1109/ASPDAC.2010.5419678}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/XuXHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuXTHQS10, author = {Jingtong Hu and Chun Jason Xue and Wei{-}Che Tseng and Yi He and Meikang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Sachin S. Sapatnekar}, title = {Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {350--355}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837363}, doi = {10.1145/1837274.1837363}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuXTHQS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ShiXHTZS10, author = {Liang Shi and Chun Jason Xue and Jingtong Hu and Wei{-}Che Tseng and Xuehai Zhou and Edwin Hsing{-}Mean Sha}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Write activity reduction on flash main memory via smart victim cache}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {91--94}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785503}, doi = {10.1145/1785481.1785503}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ShiXHTZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sasp/HuXTZS10, author = {Jingtong Hu and Chun Jason Xue and Wei{-}Che Tseng and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Minimizing write activities to non-volatile memory via scheduling and recomputation}, booktitle = {{IEEE} 8th Symposium on Application Specific Processors, {SASP} 2010, Anaheim, CA, USA, June 13-14, 2010}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SASP.2010.5521139}, doi = {10.1109/SASP.2010.5521139}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sasp/HuXTZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/TsengXZHS10, author = {Wei{-}Che Tseng and Chun Jason Xue and Qingfeng Zhuge and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Optimal scheduling to minimize non-volatile memory access time with hardware cache}, booktitle = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010}, pages = {131--136}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VLSISOC.2010.5642609}, doi = {10.1109/VLSISOC.2010.5642609}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/TsengXZHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChenSZ09, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha and Si{-}Qing Zheng}, title = {Fast and noniterative scheduling in input-queued switches: Supporting QoS}, journal = {Comput. Commun.}, volume = {32}, number = {5}, pages = {834--846}, year = {2009}, url = {https://doi.org/10.1016/j.comcom.2008.12.008}, doi = {10.1016/J.COMCOM.2008.12.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ChenSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcns/ChenSZ09, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha and Si{-}Qing Zheng}, title = {Fast and Noniterative Scheduling in Input-Queued Switches}, journal = {Int. J. Commun. Netw. Syst. Sci.}, volume = {2}, number = {3}, pages = {185--202}, year = {2009}, url = {https://doi.org/10.4236/ijcns.2009.23021}, doi = {10.4236/IJCNS.2009.23021}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcns/ChenSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/XueSLQS09, author = {Chun Jason Xue and Zili Shao and Meilin Liu and Mei Kang Qiu and Edwin Hsing{-}Mean Sha}, title = {Optimizing parallelism for nested loops with iterational and instructional retiming}, journal = {J. Embed. Comput.}, volume = {3}, number = {1}, pages = {29--37}, year = {2009}, url = {https://doi.org/10.3233/JEC-2009-0076}, doi = {10.3233/JEC-2009-0076}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/XueSLQS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/QiuXSLS09, author = {Meikang Qiu and Chun Xue and Zili Shao and Meilin Liu and Edwin Hsing{-}Mean Sha}, title = {Energy minimization for heterogeneous wireless sensor networks}, journal = {J. Embed. Comput.}, volume = {3}, number = {2}, pages = {109--117}, year = {2009}, url = {https://doi.org/10.3233/JEC-2009-0084}, doi = {10.3233/JEC-2009-0084}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/QiuXSLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/QiuGLXYS09, author = {Meikang Qiu and Minyi Guo and Meiqin Liu and Chun Jason Xue and Laurence Tianruo Yang and Edwin Hsing{-}Mean Sha}, title = {Loop scheduling and bank type assignment for heterogeneous multi-bank memory}, journal = {J. Parallel Distributed Comput.}, volume = {69}, number = {6}, pages = {546--558}, year = {2009}, url = {https://doi.org/10.1016/j.jpdc.2009.02.005}, doi = {10.1016/J.JPDC.2009.02.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/QiuGLXYS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/QiuS09, author = {Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {2}, pages = {25:1--25:30}, year = {2009}, url = {https://doi.org/10.1145/1497561.1497568}, doi = {10.1145/1497561.1497568}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/QiuS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/XuXHS09, author = {Cathy Qun Xu and Chun Jason Xue and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Optimizing scheduling and intercluster connection for application-specific {DSP} processors}, journal = {{IEEE} Trans. Signal Process.}, volume = {57}, number = {11}, pages = {4538--4547}, year = {2009}, url = {https://doi.org/10.1109/TSP.2009.2024870}, doi = {10.1109/TSP.2009.2024870}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/XuXHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuXHS09, author = {Cathy Qun Xu and Chun Jason Xue and Bessie C. Hu and Edwin Hsing{-}Mean Sha}, editor = {Kazutoshi Wakabayashi}, title = {Computation and data transfer co-scheduling for interconnection bus minimization}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {311--316}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796499}, doi = {10.1109/ASPDAC.2009.4796499}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/XuXHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cata/LiuSXQ09, author = {Meilin Liu and Edwin Hsing{-}Mean Sha and Chun Xue and Meikang Qiu}, editor = {Wei Li}, title = {Loop Fusion Technique with Minimal Memory Cost via Retiming}, booktitle = {Proceedings of the {ISCA} 24th International Conference on Computers and Their Applications, {CATA} 2009, April 8-10, 2009, Holiday Inn Downtown-Superdome, New Orleans, Louisiana, {USA}}, pages = {92--98}, publisher = {{ISCA}}, year = {2009}, timestamp = {Mon, 14 Nov 2011 12:40:16 +0100}, biburl = {https://dblp.org/rec/conf/cata/LiuSXQ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/QiuZS09, author = {Meikang Qiu and Lei Zhang and Edwin Hsing{-}Mean Sha}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {{ILP} optimal scheduling for multi-module memory}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {277--286}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629473}, doi = {10.1145/1629435.1629473}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/QiuZS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/QiuYS09, author = {Meikang Qiu and Laurence Tianruo Yang and Edwin Hsing{-}Mean Sha}, title = {Rotation Scheduling and Voltage Assignment to Minimize Energy for SoC}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {48--55}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.153}, doi = {10.1109/CSE.2009.153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/QiuYS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/XueXYSS09, author = {Chun Jason Xue and Guoliang Xing and Zhaohui Yuan and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Joint Sleep Scheduling and Mode Assignment in Wireless Cyber-Physical Systems}, booktitle = {29th {IEEE} International Conference on Distributed Computing Systems Workshops {(ICDCS} 2009 Workshops), 22-26 June 2009, Montreal, Qu{\'{e}}bec, Canada}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDCSW.2009.13}, doi = {10.1109/ICDCSW.2009.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/XueXYSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/HuXTQZS09, author = {Jingtong Hu and Chun Jason Xue and Wei{-}Che Tseng and Meikang Qiu and Yingchao Zhao and Edwin Hsing{-}Mean Sha}, title = {Minimizing Memory Access Schedule for Memories}, booktitle = {15th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2009, Shenzhen, China, December 8-11, 2009}, pages = {104--111}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICPADS.2009.86}, doi = {10.1109/ICPADS.2009.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/HuXTQZS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/QiuWHTS09, author = {Meikang Qiu and Gang Wu and Jingtong Hu and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Energy Minimization and Latency Hiding for Heterogeneous Parallel Memory}, booktitle = {15th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2009, Shenzhen, China, December 8-11, 2009}, pages = {503--510}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICPADS.2009.132}, doi = {10.1109/ICPADS.2009.132}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/QiuWHTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/QiuZGHLS09, author = {Meikang Qiu and Lei Zhang and Minyi Guo and Fei Hu and Shaobo Liu and Edwin Hsing{-}Mean Sha}, editor = {Leonard Barolli and Wu{-}chun Feng}, title = {Global Variable Partition with Virtually Shared Scratch Pad Memory to Minimize Schedule Length}, booktitle = {{ICPPW} 2009, International Conference on Parallel Processing Workshops, Vienna, Austria, 22-25 September 2009}, pages = {478--483}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICPPW.2009.22}, doi = {10.1109/ICPPW.2009.22}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icppw/QiuZGHLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/HuXHS09, author = {Jingtong Hu and Chun Xue and Yi He and Edwin Hsing{-}Mean Sha}, title = {Reprogramming with Minimal Transferred Data on Wireless Sensor Network}, booktitle = {{IEEE} 6th International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2009, 12-15 October 2009, Macau (S.A.R.), China}, pages = {160--167}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MOBHOC.2009.5337000}, doi = {10.1109/MOBHOC.2009.5337000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/HuXHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/QiuLS09, author = {Meikang Qiu and Hao Li and Edwin Hsing{-}Mean Sha}, editor = {Sung Y. Shin and Sascha Ossowski}, title = {Heterogeneous real-time embedded software optimization considering hardware platform}, booktitle = {Proceedings of the 2009 {ACM} Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009}, pages = {1637--1641}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1529282.1529651}, doi = {10.1145/1529282.1529651}, timestamp = {Tue, 06 Nov 2018 11:06:49 +0100}, biburl = {https://dblp.org/rec/conf/sac/QiuLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpcc/ShihHCTS08, author = {Yung{-}Chien Shih and Yuan{-}Ying Hsu and Chien{-}Hung Chen and Chien{-}Chao Tseng and Edwin Hsing{-}Mean Sha}, title = {Adaptive attenuation factor model for localization in wireless sensor networks}, journal = {Int. J. Pervasive Comput. Commun.}, volume = {4}, number = {3}, pages = {257--267}, year = {2008}, url = {https://doi.org/10.1108/17427370810911621}, doi = {10.1108/17427370810911621}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpcc/ShihHCTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/QiuSLLHY08, author = {Meikang Qiu and Edwin Hsing{-}Mean Sha and Meilin Liu and Man Lin and Shaoxiong Hua and Laurence Tianruo Yang}, title = {Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional {DSP}}, journal = {J. Parallel Distributed Comput.}, volume = {68}, number = {4}, pages = {443--455}, year = {2008}, url = {https://doi.org/10.1016/j.jpdc.2007.06.014}, doi = {10.1016/J.JPDC.2007.06.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/QiuSLLHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ZhugeXQHS08, author = {Qingfeng Zhuge and Chun Jason Xue and Meikang Qiu and Jingtong Hu and Edwin Hsing{-}Mean Sha}, title = {Timing optimization via nest-loop pipelining considering code size}, journal = {Microprocess. Microsystems}, volume = {32}, number = {7}, pages = {351--363}, year = {2008}, url = {https://doi.org/10.1016/j.micpro.2008.02.002}, doi = {10.1016/J.MICPRO.2008.02.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/ZhugeXQHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/XueJSWS08, author = {Chun Jason Xue and Zhiping Jia and Zili Shao and Meng Wang and Edwin Hsing{-}Mean Sha}, title = {Optimized Address Assignment With Array and Loop Transformations for Minimizing Schedule Length}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {1}, pages = {379--389}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2007.913721}, doi = {10.1109/TCSI.2007.913721}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/XueJSWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChenLMS08, author = {Yen{-}Kuang Chen and David W. Lin and John V. McCanny and Edwin Hsing{-}Mean Sha}, title = {Guest Editorial: Special Issue on Design and Programming of Signal Processors for Multimedia Communication}, journal = {J. Signal Process. Syst.}, volume = {51}, number = {3}, pages = {207--208}, year = {2008}, url = {https://doi.org/10.1007/s11265-007-0159-1}, doi = {10.1007/S11265-007-0159-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChenLMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/QiuWXHTS08, author = {Meikang Qiu and Jiande Wu and Chun Jason Xue and Jingtong Hu and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, editor = {J. Jacob and Dimitrios N. Serpanos}, title = {QoS for Networked Heterogeneous Real-Time Embedded Systems}, booktitle = {{ISCA} 21st International Conference on Parallel and Distributed Computing and Communication Systems, {PDCCS} 2008, September 24-26, 2008, Holiday Inn Downtown-Superdome, New Orleans, Louisiana, {USA}}, pages = {135--140}, publisher = {{ISCA}}, year = {2008}, timestamp = {Mon, 09 Aug 2021 16:35:40 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/QiuWXHTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XueSSQ08, author = {Chun Jason Xue and Edwin Hsing{-}Mean Sha and Zili Shao and Meikang Qiu}, editor = {Donatella Sciuto}, title = {Effective Loop Partitioning and Scheduling under Memory and Register Dual Constraints}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1202--1207}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484842}, doi = {10.1109/DATE.2008.4484842}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XueSSQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/QiuWHHS08, author = {Meikang Qiu and Jiande Wu and Jingtong Hu and Yi He and Edwin Hsing{-}Mean Sha}, editor = {Cheng{-}Zhong Xu and Minyi Guo}, title = {Dynamic and Leakage Power Minimization with Loop Voltage Scheduling and Assignment}, booktitle = {2008 {IEEE/IPIP} International Conference on Embedded and Ubiquitous Computing {(EUC} 2008), Shanghai, China, December 17-20, 2008, Volume {I}}, pages = {192--198}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/EUC.2008.90}, doi = {10.1109/EUC.2008.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/QiuWHHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/QiuWXHTS08, author = {Meikang Qiu and Jiande Wu and Chun Jason Xue and Jingtong Hu and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, title = {Loop scheduling and assignment to minimize energy while hiding latency for heterogeneous multi-bank memory}, booktitle = {{FPL} 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008}, pages = {459--462}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPL.2008.4629983}, doi = {10.1109/FPL.2008.4629983}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/QiuWXHTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/QiuDS08, author = {Meikang Qiu and Jing Deng and Edwin Hsing{-}Mean Sha}, title = {Failure Rate Minimization with Multiple Function Unit Scheduling for Heterogeneous WSNs}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {5213--5217}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.996}, doi = {10.1109/GLOCOM.2008.ECP.996}, timestamp = {Tue, 03 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/QiuDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XueLSHJJS08, author = {Chun Jason Xue and Tiantian Liu and Zili Shao and Jingtong Hu and Zhiping Jia and Weijia Jia and Edwin Hsing{-}Mean Sha}, title = {Address assignment sensitive variable partitioning and scheduling for {DSPS} with multiple memory banks}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, {USA}}, pages = {1453--1456}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICASSP.2008.4517894}, doi = {10.1109/ICASSP.2008.4517894}, timestamp = {Mon, 29 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XueLSHJJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/XueYXSS08, author = {Chun Jason Xue and Zhaohui Yuan and Guoliang Xing and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Energy Efficient Operating Mode Assignment for Real-Time Tasks in Wireless Embedded Systems}, booktitle = {The Fourteenth {IEEE} Internationl Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2008, Kaohisung, Taiwan, 25-27 August 2008, Proceedings}, pages = {237--246}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/RTCSA.2008.29}, doi = {10.1109/RTCSA.2008.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/XueYXSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/HuXQTXZS08, author = {Jingtong Hu and Chun Jason Xue and Meikang Qiu and Wei{-}Che Tseng and Cathy Qun Xu and Lei Zhang and Edwin Hsing{-}Mean Sha}, editor = {Yingshu Li and Dung T. Huynh and Sajal K. Das and Ding{-}Zhu Du}, title = {Minimizing Transferred Data for Code Update on Wireless Sensor Network}, booktitle = {Wireless Algorithms, Systems, and Applications, Third International Conference, {WASA} 2008, Dallas, TX, USA, October 26-28, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5258}, pages = {349--360}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88582-5\_34}, doi = {10.1007/978-3-540-88582-5\_34}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wasa/HuXQTXZS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/XiaoCSZS07, author = {Bin Xiao and Jiannong Cao and Zili Shao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Analysis and algorithms design for the partition of large-scale adaptive mobile wireless networks}, journal = {Comput. Commun.}, volume = {30}, number = {8}, pages = {1899--1912}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2007.02.016}, doi = {10.1016/J.COMCOM.2007.02.016}, timestamp = {Tue, 14 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/XiaoCSZS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/XiaoCSS07, author = {Bin Xiao and Jiannong Cao and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {An efficient algorithm for dynamic shortest path tree update in network routing}, journal = {J. Commun. Networks}, volume = {9}, number = {4}, pages = {499--510}, year = {2007}, url = {https://doi.org/10.1109/JCN.2007.6182886}, doi = {10.1109/JCN.2007.6182886}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/XiaoCSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/ChenS07, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha}, title = {Universal Routing and Performance Assurance for Distributed Networks}, journal = {J. Interconnect. Networks}, volume = {8}, number = {1}, pages = {1--28}, year = {2007}, url = {https://doi.org/10.1142/S0219265907001886}, doi = {10.1142/S0219265907001886}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/ChenS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShaoWCXQYS07, author = {Zili Shao and Meng Wang and Ying Chen and Chun Xue and Meikang Qiu and Laurence T. Yang and Edwin Hsing{-}Mean Sha}, title = {Real-Time Dynamic Voltage Loop Scheduling for Multi-Core Embedded Systems}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {54-II}, number = {5}, pages = {445--449}, year = {2007}, url = {https://doi.org/10.1109/TCSII.2007.892215}, doi = {10.1109/TCSII.2007.892215}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ShaoWCXQYS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/QiuJXSS07, author = {Meikang Qiu and Zhiping Jia and Chun Xue and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Voltage Assignment with Guaranteed Probability Satisfying Timing Constraint for Real-time Multiproceesor {DSP}}, journal = {J. {VLSI} Signal Process.}, volume = {46}, number = {1}, pages = {55--73}, year = {2007}, url = {https://doi.org/10.1007/s11265-006-0002-0}, doi = {10.1007/S11265-006-0002-0}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/QiuJXSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/XueSS07, author = {Chun Xue and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Maximize Parallelism Minimize Overhead for Nested Loops via Loop Striping}, journal = {J. {VLSI} Signal Process.}, volume = {47}, number = {2}, pages = {153--167}, year = {2007}, url = {https://doi.org/10.1007/s11265-006-0034-5}, doi = {10.1007/S11265-006-0034-5}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/XueSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiuXSS07, author = {Meikang Qiu and Chun Xue and Zili Shao and Edwin Hsing{-}Mean Sha}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Energy minimization with soft real-time and {DVS} for uniprocessor and multiprocessor embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1641--1646}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266726}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/QiuXSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/XueSLZS07, author = {Chun Xue and Zili Shao and Meilin Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Tei{-}Wei Kuo and Edwin Hsing{-}Mean Sha and Minyi Guo and Laurence Tianruo Yang and Zili Shao}, title = {Parallel Network Intrusion Detection on Reconfigurable Platforms}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4808}, pages = {75--86}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77092-3\_8}, doi = {10.1007/978-3-540-77092-3\_8}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/XueSLZS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/LeiZS07, author = {Su Te Lei and Kang Zhang and Edwin Hsing{-}Mean Sha}, editor = {Mieso K. Denko and Chi{-}Sheng Shih and Kuan{-}Ching Li and Shiao{-}Li Tsao and Qing{-}An Zeng and Soo{-}Hyun Park and Young{-}Bae Ko and Shih{-}Hao Hung and Jong Hyuk Park}, title = {Applying Situation Awareness to Mobile Proactive Information Delivery}, booktitle = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4809}, pages = {592--603}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77090-9\_55}, doi = {10.1007/978-3-540-77090-9\_55}, timestamp = {Wed, 22 Jun 2022 09:02:26 +0200}, biburl = {https://dblp.org/rec/conf/euc/LeiZS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/QiuS07, author = {Meikang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Ronald H. Perrott and Barbara M. Chapman and Jaspal Subhlok and Rodrigo Fernandes de Mello and Laurence Tianruo Yang}, title = {Energy-Aware Online Algorithm to Satisfy Sampling Rates with Guaranteed Probability for Sensor Applications}, booktitle = {High Performance Computing and Communications, Third International Conference, {HPCC} 2007, Houston, USA, September 26-28, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4782}, pages = {156--167}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75444-2\_20}, doi = {10.1007/978-3-540-75444-2\_20}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/QiuS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/WangSXS07, author = {Meng Wang and Zili Shao and Chun Xue and Edwin Hsing{-}Mean Sha}, title = {Real-Time Loop Scheduling with Leakage Energy Minimization for Embedded {VLIW} {DSP} Processors}, booktitle = {13th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2007), 21-24 August 2007, Daegu, Korea}, pages = {12--19}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/RTCSA.2007.60}, doi = {10.1109/RTCSA.2007.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/WangSXS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/euc/2007, editor = {Tei{-}Wei Kuo and Edwin Hsing{-}Mean Sha and Minyi Guo and Laurence Tianruo Yang and Zili Shao}, title = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4808}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77092-3}, doi = {10.1007/978-3-540-77092-3}, isbn = {978-3-540-77091-6}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/ShaoZLXSX06, author = {Zili Shao and Qingfeng Zhuge and Meilin Liu and Chun Xue and Edwin Hsing{-}Mean Sha and Bin Xiao}, title = {Algorithms and analysis of scheduling for loops with minimum switching}, journal = {Int. J. Comput. Sci. Eng.}, volume = {2}, number = {1/2}, pages = {88--97}, year = {2006}, url = {https://doi.org/10.1504/IJCSE.2006.009939}, doi = {10.1504/IJCSE.2006.009939}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/ShaoZLXSX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/ONeilS06, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Time-constrained loop scheduling with minimal resources}, journal = {J. Embed. Comput.}, volume = {2}, number = {1}, pages = {103--117}, year = {2006}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00064}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/ONeilS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChenS06, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha}, title = {The fat-stack and universal routing in interconnection networks}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {5}, pages = {705--715}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2006.01.004}, doi = {10.1016/J.JPDC.2006.01.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ChenS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ShaoCCXS06, author = {Zili Shao and Jiannong Cao and Keith C. C. Chan and Chun Xue and Edwin Hsing{-}Mean Sha}, title = {Hardware/software optimization for array {\&} pointer boundary checking against buffer overflow attacks}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {9}, pages = {1129--1136}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2006.04.010}, doi = {10.1016/J.JPDC.2006.04.010}, timestamp = {Tue, 14 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/ShaoCCXS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ZhugeXSLQS06, author = {Qingfeng Zhuge and Chun Xue and Zili Shao and Meilin Liu and Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {Design optimization and space minimization considering timing and code size via retiming and unfolding}, journal = {Microprocess. Microsystems}, volume = {30}, number = {4}, pages = {173--183}, year = {2006}, url = {https://doi.org/10.1016/j.micpro.2005.11.002}, doi = {10.1016/J.MICPRO.2005.11.002}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ZhugeXSLQS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShaoXZQXS06, author = {Zili Shao and Chun Xue and Qingfeng Zhuge and Mei Kang Qiu and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Security Protection and Checking for Embedded System Integration against Buffer Overflow Attacks via Hardware/Software}, journal = {{IEEE} Trans. Computers}, volume = {55}, number = {4}, pages = {443--453}, year = {2006}, url = {https://doi.org/10.1109/TC.2006.59}, doi = {10.1109/TC.2006.59}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShaoXZQXS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChantrapornchaiSS06, author = {Chantana Chantrapornchai and Wanlop Surakampontorn and Edwin Hsing{-}Mean Sha}, title = {Design Exploration With Imprecise Latency and Register Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {12}, pages = {2650--2662}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.882591}, doi = {10.1109/TCAD.2006.882591}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChantrapornchaiSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/XueSZXLS06, author = {Chun Jason Xue and Zili Shao and Qingfeng Zhuge and Bin Xiao and Meilin Liu and Edwin Hsing{-}Mean Sha}, title = {Optimizing Address Assignment and Scheduling for DSPs With Multiple Functional Units}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {9}, pages = {976--980}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.880026}, doi = {10.1109/TCSII.2006.880026}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/XueSZXLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ShaoXXZS06, author = {Zili Shao and Bin Xiao and Chun Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Loop scheduling with timing and switching-activity minimization for {VLIW} {DSP}}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {11}, number = {1}, pages = {165--185}, year = {2006}, url = {https://doi.org/10.1145/1124713.1124724}, doi = {10.1145/1124713.1124724}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ShaoXXZS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/LiuXS06, author = {Meilin Liu and Chun Xue and Edwin Hsing{-}Mean Sha}, editor = {Gregory D. Peterson}, title = {Optimizing Timing and Code Size Using Maximum Direct Loop Fusion}, booktitle = {Proceedings of the {ISCA} 19th International Conference on Parallel and Distributed Computing Systems, September 20-11, 2006, San Francisco, California, {USA}}, pages = {38--43}, publisher = {{ISCA}}, year = {2006}, timestamp = {Mon, 09 Aug 2021 16:35:46 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/LiuXS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/QiuXZSLS06, author = {Mei Kang Qiu and Chun Xue and Qingfeng Zhuge and Zili Shao and Meilin Liu and Edwin Hsing{-}Mean Sha}, title = {Voltage Assignment and Loop Scheduling for Energy Minimization while Satisfying Timing Constraint with Guaranteed Probability}, booktitle = {2006 {IEEE} International Conference on Application-Specific Systems, Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat Springs, Colorado, {USA}}, pages = {178--181}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ASAP.2006.69}, doi = {10.1109/ASAP.2006.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/QiuXZSLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/QiuXSZLS06, author = {Mei Kang Qiu and Chun Xue and Zili Shao and Qingfeng Zhuge and Meilin Liu and Edwin Hsing{-}Mean Sha}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {Efficent Algorithm of Energy Minimization for Heterogeneous Wireless Sensor Network}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {25--34}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_5}, doi = {10.1007/11802167\_5}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/QiuXSZLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/XueSLQS06, author = {Chun Xue and Zili Shao and Meilin Liu and Mei Kang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {Loop Striping: Maximize Parallelism for Nested Loops}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {405--414}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_42}, doi = {10.1007/11802167\_42}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/XueSLQS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/XueSLQS06, author = {Chun Xue and Zili Shao and Meilin Liu and Mei Kang Qiu and Edwin Hsing{-}Mean Sha}, title = {Loop Scheduling with Complete Memory Latency Hiding on Multi-core Architecture}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {375--382}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.58}, doi = {10.1109/ICPADS.2006.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/XueSLQS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/euc/2006, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167}, doi = {10.1007/11802167}, isbn = {3-540-36679-2}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ShaoZXS05, author = {Zili Shao and Qingfeng Zhuge and Chun Xue and Edwin Hsing{-}Mean Sha}, title = {Efficient Assignment and Scheduling for Heterogeneous {DSP} Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {6}, pages = {516--525}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.71}, doi = {10.1109/TPDS.2005.71}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ShaoZXS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ONeilS05, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Combining Extended Retiming and Unfolding for Rate-Optimal Graph Transformation}, journal = {J. {VLSI} Signal Process.}, volume = {39}, number = {3}, pages = {273--293}, year = {2005}, url = {https://doi.org/10.1007/s11265-005-4845-6}, doi = {10.1007/S11265-005-4845-6}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ONeilS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/ChenLS05, author = {Kevin F. Chen and Meilin Liu and Edwin Hsing{-}Mean Sha}, editor = {Michael J. Oudshoorn and Sanguthevar Rajasekaran}, title = {A Feasible Baseline Architecture for Building and Evaluating Distributed Systems}, booktitle = {Proceedings of the {ISCA} 18th International Conference on Parallel and Distributed Computing Systems, September 12-14, 2005 Imperial Palace Hotel, Las Vegas, Nevada, {USA}}, pages = {185--190}, publisher = {{ISCA}}, year = {2005}, timestamp = {Mon, 09 Aug 2021 16:35:39 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/ChenLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/LiuSXCS05, author = {Meilin Liu and Zili Shao and Chun Xue and Kevin F. Chen and Edwin Hsing{-}Mean Sha}, editor = {Michael J. Oudshoorn and Sanguthevar Rajasekaran}, title = {Multi-level Loop Fusion with Minimal Code Size}, booktitle = {Proceedings of the {ISCA} 18th International Conference on Parallel and Distributed Computing Systems, September 12-14, 2005 Imperial Palace Hotel, Las Vegas, Nevada, {USA}}, pages = {348}, publisher = {{ISCA}}, year = {2005}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/LiuSXCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShaoZXXS05, author = {Zili Shao and Qingfeng Zhuge and Chun Xue and Bin Xiao and Edwin Hsing{-}Mean Sha}, editor = {Tingao Tang}, title = {High-level synthesis for {DSP} applications using heterogeneous functional units}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {302--304}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120854}, doi = {10.1145/1120725.1120854}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShaoZXXS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/XueSLS05, author = {Chun Xue and Zili Shao and Meilin Liu and Edwin Hsing{-}Mean Sha}, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Iterational retiming: maximize iteration-level parallelism for nested loops}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {309--314}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834.1084910}, doi = {10.1145/1084834.1084910}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/XueSLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/Sha05, author = {Edwin Hsing{-}Mean Sha}, editor = {Laurence Tianruo Yang and Makoto Amamiya and Zhen Liu and Minyi Guo and Franz J. Rammig}, title = {Parallel Embedded Systems: Optimizations and Challenges}, booktitle = {Embedded and Ubiquitous Computing - {EUC} 2005, International Conference {EUC} 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3824}, pages = {2}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11596356\_2}, doi = {10.1007/11596356\_2}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/Sha05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/LiuZSXQS05, author = {Meilin Liu and Qingfeng Zhuge and Zili Shao and Chun Xue and Mei Kang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Laurence Tianruo Yang and Makoto Amamiya and Zhen Liu and Minyi Guo and Franz J. Rammig}, title = {Loop Distribution and Fusion with Timing and Code Size Optimization for Embedded DSPs}, booktitle = {Embedded and Ubiquitous Computing - {EUC} 2005, International Conference {EUC} 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3824}, pages = {121--130}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11596356\_15}, doi = {10.1007/11596356\_15}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/LiuZSXQS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/XueSLQS05, author = {Chun Xue and Zili Shao and Meilin Liu and Mei Kang Qiu and Edwin Hsing{-}Mean Sha}, editor = {Laurence Tianruo Yang and Makoto Amamiya and Zhen Liu and Minyi Guo and Franz J. Rammig}, title = {Optimizing Nested Loops with Iterational and Instructional Retiming}, booktitle = {Embedded and Ubiquitous Computing - {EUC} 2005, International Conference {EUC} 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3824}, pages = {164--173}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11596356\_19}, doi = {10.1007/11596356\_19}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/XueSLQS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XueSCS05, author = {Chun Xue and Zili Shao and Ying Chen and Edwin Hsing{-}Mean Sha}, title = {Optimizing {DSP} scheduling via address assignment with array and loop transformation}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {85--88}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1416246}, doi = {10.1109/ICASSP.2005.1416246}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XueSCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChenSZXXS05, author = {Ying Chen and Zili Shao and Qingfeng Zhuge and Chun Xue and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Minimizing Energy via Loop Scheduling and {DVS} for Multi-Core Embedded Systems}, booktitle = {11th International Conference on Parallel and Distributed Systems, {ICPADS} 2005, Fuduoka, Japan, July 20-22, 2005}, pages = {2--6}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPADS.2005.196}, doi = {10.1109/ICPADS.2005.196}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChenSZXXS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChenSX05, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha and Bin Xiao}, title = {Universal Routing in Distributed Networks}, booktitle = {11th International Conference on Parallel and Distributed Systems, {ICPADS} 2005, Fuduoka, Japan, July 20-22, 2005}, pages = {555--559}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPADS.2005.288}, doi = {10.1109/ICPADS.2005.288}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChenSX05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/XiaoCHS05, author = {Bin Xiao and Wei Chen and Yanxiang He and Edwin Hsing{-}Mean Sha}, title = {An Active Detecting Method Against {SYN} Flooding Attack}, booktitle = {11th International Conference on Parallel and Distributed Systems, {ICPADS} 2005, Fuduoka, Japan, July 20-22, 2005}, pages = {709--715}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPADS.2005.67}, doi = {10.1109/ICPADS.2005.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/XiaoCHS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/LiuZSXQS05, author = {Meilin Liu and Qingfeng Zhuge and Zili Shao and Chun Xue and Meikang Qiu and Edwin Hsing{-}Mean Sha}, title = {Maximum Loop Distribution and Fusion for Two-level Loops Considering Code Size}, booktitle = {8th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2005, December 7-9. 2005, Las Vegas, Nevada, {USA}}, pages = {126--131}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPAN.2005.58}, doi = {10.1109/ISPAN.2005.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/LiuZSXQS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/ChenSZ05, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha and S. Q. Zheng}, title = {A Fast Noniterative Scheduler for Input-Queued Switches with Unbuffered Crossbars}, booktitle = {8th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2005, December 7-9. 2005, Las Vegas, Nevada, {USA}}, pages = {230--235}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPAN.2005.7}, doi = {10.1109/ISPAN.2005.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/ChenSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/ShaoXZSX05, author = {Zili Shao and Chun Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Bin Xiao}, title = {Efficient Array {\&} Pointer Bound Checking Against Buffer Overflow Attacks via Hardware/Software}, booktitle = {International Symposium on Information Technology: Coding and Computing {(ITCC} 2005), Volume 1, 4-6 April 2005, Las Vegas, Nevada, {USA}}, pages = {780--785}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ITCC.2005.140}, doi = {10.1109/ITCC.2005.140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/ShaoXZSX05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/ONeilS05, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, editor = {S. Q. Zheng}, title = {Static Scheduling of Split-Node Data-Flow Graphs}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2005, November 14-16, 2005, Phoenix, AZ, {USA}}, pages = {125--130}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Wed, 09 Nov 2022 13:58:44 +0100}, biburl = {https://dblp.org/rec/conf/pdcs/ONeilS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/QiuLCZSS05, author = {Mei Kang Qiu and Meilin Liu and Chun Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Zili Shao}, editor = {S. Q. Zheng}, title = {Optimal Assignment with Guaranteed Confidence Probability for Trees on Heterogeneous {DSP} Systems}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2005, November 14-16, 2005, Phoenix, AZ, {USA}}, pages = {295--300}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Tue, 26 Sep 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdcs/QiuLCZSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpcn/ShaoZZS04, author = {Zili Shao and Qingfeng Zhuge and Youtao Zhang and Edwin Hsing{-}Mean Sha}, title = {Algorithms and analysis of scheduling for low-power high-performance {DSP} on {VLIW} processors}, journal = {Int. J. High Perform. Comput. Netw.}, volume = {1}, number = {1/2/3}, pages = {4--16}, year = {2004}, url = {https://doi.org/10.1504/IJHPCN.2004.007561}, doi = {10.1504/IJHPCN.2004.007561}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpcn/ShaoZZS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isca/XiaoZS04, author = {Bin Xiao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Efficient Algorithms for Dynamic Update of Shortest Path Tree in Networking}, journal = {Int. J. Comput. Their Appl.}, volume = {11}, number = {1}, pages = {60--75}, year = {2004}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isca/XiaoZS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/SurmaSP04, author = {David R. Surma and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, title = {Communication Scheduling With Re-Routing Based On Static And Hybrid Techniques}, journal = {J. Circuits Syst. Comput.}, volume = {13}, number = {5}, pages = {1039--1064}, year = {2004}, url = {https://doi.org/10.1142/S0218126604001829}, doi = {10.1142/S0218126604001829}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/SurmaSP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JiangAWSC04, author = {Yingtao Jiang and Abdulkarim Al{-}Sheraidah and Yuke Wang and Edwin Hsing{-}Mean Sha and Jin{-}Gyun Chung}, title = {A novel multiplexer-based low-power full adder}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {51-II}, number = {7}, pages = {345--348}, year = {2004}, url = {https://doi.org/10.1109/TCSII.2004.831429}, doi = {10.1109/TCSII.2004.831429}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/JiangAWSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ZhugeSXC04, author = {Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Bin Xiao and Chantana Chantrapornchai}, title = {Efficient variable partitioning and scheduling for {DSP} processors with multiple memory modules}, journal = {{IEEE} Trans. Signal Process.}, volume = {52}, number = {4}, pages = {1090--1099}, year = {2004}, url = {https://doi.org/10.1109/TSP.2004.823506}, doi = {10.1109/TSP.2004.823506}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ZhugeSXC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/ChenS04, author = {Kevin F. Chen and Edwin Hsing{-}Mean Sha}, editor = {David A. Bader and Ashfaq A. Khokhar}, title = {The Fat-Stack and Universal Routing in Interconnection Networks}, booktitle = {Proceedings of the {ISCA} 17th International Conference on Parallel and Distributed Computing Systems, September 15-17, 2004, The Canterbury Hotel, San Francisco, California, {USA}}, pages = {321--326}, publisher = {{ISCA}}, year = {2004}, timestamp = {Mon, 09 Aug 2021 16:35:42 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/ChenS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/LiuZSCS04, author = {Meilin Liu and Qingfeng Zhuge and Zili Shao and Kevin F. Chen and Edwin Hsing{-}Mean Sha}, editor = {David A. Bader and Ashfaq A. Khokhar}, title = {Loop Fusion via Retiming for {DSP} Applications}, booktitle = {Proceedings of the {ISCA} 17th International Conference on Parallel and Distributed Computing Systems, September 15-17, 2004, The Canterbury Hotel, San Francisco, California, {USA}}, pages = {403--408}, publisher = {{ISCA}}, year = {2004}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/LiuZSCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ShaoZLXS04, author = {Zili Shao and Qingfeng Zhuge and Meilin Liu and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Switching-Activity Minimization on Instruction-Level Loop Scheduling for {VLIWDSP} Applications}, booktitle = {15th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2004), 27-29 September 2004, Galveston, TX, {USA}}, pages = {224--234}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASAP.2004.10023}, doi = {10.1109/ASAP.2004.10023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/ShaoZLXS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asian/ChantrapornchaiSS04, author = {Chantana Chantrapornchai and Wanlop Surakumpolthorn and Edwin Hsing{-}Mean Sha}, editor = {Michael J. Maher}, title = {Design Exploration Framework Under Impreciseness Based on Register-Constrained Inclusion Scheduling}, booktitle = {Advances in Computer Science - {ASIAN} 2004, Higher-Level Decision Making, 9th Asian Computing Science Conference, Dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday, Chiang Mai, Thailand, December 8-10, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3321}, pages = {78--92}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30502-6\_6}, doi = {10.1007/978-3-540-30502-6\_6}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/asian/ChantrapornchaiSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/LiuZSS04, author = {Meilin Liu and Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, editor = {Mary Jane Irwin and Wei Zhao and Luciano Lavagno and Scott A. Mahlke}, title = {General loop fusion technique for nested loops considering timing and code size}, booktitle = {Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2004, Washington DC, USA, September 22 - 25, 2004}, pages = {190--201}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1023833.1023860}, doi = {10.1145/1023833.1023860}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/LiuZSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/ShaoZLSX04, author = {Zili Shao and Qingfeng Zhuge and Meilin Liu and Edwin Hsing{-}Mean Sha and Bin Xiao}, editor = {Laurence Tianruo Yang and Minyi Guo and Guang R. Gao and Niraj K. Jha}, title = {Loop Scheduling for Real-Time DSPs with Minimum Switching Activities on Multiple-Functional-Unit Architectures}, booktitle = {Embedded and Ubiquitous Computing, International Conference {EUC} 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3207}, pages = {53--63}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30121-9\_6}, doi = {10.1007/978-3-540-30121-9\_6}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/ShaoZLSX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/XueSSX04, author = {Chun Xue and Zili Shao and Edwin Hsing{-}Mean Sha and Bin Xiao}, editor = {Laurence Tianruo Yang and Minyi Guo and Guang R. Gao and Niraj K. Jha}, title = {Optimizing Address Assignment for Scheduling Embedded DSPs}, booktitle = {Embedded and Ubiquitous Computing, International Conference {EUC} 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3207}, pages = {64--73}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30121-9\_7}, doi = {10.1007/978-3-540-30121-9\_7}, timestamp = {Sun, 23 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/XueSSX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/ChantrapornchaiSS04, author = {Chantana Chantrapornchai and Wanlop Surakumpolthorn and Edwin Hsing{-}Mean Sha}, editor = {Laurence Tianruo Yang and Minyi Guo and Guang R. Gao and Niraj K. Jha}, title = {Efficient Scheduling for Design Exploration with Imprecise Latency and Register Constraints}, booktitle = {Embedded and Ubiquitous Computing, International Conference {EUC} 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3207}, pages = {259--270}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30121-9\_25}, doi = {10.1007/978-3-540-30121-9\_25}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/ChantrapornchaiSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcc/XiaoCS04, author = {Bin Xiao and Jiannong Cao and Edwin Hsing{-}Mean Sha}, editor = {Hai Jin and Yi Pan and Nong Xiao and Jianhua Sun}, title = {Maintaining Comprehensive Resource Availability in {P2P} Networks}, booktitle = {Grid and Cooperative Computing - {GCC} 2004: Third International Conference, Wuhan, China, October 21-24, 2004. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3251}, pages = {543--550}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30208-7\_74}, doi = {10.1007/978-3-540-30208-7\_74}, timestamp = {Fri, 26 Feb 2021 08:55:11 +0100}, biburl = {https://dblp.org/rec/conf/gcc/XiaoCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/XiaoCZSS04, author = {Bin Xiao and Jiannong Cao and Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Dynamic shortest path tree update for multiple link state decrements}, booktitle = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM} '04, Dallas, Texas, USA, 29 November - 3 December 2004}, pages = {1163--1167}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/GLOCOM.2004.1378139}, doi = {10.1109/GLOCOM.2004.1378139}, timestamp = {Tue, 14 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/XiaoCZSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ZhugeSS04, author = {Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Timing Optimization of Nested Loops Considering Code Size for {DSP} Applications}, booktitle = {33rd International Conference on Parallel Processing {(ICPP} 2004), 15-18 August 2004, Montreal, Quebec, Canada}, pages = {475--482}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPP.2004.1327957}, doi = {10.1109/ICPP.2004.1327957}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ZhugeSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ShaoZHXLS04, author = {Zili Shao and Qingfeng Zhuge and Yi He and Chun Xue and Meilin Liu and Edwin Hsing{-}Mean Sha}, title = {Assignment and Scheduling of Real-time {DSP} Applications for Heterogeneous Functional Units}, booktitle = {18th International Parallel and Distributed Processing Symposium {(IPDPS} 2004), {CD-ROM} / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, {USA}}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/IPDPS.2004.1302986}, doi = {10.1109/IPDPS.2004.1302986}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ShaoZHXLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/XiaoCZSS04, author = {Bin Xiao and Jiannong Cao and Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Dynamic Update of Shortest Path Tree in {OSPF}}, booktitle = {7th International Symposium on Parallel Architectures, Algorithms, and Networks {(I-SPAN} 2004), 10-12 May 2004, Hong Kong, SAR, China}, pages = {18--23}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPAN.2004.1300451}, doi = {10.1109/ISPAN.2004.1300451}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/XiaoCZSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/XiaoCZHS04, author = {Bin Xiao and Jiannong Cao and Qingfeng Zhuge and Yi He and Edwin Hsing{-}Mean Sha}, title = {Approximation Algorithms Design for Disk Partial Covering Problem}, booktitle = {7th International Symposium on Parallel Architectures, Algorithms, and Networks {(I-SPAN} 2004), 10-12 May 2004, Hong Kong, SAR, China}, pages = {104--110}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPAN.2004.1300466}, doi = {10.1109/ISPAN.2004.1300466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/XiaoCZHS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/ShaoXZSX04, author = {Zili Shao and Chun Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Bin Xiao}, title = {Security Protection and Checking in Embedded System Integration Against Buffer Overflow Attacks}, booktitle = {International Conference on Information Technology: Coding and Computing (ITCC'04), Volume 1, April 5-7, 2004, Las Vegas, Nevada, {USA}}, pages = {409--413}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ITCC.2004.1286489}, doi = {10.1109/ITCC.2004.1286489}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/ShaoXZSX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isca/ShaOP03, author = {Edwin Hsing{-}Mean Sha and Timothy W. O'Neil and Nelson L. Passos}, title = {Efficient Polynomial-Time Nested Loop Fusion with Full Parallelism}, journal = {Int. J. Comput. Their Appl.}, volume = {10}, number = {1}, pages = {9--24}, year = {2003}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isca/ShaOP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ZhugeXS03, author = {Qingfeng Zhuge and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Code size reduction technique and implementation for software-pipelined {DSP} applications}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {4}, pages = {590--613}, year = {2003}, url = {https://doi.org/10.1145/950162.950168}, doi = {10.1145/950162.950168}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/ZhugeXS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/XuZS03, author = {Cathy Qun Xu and Youtao Zhang and Edwin Hsing{-}Mean Sha}, editor = {Seong{-}Moo Yoo and Hee Yong Youn}, title = {Application-Specific Interconnection Network Design in Clustered {DSP} Processors}, booktitle = {Proceedings of the {ISCA} 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, {USA}}, pages = {69--75}, publisher = {{ISCA}}, year = {2003}, timestamp = {Mon, 09 Aug 2021 16:35:48 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/XuZS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/XiaoZSS03, author = {Bin Xiao and Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, editor = {Seong{-}Moo Yoo and Hee Yong Youn}, title = {Design and Analysis of Improved Shortest Path Tree Update for Network Routing}, booktitle = {Proceedings of the {ISCA} 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, {USA}}, pages = {82--87}, publisher = {{ISCA}}, year = {2003}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/XiaoZSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/ShaoZHS03, author = {Zili Shao and Qingfeng Zhuge and Yi He and Edwin Hsing{-}Mean Sha}, title = {Defending Embedded Systems Against Buffer Overflow via Hardware/Software}, booktitle = {19th Annual Computer Security Applications Conference {(ACSAC} 2003), 8-12 December 2003, Las Vegas, NV, {USA}}, pages = {352--361}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CSAC.2003.1254340}, doi = {10.1109/CSAC.2003.1254340}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/ShaoZHS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangHS03, author = {Zhong Wang and Xiaobo Sharon Hu and Edwin Hsing{-}Mean Sha}, editor = {Hiroto Yasuura}, title = {Register aware scheduling for distributed cache clustered architecture}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {71--76}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119787}, doi = {10.1145/1119772.1119787}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangHS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ZhugeSXS03, author = {Qingfeng Zhuge and Zili Shao and Bin Xiao and Edwin Hsing{-}Mean Sha}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {Design space minimization with timing and code size optimization for embedded {DSP}}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {144--149}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944685}, doi = {10.1145/944645.944685}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/ZhugeSXS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaoZSC03, author = {Zili Shao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chantana Chantrapornchai}, title = {Loop scheduling for minimizing schedule length and switching activities}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {109--112}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206201}, doi = {10.1109/ISCAS.2003.1206201}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShaoZSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhugeSC03, author = {Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chantana Chantrapornchai}, title = {An Integrated Framework of Design Optimization and Space Minimization for {DSP} applications}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {601--604}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206384}, doi = {10.1109/ISCAS.2003.1206384}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhugeSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/WangSW02, author = {Zhong Wang and Edwin Hsing{-}Mean Sha and Yuke Wang}, title = {Partitioning and Scheduling {DSP} Applications with Maximal Memory Access Hiding}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2002}, number = {9}, pages = {926--935}, year = {2002}, url = {https://doi.org/10.1155/S1110865702205041}, doi = {10.1155/S1110865702205041}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/WangSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ONeilS02, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, editor = {Kanad Ghose and Patrick H. Madden and Vivek De and Peter M. Kogge}, title = {Minimizing resources in a repeating schedule for a split-node data-flow graph}, booktitle = {Proceedings of the 12th {ACM} Great Lakes Symposium on {VLSI} 2002, New York, NY, USA, April 18-19, 2002}, pages = {136--141}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505306.505336}, doi = {10.1145/505306.505336}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ONeilS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ZhugeSS02, author = {Qingfeng Zhuge and Zili Shao and Edwin Hsing{-}Mean Sha}, title = {Optimal Code Size Reduction for Software-Pipelined Loops on {DSP} Applications}, booktitle = {31st International Conference on Parallel Processing {(ICPP} 2002), 20-23 August 2002, Vancouver, BC, Canada}, pages = {613--620}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPP.2002.1040919}, doi = {10.1109/ICPP.2002.1040919}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ZhugeSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ZhugeXS02, author = {Qingfeng Zhuge and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Variable Partitioning and Scheduling of Multiple Memory Architectures for {DSP}}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016516}, doi = {10.1109/IPDPS.2002.1016516}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ZhugeXS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhugeXS02, author = {Qingfeng Zhuge and Bin Xiao and Edwin Hsing{-}Mean Sha}, title = {Performance optimization of multiple memory architectures for {DSP}}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {469--472}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010742}, doi = {10.1109/ISCAS.2002.1010742}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhugeXS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/XiaoSCSZ02, author = {Bin Xiao and Zili Shao and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge}, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {Optimal Code Size Reduction for Software-Pipelined and Unfolded Loops}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {144--149}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISSS.2002.1227167}, doi = {10.1109/ISSS.2002.1227167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/XiaoSCSZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/XiaoZSC02, author = {Bin Xiao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha and Chantana Chantrapornchai}, editor = {Selim G. Akl and Teofilo F. Gonzalez}, title = {Analysis and Algorithms for Partitioning of Large-scale Adaptive Mobile Networks}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2002, November 4-6, 2002, Cambridge, {USA}}, pages = {302--308}, publisher = {{IASTED/ACTA} Press}, year = {2002}, timestamp = {Mon, 24 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdcs/XiaoZSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/ONeilS02, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, editor = {Selim G. Akl and Teofilo F. Gonzalez}, title = {Unfolding a Split-node Data-flow Graph}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2002, November 4-6, 2002, Cambridge, {USA}}, pages = {712--717}, publisher = {{IASTED/ACTA} Press}, year = {2002}, timestamp = {Tue, 26 Sep 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdcs/ONeilS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ONeilS01, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Retiming synchronous data-flow graphs to reduce execution time}, journal = {{IEEE} Trans. Signal Process.}, volume = {49}, number = {10}, pages = {2397--2407}, year = {2001}, url = {https://doi.org/10.1109/78.950794}, doi = {10.1109/78.950794}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ONeilS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/WangOS01, author = {Zhong Wang and Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Optimal loop scheduling for hiding memory latency based on two-level partitioning and prefetching}, journal = {{IEEE} Trans. Signal Process.}, volume = {49}, number = {11}, pages = {2853--2864}, year = {2001}, url = {https://doi.org/10.1109/78.960433}, doi = {10.1109/78.960433}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/WangOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuZS01, author = {Xiaobo Sharon Hu and Tao Zhou and Edwin Hsing{-}Mean Sha}, title = {Estimating probabilistic timing performance for real-time embedded systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {6}, pages = {833--844}, year = {2001}, url = {https://doi.org/10.1109/92.974897}, doi = {10.1109/92.974897}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HuZS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/WangOS01, author = {Zhong Wang and Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Minimizing Average Schedule Length under Memory Constraints by Optimal Partitioning and Prefetching}, journal = {J. {VLSI} Signal Process.}, volume = {27}, number = {3}, pages = {215--233}, year = {2001}, url = {https://doi.org/10.1023/A:1008114531225}, doi = {10.1023/A:1008114531225}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/WangOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/ONeilS01, author = {Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, editor = {Edwin Hsing{-}Mean Sha}, title = {On Retiming Synchronous Data-Flow Graphs}, booktitle = {Proceedings of the {ISCA} 14th International Conference on Parallel and Distributed Computing Systems, August 8-10, 2001, Richardson, Texas, {USA}}, pages = {103--108}, publisher = {{ISCA}}, year = {2001}, timestamp = {Mon, 09 Aug 2021 16:35:46 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/ONeilS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/XiaoZS01, author = {Bin Xiao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Edwin Hsing{-}Mean Sha}, title = {Efficient Update of Shortest Path Algorithms for Network Routing}, booktitle = {Proceedings of the {ISCA} 14th International Conference on Parallel and Distributed Computing Systems, August 8-10, 2001, Richardson, Texas, {USA}}, pages = {315--320}, publisher = {{ISCA}}, year = {2001}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/XiaoZS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/JiangWS01, author = {Yingtao Jiang and Yuke Wang and Edwin Hsing{-}Mean Sha}, editor = {Edwin Hsing{-}Mean Sha}, title = {Distributed Scaling Algorithm for {FFT} Computation Using Fixed-Point Arithmetic}, booktitle = {Proceedings of the {ISCA} 14th International Conference on Parallel and Distributed Computing Systems, August 8-10, 2001, Richardson, Texas, {USA}}, pages = {490--495}, publisher = {{ISCA}}, year = {2001}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/JiangWS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/WangSH01, author = {Zhong Wang and Edwin Hsing{-}Mean Sha and Xiaobo Hu}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {Combined partitioning and data padding for scheduling multiple loop nests}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {67--75}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502228}, doi = {10.1145/502217.502228}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/WangSH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/XiaoZS01, author = {Bin Xiao and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {Minimum dynamic update for shortest path tree construction}, booktitle = {Proceedings of the Global Telecommunications Conference, 2001. {GLOBECOM} '01, San Antonio, TX, USA, 25-29 November, 2001}, pages = {126--130}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/GLOCOM.2001.965092}, doi = {10.1109/GLOCOM.2001.965092}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/XiaoZS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WangSW01, author = {Zhong Wang and Edwin Hsing{-}Mean Sha and Yuke Wang}, editor = {Kaushik Roy and Sung{-}Mo Kang and Cheng{-}Kok Koh}, title = {Optimal partitioning and balanced scheduling with the maximal overlap of data footprints}, booktitle = {Proceedings of the 11th {ACM} Great Lakes Symposium on {VLSI} 2001, West Lafayette, Indiana, USA, 2001}, pages = {31--36}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/368122.368155}, doi = {10.1145/368122.368155}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WangSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XuS01, author = {Jin Xu and Edwin Hsing{-}Mean Sha}, title = {Implementing parallelism and scheduling data flow graphs on Java virtual machine}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {1157--1160}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.941128}, doi = {10.1109/ICASSP.2001.941128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/XuS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/WangJS01, author = {Yuke Wang and Yingtao Jiang and Edwin H.{-}M. Sha}, title = {On area-efficient low power array multipliers}, booktitle = {Proceedings of the 2001 8th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2001, Malta, September 2-5, 2001}, pages = {1429--1432}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICECS.2001.957483}, doi = {10.1109/ICECS.2001.957483}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/WangJS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/WangZS01, author = {Zhong Wang and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {Scheduling and partitioning for multiple loop nests}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {183--188}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISSS.2001.957936}, doi = {10.1109/ISSS.2001.957936}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/WangZS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ISCApdcs/2001, editor = {Edwin Hsing{-}Mean Sha}, title = {Proceedings of the {ISCA} 14th International Conference on Parallel and Distributed Computing Systems, August 8-10, 2001, Richardson, Texas, {USA}}, publisher = {{ISCA}}, year = {2001}, isbn = {1-880843-39-0}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChantrapornchaiSH00, author = {Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Xiaobo Sharon Hu}, title = {Efficient module selections for finding highly acceptable designs based on inclusion scheduling}, journal = {J. Syst. Archit.}, volume = {46}, number = {11}, pages = {1047--1071}, year = {2000}, url = {https://doi.org/10.1016/S1383-7621(00)00009-6}, doi = {10.1016/S1383-7621(00)00009-6}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ChantrapornchaiSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TongsimaSCSP00, author = {Sissades Tongsima and Edwin Hsing{-}Mean Sha and Chantana Chantrapornchai and David R. Surma and Nelson L. Passos}, title = {Probabilistic Loop Scheduling for Applications with Uncertain Execution Time}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {1}, pages = {65--80}, year = {2000}, url = {https://doi.org/10.1109/12.822565}, doi = {10.1109/12.822565}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/TongsimaSCSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChantrapornchaiSH00, author = {Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Xiaobo Sharon Hu}, title = {Efficient design exploration based on module utility selection}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {1}, pages = {19--29}, year = {2000}, url = {https://doi.org/10.1109/43.822617}, doi = {10.1109/43.822617}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChantrapornchaiSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenOS00, author = {Fei Chen and Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Optimizing Overall Loop Schedules Using Prefetching and Partitioning}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {6}, pages = {604--614}, year = {2000}, url = {https://doi.org/10.1109/71.862210}, doi = {10.1109/71.862210}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenOS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SurmaS00, author = {David R. Surma and Edwin Hsing{-}Mean Sha}, title = {Communication Reduction in Multiple Multicasts Based on Hybrid Static-Dynamic Scheduling}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {9}, pages = {865--878}, year = {2000}, url = {https://doi.org/10.1109/71.879771}, doi = {10.1109/71.879771}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SurmaS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/TongsimaOCS00, author = {Sissades Tongsima and Timothy W. O'Neil and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha}, title = {Properties and Algorithms for Unfolding of Probabilistic Data-Flow Graphs}, journal = {J. {VLSI} Signal Process.}, volume = {25}, number = {3}, pages = {215--233}, year = {2000}, url = {https://doi.org/10.1023/A:1008187622838}, doi = {10.1023/A:1008187622838}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/TongsimaOCS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangKS00, author = {Zhong Wang and Michael Kirkpatrick and Edwin Hsing{-}Mean Sha}, editor = {Giovanni De Micheli}, title = {Optimal two level partitioning and loop scheduling for hiding memory latency for {DSP} applications}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {540--545}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337571}, doi = {10.1145/337292.337571}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AndronacheSP00, author = {Virgil Andronache and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Design and analysis of efficient application-specific on-line page replacement techniques}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {123--128}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.331019}, doi = {10.1145/330855.331019}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AndronacheSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChantrapornchaiSH00, author = {Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Xiaobo Hu}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Efficient algorithms for acceptable design exploration}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {139--142}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.331022}, doi = {10.1145/330855.331022}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChantrapornchaiSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/DingFS00, author = {Jiangfeng Ding and Jon C. Furgeson and Edwin Hsing{-}Mean Sha}, title = {Application Specific Image Compression for Virtual Conferencing}, booktitle = {2000 International Symposium on Information Technology {(ITCC} 2000), 27-29 March 2000, Las Vegas, NV, {USA}}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ITCC.2000.844182}, doi = {10.1109/ITCC.2000.844182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/DingFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asian/ChantrapornchaiTS99, author = {Chantana Chantrapornchai and Sissades Tongsima and Edwin Hsing{-}Mean Sha}, editor = {P. S. Thiagarajan and Roland H. C. Yap}, title = {Rapid Prototyping Techniques for Fuzzy Controllers}, booktitle = {Advances in Computing Science - ASIAN'99, 5th Asian Computing Science Conference, Phuket, Thailand, December 10-12, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1742}, pages = {37--49}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-46674-6\_5}, doi = {10.1007/3-540-46674-6\_5}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/asian/ChantrapornchaiTS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ZhouHS99, author = {Tao Zhou and Xiaobo Sharon Hu and Edwin Hsing{-}Mean Sha}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {A probabilistic performance metric for real-time system design}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {90--94}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301494}, doi = {10.1145/301177.301494}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/ZhouHS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChantrapornchaiHH99, author = {Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Xiaobo Sharon Hu}, title = {Efficient Algorithms for Finding Highly Acceptable Designs Based on Module-Utility Selections}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {128--131}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757393}, doi = {10.1109/GLSV.1999.757393}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ChantrapornchaiHH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TongsimaOS99, author = {Sissades Tongsima and Timothy W. O'Neil and Edwin Hsing{-}Mean Sha}, title = {Unfolding probabilistic data-flow graphs under different timing models}, booktitle = {Proceedings of the 1999 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '99, Phoenix, Arizona, USA, March 15-19, 1999}, pages = {1889--1892}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICASSP.1999.758292}, doi = {10.1109/ICASSP.1999.758292}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/TongsimaOS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ONeilTS99, author = {Timothy W. O'Neil and Sissades Tongsima and Edwin Hsing{-}Mean Sha}, title = {Extended retiming: optimal scheduling via a graph-theoretical approach}, booktitle = {Proceedings of the 1999 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '99, Phoenix, Arizona, USA, March 15-19, 1999}, pages = {2001--2004}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICASSP.1999.758320}, doi = {10.1109/ICASSP.1999.758320}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ONeilTS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/ChenS99, author = {Fei Chen and Edwin Hsing{-}Mean Sha}, title = {Loop Scheduling and Partitions for Hiding Memory Latencies}, booktitle = {Proceedings of the 12th International Symposium on System Synthesis, {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999}, pages = {64--70}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISSS.1999.814262}, doi = {10.1109/ISSS.1999.814262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/ChenS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChandrakasanS98, author = {Anantha P. Chandrakasan and Edwin Hsing{-}Mean Sha}, title = {Special Section on Low-Power Electronics and Design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {4}, pages = {518--519}, year = {1998}, url = {https://doi.org/10.1109/TVLSI.1998.736122}, doi = {10.1109/TVLSI.1998.736122}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChandrakasanS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PassosS98, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Scheduling of uniform multidimensional systems under resource constraints}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {4}, pages = {719--730}, year = {1998}, url = {https://doi.org/10.1109/92.736145}, doi = {10.1109/92.736145}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PassosS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/TongsimaCSP98, author = {Sissades Tongsima and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, title = {Reducing Data Hazards on Multi-pipelined {DSP} Architecture with Loop Scheduling}, journal = {J. {VLSI} Signal Process.}, volume = {18}, number = {2}, pages = {111--123}, year = {1998}, url = {https://doi.org/10.1023/A:1008063207990}, doi = {10.1023/A:1008063207990}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/TongsimaCSP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WangYS98, author = {Kaisheng Wang and Ted Zhihong Yu and Edwin Hsing{-}Mean Sha}, title = {{RCRS:} {A} Framework for Loop Scheduling with Limited Number of Registers}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {386--391}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665328}, doi = {10.1109/GLSV.1998.665328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WangYS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuCS98, author = {Ted Zhihong Yu and Fei Chen and Edwin Hsing{-}Mean Sha}, title = {Loop scheduling algorithms for power reduction}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} '98, Seattle, Washington, USA, May 12-15, 1998}, pages = {3073--3076}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICASSP.1998.678175}, doi = {10.1109/ICASSP.1998.678175}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YuCS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/TianSCK98, author = {Yi Tian and Edwin Hsing{-}Mean Sha and Chantana Chantrapornchai and Peter M. Kogge}, title = {Optimizing Data Scheduling on Processor-in-Memory Arrays}, booktitle = {12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing {(IPPS/SPDP} '98), March 30 - April 3, 1998, Orlando, Florida, USA, Proceedings}, pages = {57--61}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/IPPS.1998.669890}, doi = {10.1109/IPPS.1998.669890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/TianSCK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/TongsimaCS98, author = {Sissades Tongsima and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {Probabilistic Loop Scheduling Considering Communication Overhead}, booktitle = {Job Scheduling Strategies for Parallel Processing, IPPS/SPDP'98 Workshop, Orlando, Florida, USA, March 30, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1459}, pages = {158--179}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0053986}, doi = {10.1007/BFB0053986}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/TongsimaCS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PassosSC97, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Liang{-}Fang Chao}, title = {Multidimensional interleaving for synchronous circuit design optimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {2}, pages = {146--159}, year = {1997}, url = {https://doi.org/10.1109/43.573829}, doi = {10.1109/43.573829}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PassosSC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChaoLS97, author = {Liang{-}Fang Chao and Andrea S. LaPaugh and Edwin Hsing{-}Mean Sha}, title = {Rotation scheduling: a loop pipelining algorithm}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {3}, pages = {229--239}, year = {1997}, url = {https://doi.org/10.1109/43.594829}, doi = {10.1109/43.594829}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChaoLS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChaoS97, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Scheduling Data-Flow Graphs via Retiming and Unfolding}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {8}, number = {12}, pages = {1259--1267}, year = {1997}, url = {https://doi.org/10.1109/71.640018}, doi = {10.1109/71.640018}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChaoS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/TongsimaSP97, author = {Sissades Tongsima and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, title = {Communication-sensitive loop scheduling for {DSP} applications}, journal = {{IEEE} Trans. Signal Process.}, volume = {45}, number = {5}, pages = {1309--1322}, year = {1997}, url = {https://doi.org/10.1109/78.575702}, doi = {10.1109/78.575702}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/TongsimaSP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/TongsimaCSP97, author = {Sissades Tongsima and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, title = {Scheduling with Confidence for Probabilistic Data-flow Graphs}, booktitle = {7th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '97), 13-15 March 1997, Urbana, IL, {USA}}, pages = {150--155}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/GLSV.1997.580528}, doi = {10.1109/GLSV.1997.580528}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/TongsimaCSP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/YuSPJ97, author = {Ted Zhihong Yu and Edwin Hsing{-}Mean Sha and Nelson L. Passos and Roy Dz{-}Ching Ju}, title = {Algorithm and Hardware Support for Branch Anticipation}, booktitle = {7th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '97), 13-15 March 1997, Urbana, IL, {USA}}, pages = {163}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/GLSV.1997.580532}, doi = {10.1109/GLSV.1997.580532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/YuSPJ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/TongsimaCSP97, author = {Sissades Tongsima and Chantana Chantrapornchai and Edwin Hsing{-}Mean Sha and Nelson L. Passos}, title = {Probabilistic Rotation: Scheduling Graphs with Uncertain Execution Time}, booktitle = {1997 International Conference on Parallel Processing {(ICPP} '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings}, pages = {292--297}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPP.1997.622658}, doi = {10.1109/ICPP.1997.622658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/TongsimaCSP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/SurmaS97, author = {David R. Surma and Edwin Hsing{-}Mean Sha}, editor = {Barrett R. Bryant and Janice H. Carroll and Dave Oppenheim and Jim Hightower and K. M. George}, title = {Hybrid static-dynamic communication scheduling for parallel systems}, booktitle = {Proceedings of the 1997 {ACM} symposium on Applied Computing, SAC'97, San Jose, CA, USA, February 28 - March 1}, pages = {374--379}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/331697.332313}, doi = {10.1145/331697.332313}, timestamp = {Tue, 06 Nov 2018 11:06:49 +0100}, biburl = {https://dblp.org/rec/conf/sac/SurmaS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangPS96, author = {Qingyan Wang and Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Optimal Data Scheduling for Uniform Multidimensional Applications}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {12}, pages = {1439--1444}, year = {1996}, url = {https://doi.org/10.1109/12.545974}, doi = {10.1109/12.545974}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WangPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PassosS96, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Achieving Full Parallelism Using Multidimensional Retiming}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {7}, number = {11}, pages = {1150--1163}, year = {1996}, url = {https://doi.org/10.1109/71.544356}, doi = {10.1109/71.544356}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PassosS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/PassosSB96, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Steven C. Bass}, title = {Optimizing {DSP} flow graphs via schedule-based multidimensional retiming}, journal = {{IEEE} Trans. Signal Process.}, volume = {44}, number = {1}, pages = {150--155}, year = {1996}, url = {https://doi.org/10.1109/78.482026}, doi = {10.1109/78.482026}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/PassosSB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SheligaS96, author = {Michael Sheliga and Edwin Hsing{-}Mean Sha}, title = {Hardware/Software co-design with the {HMS} framework}, journal = {J. {VLSI} Signal Process.}, volume = {13}, number = {1}, pages = {37--56}, year = {1996}, url = {https://doi.org/10.1007/BF00930666}, doi = {10.1007/BF00930666}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/SheligaS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/SurmaS96, author = {David R. Surma and Edwin Hsing{-}Mean Sha}, title = {Static Communication Scheduling for Minimizing Collisions in Application Specific Parallel Systems}, booktitle = {1996 International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} '96), August 19-23, 1996, Chicago, {IL} , {USA}}, pages = {240--249}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ASAP.1996.542819}, doi = {10.1109/ASAP.1996.542819}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/SurmaS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SheligaPS96, author = {Michael Sheliga and Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Fully Parallel Hardware/Software Codesign for Multi-Dimensional {DSP} Applications}, booktitle = {Proceedings of the Forth International Workshop on Hardware/Software Codesign, {CODES} 1996, Pittsburgh, PA, USA, March 18-20, 1996}, pages = {18--27}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.ieeecomputersociety.org/10.1109/HCS.1996.492222}, doi = {10.1109/HCS.1996.492222}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/SheligaPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PassosS96, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {A Parameterized Index-Generator for the Multi-Dimensional Interleaving Optimization}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497595}, doi = {10.1109/GLSV.1996.497595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PassosS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChantrapornchaiTS96, author = {Chantana Chantrapornchai and Sissades Tongsima and Edwin Hsing{-}Mean Sha}, title = {Rapid Prototyping for Fuzzy Systems}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497625}, doi = {10.1109/GLSV.1996.497625}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ChantrapornchaiTS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SheligaS96, author = {Michael Sheliga and Edwin Hsing{-}Mean Sha}, title = {Hardware/software co-design for {DSP} applications via the {HMS} framework}, booktitle = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, pages = {1248--1251}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICASSP.1996.543593}, doi = {10.1109/ICASSP.1996.543593}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SheligaS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SurmaTS96, author = {David R. Surma and Sissades Tongsima and Edwin Hsing{-}Mean Sha}, title = {Optimal communication scheduling based on collision graph model}, booktitle = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, pages = {3318--3321}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICASSP.1996.550587}, doi = {10.1109/ICASSP.1996.550587}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SurmaTS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PassosS96, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Synthesis of Multi-Dimensional Applications in {VHDL}}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {530--535}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563603}, doi = {10.1109/ICCD.1996.563603}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PassosS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ShaLP96, author = {Edwin Hsing{-}Mean Sha and Chenhua Lang and Nelson L. Passos}, editor = {Keshav Pingali}, title = {Polynomial-Time Nested Loop Fusion with Full Parallelism}, booktitle = {Proceedings of the 1996 International Conference on Parallel Processing, {ICCP} 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 3: Software}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPP.1996.538554}, doi = {10.1109/ICPP.1996.538554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ShaLP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChaoS95, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Static scheduling for synthesis of {DSP} algorithms on various models}, journal = {J. {VLSI} Signal Process.}, volume = {10}, number = {3}, pages = {207--223}, year = {1995}, url = {https://doi.org/10.1007/BF02120029}, doi = {10.1007/BF02120029}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ChaoS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PassosSC95, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Liang{-}Fang Chao}, title = {Optimizing synchronous systems for multi-dimensional applications}, booktitle = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EDTC.1995.470420}, doi = {10.1109/EDTC.1995.470420}, timestamp = {Fri, 20 May 2022 15:41:46 +0200}, biburl = {https://dblp.org/rec/conf/date/PassosSC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SheligaS95, author = {Michael Sheliga and Edwin Hsing{-}Mean Sha}, title = {Bus minimization and scheduling of multi-chip systems}, booktitle = {5th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '95), March 16-18, 1995, The State University of New York at Buffalo, {USA}}, pages = {40--45}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/GLSV.1995.516021}, doi = {10.1109/GLSV.1995.516021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/SheligaS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZhaoSS95, author = {Hai Zhao and Nicole Marie Sabine and Edwin Hsing{-}Mean Sha}, title = {Improving self-timed pipeline ring performance through the addition of buffer loops}, booktitle = {5th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '95), March 16-18, 1995, The State University of New York at Buffalo, {USA}}, pages = {218--223}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/GLSV.1995.516056}, doi = {10.1109/GLSV.1995.516056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ZhaoSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PassosS95, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Memory/time optimization of 2-D filters}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {3223--3226}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.479571}, doi = {10.1109/ICASSP.1995.479571}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/PassosS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChaoS95, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Rate-optimal scheduling for cyclo-static and periodic schedules}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {3231--3234}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.479573}, doi = {10.1109/ICASSP.1995.479573}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChaoS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PassosS95, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, editor = {Richard L. Rudell}, title = {Push-up scheduling: Optimal polynomial-time resource constrained scheduling for multi-dimensional applications}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {588--591}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480176}, doi = {10.1109/ICCAD.1995.480176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PassosS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PassesSC95, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Liang{-}Fang Chao}, title = {Multi-dimensional interleaving for time-and-memory design optimization}, booktitle = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI} in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}, pages = {440--445}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICCD.1995.528905}, doi = {10.1109/ICCD.1995.528905}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PassesSC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/TongsimaPS95, author = {Sissades Tongsima and Nelson L. Passos and Edwin Hsing{-}Mean Sha}, editor = {Constantine D. Polychronopoulos}, title = {Architecture-Dependent Loop Scheduling via Communication-Sensitive Remapping}, booktitle = {Proceedings of the 1995 International Conference on Parallel Processing, Urbana-Champain, Illinois, USA, August 14-18, 1995. Volume {II:} Software}, pages = {97--104}, publisher = {{CRC} Press}, year = {1995}, timestamp = {Fri, 25 Jul 2014 14:09:05 +0200}, biburl = {https://dblp.org/rec/conf/icpp/TongsimaPS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PassosSC95, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Liang{-}Fang Chao}, editor = {Constantine D. Polychronopoulos}, title = {Memory Efficient Fully Parallel Nested Loop Pipelining}, booktitle = {Proceedings of the 1995 International Conference on Parallel Processing, Urbana-Champain, Illinois, USA, August 14-18, 1995. Volume {II:} Software}, pages = {182--185}, publisher = {{CRC} Press}, year = {1995}, timestamp = {Fri, 25 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/PassosSC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/WangPS95, author = {Qingyan Wang and Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Multi-level partitioning and scheduling under local memory constraint}, booktitle = {Proceedings of the Seventh {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1995, San Antonio, Texas , USA, October 25-28, 1995}, pages = {612--619}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/SPDP.1995.530739}, doi = {10.1109/SPDP.1995.530739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/WangPS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PassosSB94, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Steven C. Bass}, editor = {Michael J. Lorenzetti}, title = {Loop Pipelining for Scheduling Multi-Dimensional Systems via Rotation}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {485--490}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196475}, doi = {10.1145/196244.196475}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PassosSB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TongsimaPS94, author = {Sissades Tongsima and Nelson L. Passos and Edwin Hsing{-}Mean Sha}, title = {Communication Sensitive Rotation Scheduling}, booktitle = {Proceedings 1994 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA, USA, October 10-12, 1994}, pages = {150--153}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICCD.1994.331876}, doi = {10.1109/ICCD.1994.331876}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TongsimaPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PassosS94, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha}, editor = {K. C. Tai}, title = {Full Parallelism in Uniform Nested Loops Using Multi-Dimensional Retiming}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {II:} Software}, pages = {130--133}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.114}, doi = {10.1109/ICPP.1994.114}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PassosS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/PassosSB94, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Steven C. Bass}, editor = {Howard Jay Siegel}, title = {Schedule-Based Multi-Dimensional Retiming on Data Flow Graphs}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {195--199}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/IPPS.1994.288301}, doi = {10.1109/IPPS.1994.288301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/PassosSB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PassosSB94, author = {Nelson L. Passos and Edwin Hsing{-}Mean Sha and Steven C. Bass}, title = {Partitioning and Retiming of Multi-Dimensional Systems}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {227--230}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.409238}, doi = {10.1109/ISCAS.1994.409238}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PassosSB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaoS94, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Retiming and Clock Skew for Synchronous Systems}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {283--286}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.408810}, doi = {10.1109/ISCAS.1994.408810}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaoS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/SheligaS94, author = {Michael Sheliga and Edwin Hsing{-}Mean Sha}, editor = {Pierre G. Paulin}, title = {Global node reduction of linear systems using ratio analysis}, booktitle = {Proceedings of the 7th International Symposium on High Level Synthesis, HLSS'94, Niagra-on-the-Lake, ON, Canada, May 18-20, 1994}, pages = {140--145}, publisher = {{ACM}}, year = {1994}, url = {https://doi.org/10.1109/ISHLS.1994.302329}, doi = {10.1109/ISHLS.1994.302329}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isss/SheligaS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/networks/ShaS93, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Maintaining bipartite matchings in the presence of failures}, journal = {Networks}, volume = {23}, number = {5}, pages = {459--471}, year = {1993}, url = {https://doi.org/10.1002/net.3230230503}, doi = {10.1002/NET.3230230503}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/networks/ShaS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShaS93, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Reconfigurability and Reliability of Systolic/Wavefront Arrays}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {7}, pages = {854--862}, year = {1993}, url = {https://doi.org/10.1109/12.237725}, doi = {10.1109/12.237725}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShaS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaoLS93, author = {Liang{-}Fang Chao and Andrea S. LaPaugh and Edwin Hsing{-}Mean Sha}, editor = {Alfred E. Dunlop}, title = {Rotation Scheduling: {A} Loop Pipelining Algorithm}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {566--572}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.165042}, doi = {10.1145/157485.165042}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaoLS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChaoS93, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Rate-optimal static scheduling for {DSP} data-flow programs}, booktitle = {Third Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, Kalamazoo, MI, USA, March 5-6, 1993}, pages = {80--84}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/GLSV.1993.224475}, doi = {10.1109/GLSV.1993.224475}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChaoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChaoS93, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Efficient retiming and unfolding}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '93, Minneapolis, Minnesota, USA, April 27-30, 1993}, pages = {421--424}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.ieeecomputersociety.org/10.1109/ICASSP.1993.319145}, doi = {10.1109/ICASSP.1993.319145}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChaoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChaoS93, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, editor = {Alok N. Choudhary and P. Bruce Berra}, title = {Unified Static Scheduling on Various Models}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {II:} Software}, pages = {231--235}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.183}, doi = {10.1109/ICPP.1993.183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ChaoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ShaS93, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Maintaining Bipartite Matchings in the Presence of Failures}, booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/IPPS.1993.262856}, doi = {10.1109/IPPS.1993.262856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ShaS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChaoS93, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Static Scheduling of Uniform Nested Loops}, booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993}, pages = {254--258}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/IPPS.1993.262890}, doi = {10.1109/IPPS.1993.262890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ChaoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ShaS92, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Error detection in arrays via dependency graphs}, journal = {J. {VLSI} Signal Process.}, volume = {4}, number = {4}, pages = {331--342}, year = {1992}, url = {https://doi.org/10.1007/BF00930644}, doi = {10.1007/BF00930644}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ShaS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChaoS92, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, title = {Unfolding and retiming data-flow {DSP} programs for {RISC} multiprocessor scheduling}, booktitle = {1992 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '92, San Francisco, California, USA, March 23-26, 1992}, pages = {565--568}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICASSP.1992.226557}, doi = {10.1109/ICASSP.1992.226557}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChaoS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShaS92, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Run-time error detection in arrays based on the data-dependency graph}, booktitle = {1992 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '92, San Francisco, California, USA, March 23-26, 1992}, pages = {625--628}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICASSP.1992.226542}, doi = {10.1109/ICASSP.1992.226542}, timestamp = {Mon, 29 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShaS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChaoS92, author = {Liang{-}Fang Chao and Edwin Hsing{-}Mean Sha}, editor = {Kang G. Shin}, title = {Retiming and Unfolding Data-Flow Graphs}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {II:} Software}, pages = {33--40}, publisher = {{CRC} Press}, year = {1992}, timestamp = {Mon, 28 Jul 2014 17:06:02 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChaoS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShaS91, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Reconfigurability and reliability of systolic/wavefront arrays}, booktitle = {1991 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '91, Toronto, Ontario, Canada, May 14-17, 1991}, pages = {1001--1004}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICASSP.1991.150511}, doi = {10.1109/ICASSP.1991.150511}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShaS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShaC91, author = {Edwin Hsing{-}Mean Sha and Liang{-}Fang Chao}, title = {Design for Easily Applying Test Vectors to Improve Delay Fault Coverage}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {500--503}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185315}, doi = {10.1109/ICCAD.1991.185315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShaC91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/ShaS91, author = {Edwin Hsing{-}Mean Sha and Kenneth Steiglitz}, title = {Explicit construction for reliable reconfigurable array architectures}, booktitle = {Proceedings of the Third {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1991, 2-5 December 1991, Dallas, Texas, {USA}}, pages = {640--647}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/SPDP.1991.218202}, doi = {10.1109/SPDP.1991.218202}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/ShaS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.