BibTeX records: Sumit Roy 0003

download as .bib file

@inproceedings{DBLP:conf/aspdac/WangR03,
  author       = {Qi Wang and
                  Sumit Roy},
  editor       = {Hiroto Yasuura},
  title        = {Power minimization by clock root gating},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {249--254},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119821},
  doi          = {10.1145/1119772.1119821},
  timestamp    = {Thu, 11 Mar 2021 17:04:51 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangR03,
  author       = {Qi Wang and
                  Sumit Roy},
  title        = {{RTL} Power Optimization with Gate-Level Accuracy},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {39--45},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257583},
  doi          = {10.1109/ICCAD.2003.1257583},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyBB99,
  author       = {Sumit Roy and
                  Krishna P. Belkhale and
                  Prithviraj Banerjee},
  editor       = {Mary Jane Irwin},
  title        = {An Approxmimate Algorithm for Delay-Constraint Technology Mapping},
  booktitle    = {Proceedings of the 36th Conference on Design Automation, New Orleans,
                  LA, USA, June 21-25, 1999},
  pages        = {367--372},
  publisher    = {{ACM} Press},
  year         = {1999},
  url          = {https://doi.org/10.1145/309847.309960},
  doi          = {10.1145/309847.309960},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyBB99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Roy98,
  author       = {Sumit Roy},
  title        = {Low-Power-Driven Synthesis Algorithms for Sequential and Combinational
                  Circuits},
  school       = {University of Illinois Urbana-Champaign, {USA}},
  year         = {1998},
  url          = {https://hdl.handle.net/2142/81274},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Roy98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RoyAB98,
  author       = {Sumit Roy and
                  Harm Arts and
                  Prithviraj Banerjee},
  editor       = {Patrick M. Dewilde and
                  Franz J. Rammig and
                  Gerry Musgrave},
  title        = {PowerShake: {A} Low Power Driven Clustering and Factoring Methodology
                  for Boolean Expressions},
  booktitle    = {1998 Design, Automation and Test in Europe {(DATE} '98), February
                  23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France},
  pages        = {967--968},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DATE.1998.655992},
  doi          = {10.1109/DATE.1998.655992},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RoyAB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RoyAB98,
  author       = {Sumit Roy and
                  Harm Arts and
                  Prithviraj Banerjee},
  editor       = {Hiroto Yasuura},
  title        = {PowerDrive: a fast, canonical {POWER} estimator for DRIVing synthEsis},
  booktitle    = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998},
  pages        = {601--606},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1145/288548.289094},
  doi          = {10.1145/288548.289094},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RoyAB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/0003AB98,
  author       = {Sumit Roy and
                  Harm Arts and
                  Prithviraj Banerjee},
  title        = {A low-power logic optimization methodology based on a fast power-driven
                  mapping},
  booktitle    = {International Conference on Computer Design: {VLSI} in Computers and
                  Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX,
                  {USA}},
  pages        = {175--181},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ICCD.1998.727039},
  doi          = {10.1109/ICCD.1998.727039},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/0003AB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/RoyBS98,
  author       = {Sumit Roy and
                  Prithviraj Banerjee and
                  Majid Sarrafzadeh},
  title        = {Partitioning sequential circuits for low power},
  booktitle    = {11th International Conference on {VLSI} Design {(VLSI} Design 1991),
                  4-7 January 1998, Chennai, India},
  pages        = {212--217},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ICVD.1998.646604},
  doi          = {10.1109/ICVD.1998.646604},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/RoyBS98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/HolmCPRKHB97,
  author       = {John G. Holm and
                  John A. Chandy and
                  Steven Parkes and
                  Sumit Roy and
                  Venkatram Krishnaswamy and
                  Gagan Hasteer and
                  Prithviraj Banerjee},
  editor       = {Steven J. Wallach and
                  Hans P. Zima},
  title        = {Performance Evaluation of Message-Driven Parallel {VLSI} {CAD} Applications
                  on General Purpose Multiprocessors},
  booktitle    = {Proceedings of the 11th international conference on Supercomputing,
                  {ICS} 1997, Vienna, Austria, July 7-11, 1997},
  pages        = {172--179},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/263580.263626},
  doi          = {10.1145/263580.263626},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/HolmCPRKHB97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/RoyB97,
  author       = {Sumit Roy and
                  Prithviraj Banerjee},
  title        = {A Comparison of Parallel Approaches for Algebraic Factorization in
                  Logic Synthesis},
  booktitle    = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5
                  April 1997, Geneva, Switzerland, Proceedings},
  pages        = {665--671},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/IPPS.1997.580973},
  doi          = {10.1109/IPPS.1997.580973},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/RoyB97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/DeCRPB95,
  author       = {Kaushik De and
                  John A. Chandy and
                  Sumit Roy and
                  Steven Parkes and
                  Prithviraj Banerjee},
  title        = {Parallel algorithms for logic synthesis using the {MIS} approach},
  booktitle    = {Proceedings of {IPPS} '95, The 9th International Parallel Processing
                  Symposium, April 25-28, 1995, Santa Barbara, California, {USA}},
  pages        = {579--585},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/IPPS.1995.395889},
  doi          = {10.1109/IPPS.1995.395889},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/DeCRPB95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics