BibTeX records: Partha Pratim Pande

download as .bib file

@article{DBLP:journals/dt/Pande24,
  author       = {Partha Pratim Pande},
  title        = {Ethics in Computing},
  journal      = {{IEEE} Des. Test},
  volume       = {41},
  number       = {1},
  pages        = {4},
  year         = {2024},
  url          = {https://doi.org/10.1109/MDAT.2023.3340991},
  doi          = {10.1109/MDAT.2023.3340991},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Pande24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande24a,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on the 2021 Workshop on Top Picks in Hardware and Embedded
                  Security},
  journal      = {{IEEE} Des. Test},
  volume       = {41},
  number       = {2},
  pages        = {4},
  year         = {2024},
  url          = {https://doi.org/10.1109/MDAT.2024.3358221},
  doi          = {10.1109/MDAT.2024.3358221},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Pande24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande24b,
  author       = {Partha Pratim Pande},
  title        = {Robust and Secure Systems},
  journal      = {{IEEE} Des. Test},
  volume       = {41},
  number       = {3},
  pages        = {4},
  year         = {2024},
  url          = {https://doi.org/10.1109/MDAT.2024.3373750},
  doi          = {10.1109/MDAT.2024.3373750},
  timestamp    = {Mon, 06 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande24b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-10522,
  author       = {Pratyush Dhingra and
                  Chukwufumnanya Ogbogu and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Ananth Kalyanaraman and
                  Partha Pratim Pande},
  title        = {FARe: Fault-Aware {GNN} Training on ReRAM-based {PIM} Accelerators},
  journal      = {CoRR},
  volume       = {abs/2401.10522},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.10522},
  doi          = {10.48550/ARXIV.2401.10522},
  eprinttype    = {arXiv},
  eprint       = {2401.10522},
  timestamp    = {Fri, 02 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-10522.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-19073,
  author       = {Harsh Sharma and
                  Gaurav Narang and
                  Janardhan Rao Doppa and
                  {\"{U}}mit Y. Ogras and
                  Partha Pratim Pande},
  title        = {Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning
                  Workloads},
  journal      = {CoRR},
  volume       = {abs/2403.19073},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.19073},
  doi          = {10.48550/ARXIV.2403.19073},
  eprinttype    = {arXiv},
  eprint       = {2403.19073},
  timestamp    = {Wed, 10 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-19073.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23,
  author       = {Partha Pratim Pande},
  title        = {Machine Learning for {CAD/EDA}},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {1},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2022.3227524},
  doi          = {10.1109/MDAT.2022.3227524},
  timestamp    = {Fri, 10 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23a,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on Testability and Dependability of Artificial Intelligence
                  Hardware},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {2},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3243862},
  doi          = {10.1109/MDAT.2023.3243862},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23b,
  author       = {Partha Pratim Pande},
  title        = {Approximate Computing: Challenges, Methodologies, Algorithms, and
                  Architectures for Dependable and Secure Systems},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {3},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3261801},
  doi          = {10.1109/MDAT.2023.3261801},
  timestamp    = {Sat, 29 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23c,
  author       = {Partha Pratim Pande},
  title        = {40th {IEEE} {VLSI} Test Symposium 2022},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {4},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3275049},
  doi          = {10.1109/MDAT.2023.3275049},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23d,
  author       = {Partha Pratim Pande},
  title        = {The 2022 Symposium on Integrated Circuits and Systems Design {(SBCCI}
                  2022)},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {5},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3292716},
  doi          = {10.1109/MDAT.2023.3292716},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23d.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande23e,
  author       = {Partha Pratim Pande},
  title        = {The 2023 Networks-on-Chip {(NOCS)} Symposium},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {6},
  pages        = {4},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3316128},
  doi          = {10.1109/MDAT.2023.3316128},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Pande23e.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YangYDPCL23,
  author       = {Xiaoxuan Yang and
                  Huanrui Yang and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty and
                  Hai Li},
  title        = {{ESSENCE:} Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware
                  ReRAM-Based In-Memory Training Systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {7},
  pages        = {2187--2199},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3216546},
  doi          = {10.1109/TCAD.2022.3216546},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YangYDPCL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OgboguAPJDCP23,
  author       = {Chukwufumnanya Ogbogu and
                  Aqeeb Iqbal Arka and
                  Lukas Pfromm and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {Accelerating Graph Neural Network Training on ReRAM-Based {PIM} Architectures
                  via Graph and Model Pruning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {8},
  pages        = {2703--2716},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3227879},
  doi          = {10.1109/TCAD.2022.3227879},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/OgboguAPJDCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/SharmaPTDOKP23,
  author       = {Harsh Sharma and
                  Lukas Pfromm and
                  Rasit Onur Topaloglu and
                  Janardhan Rao Doppa and
                  {\"{U}}mit Y. Ogras and
                  Ananth Kalyanaraman and
                  Partha Pratim Pande},
  title        = {Florets for Chiplets: Data Flow-aware High-Performance and Energy-efficient
                  Network-on-Interposer for {CNN} Inference Tasks},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {22},
  number       = {5s},
  pages        = {132:1--132:21},
  year         = {2023},
  url          = {https://doi.org/10.1145/3608098},
  doi          = {10.1145/3608098},
  timestamp    = {Wed, 24 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/SharmaPTDOKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/JoardarDLCP23,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Hai (Helen) Li and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {11},
  number       = {2},
  pages        = {303--317},
  year         = {2023},
  url          = {https://doi.org/10.1109/TETC.2022.3223630},
  doi          = {10.1109/TETC.2022.3223630},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/JoardarDLCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ChoudhuryXSKP23,
  author       = {Dwaipayan Choudhury and
                  Lizhi Xiang and
                  Aravind Sukumaran{-}Rajam and
                  Anantharaman Kalyanaraman and
                  Partha Pratim Pande},
  title        = {Accelerating Graph Computations on 3D NoC-Enabled {PIM} Architectures},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {28},
  number       = {3},
  pages        = {30:1--30:16},
  year         = {2023},
  url          = {https://doi.org/10.1145/3564290},
  doi          = {10.1145/3564290},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ChoudhuryXSKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/NarangDAKDP23,
  author       = {Gaurav Narang and
                  Aryan Deshwal and
                  Raid Ayoub and
                  Michael Kishinevsky and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Dynamic Power Management in Large Manycore Systems: {A} Learning-to-Search
                  Framework},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {28},
  number       = {5},
  pages        = {84:1--84:21},
  year         = {2023},
  url          = {https://doi.org/10.1145/3603501},
  doi          = {10.1145/3603501},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/NarangDAKDP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChoudhuryKP23,
  author       = {Dwaipayan Choudhury and
                  Ananth Kalyanaraman and
                  Partha Pande},
  title        = {GraphIte: Accelerating Iterative Graph Algorithms on ReRAM Architectures
                  via Approximate Computing},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137001},
  doi          = {10.23919/DATE56975.2023.10137001},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChoudhuryKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SharmaMDOP23,
  author       = {Harsh Sharma and
                  Sumit K. Mandal and
                  Janardhan Rao Doppa and
                  {\"{U}}mit Y. Ogras and
                  Partha Pratim Pande},
  title        = {Achieving Datacenter-scale Performance through Chiplet-based Manycore
                  Architectures},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137125},
  doi          = {10.23919/DATE56975.2023.10137125},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SharmaMDOP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/TungJPDLC23,
  author       = {Chung{-}Hsuan Tung and
                  Biresh Kumar Joardar and
                  Partha Pratim Pande and
                  Janardhan Rao Doppa and
                  Hai Helen Li and
                  Krishnendu Chakrabarty},
  title        = {Dynamic Task Remapping for Reliable {CNN} Training on ReRAM Crossbars},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137238},
  doi          = {10.23919/DATE56975.2023.10137238},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/TungJPDLC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/ChenJDPC23,
  author       = {Ching{-}Yuan Chen and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Attacking Memristor-Mapped Graph Neural Network by Inducing Slow-to-Write
                  Errors},
  booktitle    = {{IEEE} European Test Symposium, {ETS} 2023, Venezia, Italy, May 22-26,
                  2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ETS56758.2023.10174062},
  doi          = {10.1109/ETS56758.2023.10174062},
  timestamp    = {Fri, 14 Jul 2023 22:01:39 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/ChenJDPC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NarangAKDP23,
  author       = {Gaurav Narang and
                  Raid Ayoub and
                  Michael Kishinevsky and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Uncertainty-Aware Online Learning for Dynamic Power Management in
                  Large Manycore Systems},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244486},
  doi          = {10.1109/ISLPED58423.2023.10244486},
  timestamp    = {Mon, 25 Sep 2023 14:10:09 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NarangAKDP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OgboguADTPP23,
  author       = {Chukwufumnanya Ogbogu and
                  Madeleine Abernot and
                  Corentin Delacour and
                  Aida Todri{-}Sanial and
                  Sudeep Pasricha and
                  Partha Pratim Pande},
  title        = {Energy-Efficient Machine Learning Acceleration: From Technologies
                  to Circuits and Systems},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244360},
  doi          = {10.1109/ISLPED58423.2023.10244360},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/OgboguADTPP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OgboguMJDHCP23,
  author       = {Chukwufumnanya Ogbogu and
                  Soumen Mohapatra and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Deuk Heo and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {Energy-Efficient ReRAM-Based {ML} Training via Mixed Pruning and Reconfigurable
                  {ADC}},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244258},
  doi          = {10.1109/ISLPED58423.2023.10244258},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/OgboguMJDHCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-12182,
  author       = {Xueying Wu and
                  Edward Hanson and
                  Nansu Wang and
                  Qilin Zheng and
                  Xiaoxuan Yang and
                  Huanrui Yang and
                  Shiyu Li and
                  Feng Cheng and
                  Partha Pratim Pande and
                  Janardhan Rao Doppa and
                  Krishnendu Chakrabarty and
                  Hai Li},
  title        = {Block-Wise Mixed-Precision Quantization: Enabling High Efficiency
                  for Practical ReRAM-based {DNN} Accelerators},
  journal      = {CoRR},
  volume       = {abs/2310.12182},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.12182},
  doi          = {10.48550/ARXIV.2310.12182},
  eprinttype    = {arXiv},
  eprint       = {2310.12182},
  timestamp    = {Tue, 05 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-12182.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2312-11750,
  author       = {Harsh Sharma and
                  Pratyush Dhingra and
                  Janardhan Rao Doppa and
                  {\"{U}}mit Y. Ogras and
                  Partha Pratim Pande},
  title        = {A Heterogeneous Chiplet Architecture for Accelerating End-to-End Transformer
                  Models},
  journal      = {CoRR},
  volume       = {abs/2312.11750},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2312.11750},
  doi          = {10.48550/ARXIV.2312.11750},
  eprinttype    = {arXiv},
  eprint       = {2312.11750},
  timestamp    = {Tue, 16 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2312-11750.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande22,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on Near-Memory and In-Memory Processing},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {2},
  pages        = {4},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3147071},
  doi          = {10.1109/MDAT.2022.3147071},
  timestamp    = {Fri, 01 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande22a,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on Benchmarking Machine Learning Systems and Applications},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {3},
  pages        = {4},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3163348},
  doi          = {10.1109/MDAT.2022.3163348},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande22b,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on 2021 Top Picks in Hardware and Embedded Security},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {4},
  pages        = {4},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3180211},
  doi          = {10.1109/MDAT.2022.3180211},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande22b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande22c,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on Design and Test of Multidie Packages},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {5},
  pages        = {4},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3193629},
  doi          = {10.1109/MDAT.2022.3193629},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande22c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande22d,
  author       = {Partha Pratim Pande},
  title        = {Special Issue on {NOCS} 2022},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {6},
  pages        = {4},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3208504},
  doi          = {10.1109/MDAT.2022.3208504},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Pande22d.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChoudhurySKP22,
  author       = {Dwaipayan Choudhury and
                  Aravind Sukumaran{-}Rajam and
                  Ananth Kalyanaraman and
                  Partha Pratim Pande},
  title        = {High-Performance and Energy-Efficient 3D Manycore {GPU} Architecture
                  for Accelerating Graph Analytics},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {18},
  number       = {1},
  pages        = {18:1--18:19},
  year         = {2022},
  url          = {https://doi.org/10.1145/3482880},
  doi          = {10.1145/3482880},
  timestamp    = {Thu, 13 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/ChoudhurySKP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JoardarDDPC22,
  author       = {Biresh Kumar Joardar and
                  Aryan Deshwal and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {High-Throughput Training of Deep CNNs on ReRAM-Based Heterogeneous
                  Architectures via Optimized Normalization Layers},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {5},
  pages        = {1537--1549},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3083684},
  doi          = {10.1109/TCAD.2021.3083684},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JoardarDDPC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OgboguAJDLCP22,
  author       = {Chukwufumnanya Ogbogu and
                  Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Hai Helen Li and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {Accelerating Large-Scale Graph Neural Network Training on Crossbar
                  Diet},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {11},
  pages        = {3626--3637},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2022.3197342},
  doi          = {10.1109/TCAD.2022.3197342},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/OgboguAJDLCP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SharmaMDOP22,
  author       = {Harsh Sharma and
                  Sumit K. Mandal and
                  Janardhan Rao Doppa and
                  {\"{U}}mit Y. Ogras and
                  Partha Pratim Pande},
  title        = {{SWAP:} {A} Server-Scale Communication-Aware Chiplet-Based Manycore
                  {PIM} Accelerator},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {11},
  pages        = {4145--4156},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2022.3197500},
  doi          = {10.1109/TCAD.2022.3197500},
  timestamp    = {Tue, 06 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/SharmaMDOP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/ZhouTNHPKH22,
  author       = {Zhiyuan Zhou and
                  Nghia Tang and
                  Bai Nguyen and
                  Wookpyo Hong and
                  Partha Pratim Pande and
                  Ram K. Krishnamurthy and
                  Deukhyoun Heo},
  title        = {An Inductor-First Single-Inductor Multiple-Output Hybrid {DC-DC} Converter
                  With Integrated Flying Capacitor for SoC Applications},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {12},
  pages        = {4823--4836},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3198389},
  doi          = {10.1109/TCSI.2022.3198389},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/ZhouTNHPKH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ChoudhuryBSKP22,
  author       = {Dwaipayan Choudhury and
                  Reet Barik and
                  Aravind Sukumaran{-}Rajam and
                  Ananth Kalyanaraman and
                  Partha Pratim Pande},
  title        = {Software/Hardware Co-design of 3D NoC-based {GPU} Architectures for
                  Accelerated Graph Computations},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {27},
  number       = {6},
  pages        = {61:1--61:22},
  year         = {2022},
  url          = {https://doi.org/10.1145/3514354},
  doi          = {10.1145/3514354},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ChoudhuryBSKP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JoardarADP22,
  author       = {Biresh Kumar Joardar and
                  Aqeeb Iqbal Arka and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {Fault-Tolerant Deep Learning Using Regularization},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {159:1--159:6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3561120},
  doi          = {10.1145/3508352.3561120},
  timestamp    = {Tue, 06 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JoardarADP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/Pande22,
  author       = {Partha Pratim Pande},
  title        = {{EDAML} 2022 Invited Speaker 6: Reliable Processing-in-Memory based
                  Manycore Architectures for Deep Learning: From CNNs to GNNs},
  booktitle    = {{IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} Workshops 2022, Lyon, France, May 30 - June 3, 2022},
  pages        = {1187},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IPDPSW55747.2022.00199},
  doi          = {10.1109/IPDPSW55747.2022.00199},
  timestamp    = {Mon, 08 Aug 2022 16:44:20 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/Pande22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JoardarDPC22,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {NoC-enabled 3D Heterogeneous Manycore Systems for Big-Data Applications},
  booktitle    = {23rd International Symposium on Quality Electronic Design, {ISQED}
                  2022, Santa Clara, CA, USA, April 6-7, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISQED54688.2022.9806297},
  doi          = {10.1109/ISQED54688.2022.9806297},
  timestamp    = {Mon, 04 Jul 2022 17:06:19 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JoardarDPC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChatterjeeMKDP21,
  author       = {Anwesha Chatterjee and
                  Shouvik Musavvir and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Power Management of Monolithic 3D Manycore Chips with Inter-tier Process
                  Variations},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {13:1--13:19},
  year         = {2021},
  url          = {https://doi.org/10.1145/3430765},
  doi          = {10.1145/3430765},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ChatterjeeMKDP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JoardarDPLC21,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Hai Li and
                  Krishnendu Chakrabarty},
  title        = {AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous
                  3-D Architecture},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {5},
  pages        = {971--984},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3013194},
  doi          = {10.1109/TCAD.2020.3013194},
  timestamp    = {Thu, 29 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JoardarDPLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/JoardarD0CP21,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Hai Li and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {5s},
  pages        = {55:1--55:23},
  year         = {2021},
  url          = {https://doi.org/10.1145/3476986},
  doi          = {10.1145/3476986},
  timestamp    = {Fri, 26 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/JoardarD0CP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ArkaJKKDP21,
  author       = {Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Ryan Gary Kim and
                  Dae Hyun Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D
                  Vertical Integration},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {26},
  number       = {2},
  pages        = {16:1--16:21},
  year         = {2021},
  url          = {https://doi.org/10.1145/3424239},
  doi          = {10.1145/3424239},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ArkaJKKDP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ArkaJDPC21,
  author       = {Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Performance and Accuracy Tradeoffs for Training Graph Neural Networks
                  on ReRAM-Based Architectures},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {10},
  pages        = {1743--1756},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3110721},
  doi          = {10.1109/TVLSI.2021.3110721},
  timestamp    = {Wed, 03 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ArkaJDPC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DeshwalBBDP21,
  author       = {Aryan Deshwal and
                  Syrine Belakaria and
                  Ganapati Bhat and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Learning Pareto-Frontier Resource Management Policies for Heterogeneous
                  SoCs: An Information-Theoretic Approach},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {607--612},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586283},
  doi          = {10.1109/DAC18074.2021.9586283},
  timestamp    = {Fri, 12 Nov 2021 12:31:50 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DeshwalBBDP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JoardarADP21,
  author       = {Biresh Kumar Joardar and
                  Aqeeb Iqbal Arka and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {3D++: Unlocking the Next Generation of High-Performance and Energy-Efficient
                  Architectures using {M3D} Integration},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {158--163},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474219},
  doi          = {10.23919/DATE51398.2021.9474219},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/JoardarADP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ArkaDPJC21,
  author       = {Aqeeb Iqbal Arka and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Biresh Kumar Joardar and
                  Krishnendu Chakrabarty},
  title        = {ReGraphX: NoC-enabled 3D Heterogeneous ReRAM Architecture for Training
                  Graph Neural Networks},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1667--1672},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473949},
  doi          = {10.23919/DATE51398.2021.9473949},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ArkaDPJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ArkaJDPC21,
  author       = {Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {DARe: DropLayer-Aware Manycore ReRAM architecture for Training Graph
                  Neural Networks},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643511},
  doi          = {10.1109/ICCAD51958.2021.9643511},
  timestamp    = {Tue, 28 Dec 2021 12:29:05 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ArkaJDPC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayakodiDP21,
  author       = {Nitthilan Kannappan Jayakodi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {A General Hardware and Software Co-Design Framework for Energy-Efficient
                  Edge {AI}},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643557},
  doi          = {10.1109/ICCAD51958.2021.9643557},
  timestamp    = {Tue, 28 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JayakodiDP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JoardarADPLC21,
  author       = {Biresh Kumar Joardar and
                  Aqeeb Iqbal Arka and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Hai Li and
                  Krishnendu Chakrabarty},
  title        = {Heterogeneous Manycore Architectures Enabled by Processing-in-Memory
                  for Deep Learning: From CNNs to GNNs: {(ICCAD} Special Session Paper)},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643559},
  doi          = {10.1109/ICCAD51958.2021.9643559},
  timestamp    = {Sat, 18 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JoardarADPLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangBJYDPCL21,
  author       = {Xiaoxuan Yang and
                  Syrine Belakaria and
                  Biresh Kumar Joardar and
                  Huanrui Yang and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty and
                  Hai Helen Li},
  title        = {Multi-Objective Optimization of ReRAM Crossbars for Robust {DNN} Inferencing
                  under Stochastic Noise},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643444},
  doi          = {10.1109/ICCAD51958.2021.9643444},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YangBJYDPCL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2102-07959,
  author       = {Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {ReGraphX: NoC-enabled 3D Heterogeneous ReRAM Architecture for Training
                  Graph Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2102.07959},
  year         = {2021},
  url          = {https://arxiv.org/abs/2102.07959},
  eprinttype    = {arXiv},
  eprint       = {2102.07959},
  timestamp    = {Thu, 18 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-07959.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2103-12896,
  author       = {Nitthilan Kannappan Jayakodi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {{SETGAN:} Scale and Energy Trade-off GANs for Image Applications on
                  Mobile Platforms},
  journal      = {CoRR},
  volume       = {abs/2103.12896},
  year         = {2021},
  url          = {https://arxiv.org/abs/2103.12896},
  eprinttype    = {arXiv},
  eprint       = {2103.12896},
  timestamp    = {Tue, 06 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2103-12896.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-09282,
  author       = {Aryan Deshwal and
                  Syrine Belakaria and
                  Ganapati Bhat and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Learning Pareto-Frontier Resource Management Policies for Heterogeneous
                  SoCs: An Information-Theoretic Approach},
  journal      = {CoRR},
  volume       = {abs/2105.09282},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.09282},
  eprinttype    = {arXiv},
  eprint       = {2105.09282},
  timestamp    = {Mon, 31 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-09282.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-05437,
  author       = {Xiaoxuan Yang and
                  Syrine Belakaria and
                  Biresh Kumar Joardar and
                  Huanrui Yang and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty and
                  Hai Li},
  title        = {Multi-Objective Optimization of ReRAM Crossbars for Robust {DNN} Inferencing
                  under Stochastic Noise},
  journal      = {CoRR},
  volume       = {abs/2109.05437},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.05437},
  eprinttype    = {arXiv},
  eprint       = {2109.05437},
  timestamp    = {Fri, 26 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-05437.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2111-09272,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Hai Li and
                  Krishnendu Chakrabarty and
                  Partha Pratim Pande},
  title        = {ReaLPrune: ReRAM Crossbar-aware Lottery Ticket Pruned CNNs},
  journal      = {CoRR},
  volume       = {abs/2111.09272},
  year         = {2021},
  url          = {https://arxiv.org/abs/2111.09272},
  eprinttype    = {arXiv},
  eprint       = {2111.09272},
  timestamp    = {Fri, 26 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2111-09272.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/LiDPCQL20,
  author       = {Bing Li and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty and
                  Joe X. Qiu and
                  Hai (Helen) Li},
  title        = {3D-ReG: {A} 3D ReRAM-based Heterogeneous Architecture for Training
                  Deep Neural Networks},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {2},
  pages        = {20:1--20:24},
  year         = {2020},
  url          = {https://doi.org/10.1145/3375699},
  doi          = {10.1145/3375699},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/LiDPCQL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ArkaGDHP20,
  author       = {Aqeeb Iqbal Arka and
                  Srinivasan Gopal and
                  Janardhan Rao Doppa and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {Making a Case for Partially Connected 3D NoC: {NFIC} versus {TSV}},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {4},
  pages        = {41:1--41:17},
  year         = {2020},
  url          = {https://doi.org/10.1145/3394919},
  doi          = {10.1145/3394919},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/ArkaGDHP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/HongZNTKPH20,
  author       = {Wookpyo Hong and
                  Zhiyuan Zhou and
                  Bai Nguyen and
                  Nghia Tang and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Analysis and Design Method of Multiple-Output Switched-Capacitor Voltage
                  Regulators With a Reduced Number of Power Electronic Components},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {67-I},
  number       = {9},
  pages        = {3234--3247},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2020.2989152},
  doi          = {10.1109/TCSI.2020.2989152},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/HongZNTKPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/GopalDPH20,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Hybrid 3D Interconnect With 2x Bandwidth Density Employing Orthogonal
                  Simultaneous Bidirectional Signaling for 3D NoC},
  journal      = {{IEEE} Trans. Circuits Syst.},
  volume       = {67-I},
  number       = {11},
  pages        = {3919--3932},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2020.3013092},
  doi          = {10.1109/TCSI.2020.3013092},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/GopalDPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/MandalBDPO20,
  author       = {Sumit K. Mandal and
                  Ganapati Bhat and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  {\"{U}}mit Y. Ogras},
  title        = {An Energy-aware Online Learning Framework for Resource Management
                  in Heterogeneous Platforms},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {25},
  number       = {3},
  pages        = {28:1--28:26},
  year         = {2020},
  url          = {https://doi.org/10.1145/3386359},
  doi          = {10.1145/3386359},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/MandalBDPO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MusavvirCKKP20,
  author       = {Shouvik Musavvir and
                  Anwesha Chatterjee and
                  Ryan Gary Kim and
                  Dae Hyun Kim and
                  Partha Pratim Pande},
  title        = {Inter-Tier Process-Variation-Aware Monolithic 3-D NoC Design Space
                  Exploration},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {3},
  pages        = {686--699},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2954770},
  doi          = {10.1109/TVLSI.2019.2954770},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MusavvirCKKP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ZhouTNHPH20,
  author       = {Zhiyuan Zhou and
                  Nghia Tang and
                  Bai Noi Nguyen and
                  Wookpyo Hong and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Wide Output Voltage Range Single-Input-Multi-Output Hybrid {DC-DC}
                  Converter Achieving 87.5{\%} Peak Efficiency With a Fast Response
                  Time and Low Cross Regulation for {DVFS} Applications},
  booktitle    = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston,
                  MA, USA, March 22-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/CICC48029.2020.9075892},
  doi          = {10.1109/CICC48029.2020.9075892},
  timestamp    = {Mon, 04 May 2020 10:04:38 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/ZhouTNHPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayakodiDP20,
  author       = {Nitthilan Kanappan Jayakodi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {PETNet: Polycount and Energy Trade-off Deep Networks for Producing
                  3D Objects from Images},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218525},
  doi          = {10.1109/DAC18072.2020.9218525},
  timestamp    = {Wed, 14 Oct 2020 10:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/JayakodiDP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MandalODAKP20,
  author       = {Sumit K. Mandal and
                  {\"{U}}mit Y. Ogras and
                  Janardhan Rao Doppa and
                  Raid Zuhair Ayoub and
                  Michael Kishinevsky and
                  Partha Pratim Pande},
  title        = {Online Adaptive Learning for Runtime Resource Management of Heterogeneous
                  SoCs},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218604},
  doi          = {10.1109/DAC18072.2020.9218604},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MandalODAKP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JoardarJDLPC20,
  author       = {Biresh Kumar Joardar and
                  Nitthilan Kannappan Jayakodi and
                  Janardhan Rao Doppa and
                  Hai Li and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {{GRAMARCH:} {A} GPU-ReRAM based Heterogeneous Architecture for Neural
                  Image Segmentation},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {228--233},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116273},
  doi          = {10.23919/DATE48585.2020.9116273},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/JoardarJDLPC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhouBDHDPH20,
  author       = {Zhiyuan Zhou and
                  Syrine Belakaria and
                  Aryan Deshwal and
                  Wookpyo Hong and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Design of Multi-Output Switched-Capacitor Voltage Regulator via Machine
                  Learning},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {502--507},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116413},
  doi          = {10.23919/DATE48585.2020.9116413},
  timestamp    = {Thu, 25 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhouBDHDPH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MusavvirCKKDP20,
  author       = {Shouvik Musavvir and
                  Anwesha Chatterjee and
                  Ryan Gary Kim and
                  Dae Hyun Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Power, Performance, and Thermal Trade-offs in M3D-enabled Manycore
                  Chips},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1752--1757},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116345},
  doi          = {10.23919/DATE48585.2020.9116345},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MusavvirCKKDP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayakodiDP20,
  author       = {Nitthilan Kanappan Jayakodi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {{SETGAN:} Scale and Energy Trade-off GANs for Image Applications on
                  Mobile Platforms},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {23:1--23:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415675},
  doi          = {10.1145/3400302.3415675},
  timestamp    = {Mon, 18 Jan 2021 09:56:56 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JayakodiDP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-09526,
  author       = {Sumit K. Mandal and
                  Ganapati Bhat and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  {\"{U}}mit Y. Ogras},
  title        = {An Energy-Aware Online Learning Framework for Resource Management
                  in Heterogeneous Platforms},
  journal      = {CoRR},
  volume       = {abs/2003.09526},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.09526},
  eprinttype    = {arXiv},
  eprint       = {2003.09526},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-09526.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-09728,
  author       = {Sumit K. Mandal and
                  {\"{U}}mit Y. Ogras and
                  Janardhan Rao Doppa and
                  Raid Zuhair Ayoub and
                  Michael Kishinevsky and
                  Partha Pratim Pande},
  title        = {Online Adaptive Learning for Runtime Resource Management of Heterogeneous
                  SoCs},
  journal      = {CoRR},
  volume       = {abs/2008.09728},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.09728},
  eprinttype    = {arXiv},
  eprint       = {2008.09728},
  timestamp    = {Fri, 28 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-09728.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-00102,
  author       = {Aqeeb Iqbal Arka and
                  Biresh Kumar Joardar and
                  Ryan Gary Kim and
                  Dae Hyun Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D
                  Vertical Integration},
  journal      = {CoRR},
  volume       = {abs/2012.00102},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.00102},
  eprinttype    = {arXiv},
  eprint       = {2012.00102},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-00102.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/LeeDP19,
  author       = {Dongjin Lee and
                  Sourav Das and
                  Partha Pratim Pande},
  title        = {Analyzing power-thermal-performance trade-offs in a high-performance
                  3D NoC architecture},
  journal      = {Integr.},
  volume       = {65},
  pages        = {282--292},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2017.12.002},
  doi          = {10.1016/J.VLSI.2017.12.002},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/LeeDP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/JoardarKDPMM19,
  author       = {Biresh Kumar Joardar and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous
                  Manycore Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {68},
  number       = {6},
  pages        = {852--866},
  year         = {2019},
  url          = {https://doi.org/10.1109/TC.2018.2889053},
  doi          = {10.1109/TC.2018.2889053},
  timestamp    = {Fri, 31 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/JoardarKDPMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/DeshwalJJDP19,
  author       = {Aryan Deshwal and
                  Nitthilan Kannappan Jayakodi and
                  Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {{MOOS:} {A} Multi-Objective Design Space Exploration and Optimization
                  Framework for NoC Enabled Manycore Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {5s},
  pages        = {77:1--77:23},
  year         = {2019},
  url          = {https://doi.org/10.1145/3358206},
  doi          = {10.1145/3358206},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/DeshwalJJDP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeDDPC19,
  author       = {Dongjin Lee and
                  Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Impact of Electrostatic Coupling on Monolithic 3D-enabled Network
                  on Chip},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {6},
  pages        = {62:1--62:22},
  year         = {2019},
  url          = {https://doi.org/10.1145/3357158},
  doi          = {10.1145/3357158},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeDDPC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19,
  author       = {Massimo Alioto and
                  Magdy S. Abadir and
                  Tughrul Arslan and
                  Chirn Chye Boon and
                  Andreas Burg and
                  Chip{-}Hong Chang and
                  Meng{-}Fan Chang and
                  Yao{-}Wen Chang and
                  Poki Chen and
                  Pasquale Corsonello and
                  Paolo Crovetti and
                  Shiro Dosho and
                  Rolf Drechsler and
                  Ibrahim Abe M. Elfadel and
                  Ruonan Han and
                  Masanori Hashimoto and
                  Chun{-}Huat Heng and
                  Deukhyoun Heo and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Ajay Joshi and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Chulwoo Kim and
                  Tony Tae{-}Hyoung Kim and
                  Jaydeep Kulkarni and
                  Volkan Kursun and
                  Yoonmyung Lee and
                  Hai Helen Li and
                  Huawei Li and
                  Prabhat Mishra and
                  Baker Mohammad and
                  Mehran Mozaffari Kermani and
                  Makoto Nagata and
                  Koji Nii and
                  Partha Pratim Pande and
                  Bipul C. Paul and
                  Vasilis F. Pavlidis and
                  Jos{\'{e}} Pineda de Gyvez and
                  Ioannis Savidis and
                  Patrick Schaumont and
                  Fabio Sebastiano and
                  Anirban Sengupta and
                  Mingoo Seok and
                  Mircea R. Stan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Marian Verhelst and
                  Valerio Vignoli and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Jun Zhou and
                  Mark Zwolinski and
                  Stacey Weber},
  title        = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward
                  Trajectory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {2},
  pages        = {253--280},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2886389},
  doi          = {10.1109/TVLSI.2018.2886389},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MandalBPDPO19,
  author       = {Sumit K. Mandal and
                  Ganapati Bhat and
                  Chetan Arvind Patil and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  {\"{U}}mit Y. Ogras},
  title        = {Dynamic Resource Management of Heterogeneous Mobile Platforms via
                  Imitation Learning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2842--2854},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2926106},
  doi          = {10.1109/TVLSI.2019.2926106},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MandalBPDPO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HongNZTKPH19,
  author       = {Wookpyo Hong and
                  Bai Nguyen and
                  Zhiyuan Zhou and
                  Nghia Tang and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Dual-Output Step-Down Switched-Capacitor Voltage Regulator With
                  a Flying Capacitor Crossing Technique for Enhanced Power Efficiency},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2861--2871},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2930892},
  doi          = {10.1109/TVLSI.2019.2930892},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HongNZTKPH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JoardarKDP19,
  author       = {Biresh Kumar Joardar and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Design and Optimization of Heterogeneous Manycore Systems Enabled
                  by Emerging Interconnect Technologies: Promises and Challenges},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {138--143},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714832},
  doi          = {10.23919/DATE.2019.8714832},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JoardarKDP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JoardarLDLPC19,
  author       = {Biresh Kumar Joardar and
                  Bing Li and
                  Janardhan Rao Doppa and
                  Hai Li and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {{REGENT:} {A} Heterogeneous ReRAM/GPU-based Architecture Enabled by
                  NoC for Training CNNs},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {522--527},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714802},
  doi          = {10.23919/DATE.2019.8714802},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/JoardarLDLPC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KalyanaramanP19,
  author       = {Ananth Kalyanaraman and
                  Partha Pratim Pande},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {A Brief Survey of Algorithms, Architectures, and Challenges toward
                  Extreme-scale Graph Analytics},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1307--1312},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8715024},
  doi          = {10.23919/DATE.2019.8715024},
  timestamp    = {Mon, 20 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KalyanaramanP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/JoardarGPKK19,
  author       = {Biresh Kumar Joardar and
                  Priyanka Ghosh and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman and
                  Sriram Krishnamoorthy},
  editor       = {Paul Bogdan and
                  Cristina Silvano},
  title        = {NoC-enabled software/hardware co-design framework for accelerating
                  \emph{k-mer} counting},
  booktitle    = {Proceedings of the 13th {IEEE/ACM} International Symposium on Networks-on-Chip,
                  {NOCS} 2019, New York, NY, USA, October 17-18, 2019},
  pages        = {4:1--4:8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3313231.3352367},
  doi          = {10.1145/3313231.3352367},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/JoardarGPKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1901-10584,
  author       = {Nitthilan Kannappan Jayakodi and
                  Anwesha Chatterjee and
                  Wonje Choi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Trading-off Accuracy and Energy of Deep Inference on Embedded Systems:
                  {A} Co-Design Approach},
  journal      = {CoRR},
  volume       = {abs/1901.10584},
  year         = {2019},
  url          = {http://arxiv.org/abs/1901.10584},
  eprinttype    = {arXiv},
  eprint       = {1901.10584},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1901-10584.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1906-04293,
  author       = {Shouvik Musavvir and
                  Anwesha Chatterjee and
                  Ryan Gary Kim and
                  Dae Hyun Kim and
                  Partha Pratim Pande},
  title        = {Inter-Tier Process Variation-Aware Monolithic 3D NoC Architectures},
  journal      = {CoRR},
  volume       = {abs/1906.04293},
  year         = {2019},
  url          = {http://arxiv.org/abs/1906.04293},
  eprinttype    = {arXiv},
  eprint       = {1906.04293},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1906-04293.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/KimDPMM18,
  author       = {Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Machine Learning and Manycore Systems Design: {A} Serendipitous Symbiosis},
  journal      = {Computer},
  volume       = {51},
  number       = {7},
  pages        = {66--77},
  year         = {2018},
  url          = {https://doi.org/10.1109/MC.2018.3011040},
  doi          = {10.1109/MC.2018.3011040},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/computer/KimDPMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/GopalABRAPH18,
  author       = {Srinivasan Gopal and
                  Pawan Agarwal and
                  Joe Baylon and
                  Luke Renaud and
                  Sheikh Nijam Ali and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A Spatial Multi-Bit Sub-1-V Time-Domain Matrix Multiplier Interface
                  for Approximate Computing in 65-nm {CMOS}},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {8},
  number       = {3},
  pages        = {506--518},
  year         = {2018},
  url          = {https://doi.org/10.1109/JETCAS.2018.2852624},
  doi          = {10.1109/JETCAS.2018.2852624},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/GopalABRAPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/LeeDKDP18,
  author       = {Dongjin Lee and
                  Sourav Das and
                  Dae Hyun Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Design Space Exploration of 3D Network-on-Chip: {A} Sensitivity-based
                  Optimization Approach},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {14},
  number       = {3},
  pages        = {32:1--32:26},
  year         = {2018},
  url          = {https://doi.org/10.1145/3197567},
  doi          = {10.1145/3197567},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/LeeDKDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ChoiDKDPMM18,
  author       = {Wonje Choi and
                  Karthi Duraisamy and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {On-Chip Communication Network for Efficient Training of Deep Convolutional
                  Networks on Heterogeneous Manycore Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {67},
  number       = {5},
  pages        = {672--686},
  year         = {2018},
  url          = {https://doi.org/10.1109/TC.2017.2777863},
  doi          = {10.1109/TC.2017.2777863},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ChoiDKDPMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JayakodiCCDP18,
  author       = {Nitthilan Kannappan Jayakodi and
                  Anwesha Chatterjee and
                  Wonje Choi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Trading-Off Accuracy and Energy of Deep Inference on Embedded Systems:
                  {A} Co-Design Approach},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {11},
  pages        = {2881--2893},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2018.2857338},
  doi          = {10.1109/TCAD.2018.2857338},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JayakodiCCDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeDDPC18,
  author       = {Dongjin Lee and
                  Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Performance and Thermal Tradeoffs for Energy-Efficient Monolithic
                  3D Network-on-Chip},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {5},
  pages        = {60:1--60:25},
  year         = {2018},
  url          = {https://doi.org/10.1145/3223046},
  doi          = {10.1145/3223046},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeDDPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AgarwalKPH18,
  author       = {Pawan Agarwal and
                  Jong{-}Hoon Kim and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {Zero-Power Feed-Forward Spur Cancelation for Supply-Regulated {CMOS}
                  Ring PLLs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {4},
  pages        = {653--662},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2017.2788882},
  doi          = {10.1109/TVLSI.2017.2788882},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AgarwalKPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiDBDP18,
  author       = {Xian Li and
                  Karthi Duraisamy and
                  Paul Bogdan and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  title        = {Scalable Network-on-Chip Architectures for Brain-Machine Interface
                  Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {10},
  pages        = {1895--1907},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2843282},
  doi          = {10.1109/TVLSI.2018.2843282},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiDBDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BaylonYGMMPH18,
  author       = {Joe Baylon and
                  Xinmin Yu and
                  Srinivasan Gopal and
                  Reza Molavi and
                  Shahriar Mirabbasi and
                  Partha Pratim Pande and
                  Deukhyoun Heo},
  title        = {A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier
                  With Skewed Differential Topology for Wireless Network-on-Chip},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {11},
  pages        = {2406--2418},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2856890},
  doi          = {10.1109/TVLSI.2018.2856890},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BaylonYGMMPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GopalDAAHP18,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Pawan Agarwal and
                  Sheikh Nijam Ali and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {High-Performance and Small-Form Factor Near-Field Inductive Coupling
                  for 3-D NoC},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2921--2934},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2018.2865704},
  doi          = {10.1109/TVLSI.2018.2865704},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GopalDAAHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JoardarDP18,
  author       = {Biresh Kumar Joardar and
                  Karthi Duraisamy and
                  Partha Pratim Pande},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {High performance collective communication-aware 3D Network-on-Chip
                  architectures},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1351--1356},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342223},
  doi          = {10.23919/DATE.2018.8342223},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JoardarDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimDP18,
  author       = {Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande},
  editor       = {Iris Bahar},
  title        = {Machine learning for design space exploration and optimization of
                  manycore systems},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018},
  pages        = {48},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3240765.3243483},
  doi          = {10.1145/3240765.3243483},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KimDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JoardarDPMM18,
  author       = {Biresh Kumar Joardar and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  editor       = {Iris Bahar},
  title        = {Hybrid on-chip communication architectures for heterogeneous manycore
                  systems},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018},
  pages        = {62},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3240765.3243480},
  doi          = {10.1145/3240765.3243480},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JoardarDPMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/DasBDPKC18,
  author       = {Sourav Das and
                  Kanad Basu and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Ramesh Karri and
                  Krishnendu Chakrabarty},
  editor       = {Zhonghai Lu and
                  Sriram R. Vangal and
                  Jiang Xu and
                  Paul Bogdan},
  title        = {Abetting Planned Obsolescence by Aging 3D Networks-on-Chip},
  booktitle    = {Twelfth {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS}
                  2018, Torino, Italy, October 4-5, 2018},
  pages        = {10:1--10:8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NOCS.2018.8512162},
  doi          = {10.1109/NOCS.2018.8512162},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/DasBDPKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MakMP18,
  author       = {Terrence S. T. Mak and
                  Hiroki Matsutani and
                  Partha Pratim Pande},
  title        = {Special session on bringing cores closer together: The wireless revolution
                  in on-chip communication},
  booktitle    = {36th {IEEE} {VLSI} Test Symposium, {VTS} 2018, San Francisco, CA,
                  USA, April 22-25, 2018},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VTS.2018.8368638},
  doi          = {10.1109/VTS.2018.8368638},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MakMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1810-08869,
  author       = {Biresh Kumar Joardar and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Learning-based Application-Agnostic 3D NoC Design for Heterogeneous
                  Manycore Systems},
  journal      = {CoRR},
  volume       = {abs/1810.08869},
  year         = {2018},
  url          = {http://arxiv.org/abs/1810.08869},
  eprinttype    = {arXiv},
  eprint       = {1810.08869},
  timestamp    = {Wed, 31 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1810-08869.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiDBMWBHP17,
  author       = {Xian Li and
                  Karthi Duraisamy and
                  Joe Baylon and
                  Turbo Majumder and
                  Guopeng Wei and
                  Paul Bogdan and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  title        = {A Reconfigurable Wireless NoC for Large Scale Microbiome Community
                  Analysis},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {10},
  pages        = {1653--1666},
  year         = {2017},
  url          = {https://doi.org/10.1109/TC.2017.2706278},
  doi          = {10.1109/TC.2017.2706278},
  timestamp    = {Wed, 13 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LiDBMWBHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DasDPC17,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Design-Space Exploration and Optimization of an Energy-Efficient and
                  Reliable 3-D Small-World Network-on-Chip},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {5},
  pages        = {719--732},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2604288},
  doi          = {10.1109/TCAD.2016.2604288},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/DasDPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/Pande17,
  author       = {Partha Pratim Pande},
  title        = {Editorial},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {3},
  number       = {2},
  pages        = {70--71},
  year         = {2017},
  url          = {https://doi.org/10.1109/TMSCS.2017.2702241},
  doi          = {10.1109/TMSCS.2017.2702241},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/Pande17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/DasLCDPC17,
  author       = {Sourav Das and
                  Dongjin Lee and
                  Wonje Choi and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {VFI-Based Power Management to Enhance the Lifetime of High-Performance
                  3D NoCs},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {1},
  pages        = {7:1--7:26},
  year         = {2017},
  url          = {https://doi.org/10.1145/3092843},
  doi          = {10.1145/3092843},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/DasLCDPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChakrabartyABBC17,
  author       = {Krishnendu Chakrabarty and
                  Massimo Alioto and
                  Bevan M. Baas and
                  Chirn Chye Boon and
                  Meng{-}Fan Chang and
                  Naehyuck Chang and
                  Yao{-}Wen Chang and
                  Chip{-}Hong Chang and
                  Shih{-}Chieh Chang and
                  Poki Chen and
                  Masud H. Chowdhury and
                  Pasquale Corsonello and
                  Ibrahim Abe M. Elfadel and
                  Said Hamdioui and
                  Masanori Hashimoto and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Mehran Mozaffari Kermani and
                  Chulwoo Kim and
                  Tae{-}Hyoung Kim and
                  Jaydeep P. Kulkarni and
                  Eren Kursun and
                  Erik Larsson and
                  Hai (Helen) Li and
                  Huawei Li and
                  Patrick P. Mercier and
                  Prabhat Mishra and
                  Makoto Nagata and
                  Arun S. Natarajan and
                  Koji Nii and
                  Partha Pratim Pande and
                  Ioannis Savidis and
                  Mingoo Seok and
                  Sheldon X.{-}D. Tan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Miroslav N. Velev and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Stacey Weber Jackson},
  title        = {Editorial},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {1},
  pages        = {1--20},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2016.2638578},
  doi          = {10.1109/TVLSI.2016.2638578},
  timestamp    = {Fri, 02 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DuraisamyXBP17,
  author       = {Karthi Duraisamy and
                  Yuankun Xue and
                  Paul Bogdan and
                  Partha Pratim Pande},
  title        = {Multicast-Aware High-Performance Wireless Network-on-Chip Architectures},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {3},
  pages        = {1126--1139},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2016.2612647},
  doi          = {10.1109/TVLSI.2016.2612647},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DuraisamyXBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KimCCDPMM17,
  author       = {Ryan Gary Kim and
                  Wonje Choi and
                  Zhuo Chen and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Imitation Learning for Dynamic {VFI} Control in Large-Scale Manycore
                  Systems},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {9},
  pages        = {2458--2471},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2700726},
  doi          = {10.1109/TVLSI.2017.2700726},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KimCCDPMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DuraisamyP17,
  author       = {Karthi Duraisamy and
                  Partha Pratim Pande},
  title        = {Enabling High-Performance {SMART} NoC Architectures Using On-Chip
                  Wireless Links},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {12},
  pages        = {3495--3508},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2748884},
  doi          = {10.1109/TVLSI.2017.2748884},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DuraisamyP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/PasrichaDCTDJP17,
  author       = {Sudeep Pasricha and
                  Janardhan Rao Doppa and
                  Krishnendu Chakrabarty and
                  Saideep Tiku and
                  Daniel Dauwe and
                  Shi Jin and
                  Partha Pratim Pande},
  title        = {Data analytics enables energy-efficiency and robustness: from mobile
                  to manycores, datacenters, and networks (special session paper)},
  booktitle    = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS}
                  2017, Seoul, Republic of Korea, October 15-20, 2017},
  pages        = {27:1--27:10},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125502.3125560},
  doi          = {10.1145/3125502.3125560},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/PasrichaDCTDJP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuraisamyLPK17,
  author       = {Karthi Duraisamy and
                  Hao Lu and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {Accelerating Graph Community Detection with Approximate Updates via
                  an Energy-Efficient NoC},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {89:1--89:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062194},
  doi          = {10.1145/3061639.3062194},
  timestamp    = {Tue, 03 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DuraisamyLPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DuraisamyP17,
  author       = {Karthi Duraisamy and
                  Partha Pratim Pande},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Performance evaluation and design trade-offs for wireless-enabled
                  {SMART} NoC},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1360--1365},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927204},
  doi          = {10.23919/DATE.2017.7927204},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DuraisamyP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DasDPC17,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Robust TSV-based 3D NoC design to counteract electromigration and
                  crosstalk noise},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1366--1371},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927205},
  doi          = {10.23919/DATE.2017.7927205},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/DasDPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DasGHP17,
  author       = {Sourav Das and
                  Srinivasan Gopal and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  editor       = {Sri Parameswaran},
  title        = {Energy-efficient and robust 3D NoCs with contactless vertical links
                  (Invited paper)},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8501894},
  doi          = {10.1109/ICCAD.2017.8501894},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DasGHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DasDPC17,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Monolithic 3D-Enabled High Performance and Energy Efficient Network-on-Chip},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {233--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.43},
  doi          = {10.1109/ICCD.2017.43},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DasDPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeDP17,
  author       = {Dongjin Lee and
                  Sourav Das and
                  Partha Pratim Pande},
  title        = {Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {271--276},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918327},
  doi          = {10.1109/ISQED.2017.7918327},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeDP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GopalDHP17,
  author       = {Srinivasan Gopal and
                  Sourav Das and
                  Deukhyoun Heo and
                  Partha Pratim Pande},
  editor       = {Axel Jantsch and
                  Hiroki Matsutani and
                  Zhonghai Lu and
                  {\"{U}}mit Y. Ogras},
  title        = {Energy and Area Efficient Near Field Inductive Coupling: {A} Case
                  Study on 3D NoC},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on
                  Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19
                  - 20, 2017},
  pages        = {5:1--5:8},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3130218.3130224},
  doi          = {10.1145/3130218.3130224},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/GopalDHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/JoardarCKDPMM17,
  author       = {Biresh Kumar Joardar and
                  Wonje Choi and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  editor       = {Axel Jantsch and
                  Hiroki Matsutani and
                  Zhonghai Lu and
                  {\"{U}}mit Y. Ogras},
  title        = {3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating
                  {CNN} Training: Performance and Thermal Trade-offs},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on
                  Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19
                  - 20, 2017},
  pages        = {18:1--18:8},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3130218.3130219},
  doi          = {10.1145/3130218.3130219},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/JoardarCKDPMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1712-00076,
  author       = {Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Machine Learning and Manycore Systems Design: {A} Serendipitous Symbiosis},
  journal      = {CoRR},
  volume       = {abs/1712.00076},
  year         = {2017},
  url          = {http://arxiv.org/abs/1712.00076},
  eprinttype    = {arXiv},
  eprint       = {1712.00076},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1712-00076.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1712-02293,
  author       = {Wonje Choi and
                  Karthi Duraisamy and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {On-Chip Communication Network for Efficient Training of Deep Convolutional
                  Networks on Heterogeneous Manycore Systems},
  journal      = {CoRR},
  volume       = {abs/1712.02293},
  year         = {2017},
  url          = {http://arxiv.org/abs/1712.02293},
  eprinttype    = {arXiv},
  eprint       = {1712.02293},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1712-02293.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fteda/KalyanaramanHCL16,
  author       = {Ananth Kalyanaraman and
                  Mahantesh Halappanavar and
                  Daniel G. Chavarr{\'{\i}}a{-}Miranda and
                  Hao Lu and
                  Karthi Duraisamy and
                  Partha Pratim Pande},
  title        = {Fast Uncovering of Graph Communities on a Chip: Toward Scalable Community
                  Detection on Multicore and Manycore Platforms},
  journal      = {Found. Trends Electron. Des. Autom.},
  volume       = {10},
  number       = {3},
  pages        = {145--247},
  year         = {2016},
  url          = {https://doi.org/10.1561/1000000044},
  doi          = {10.1561/1000000044},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/fteda/KalyanaramanHCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/Pande16,
  author       = {Partha Pratim Pande},
  title        = {Introduction to special issue on International Green Computing Conference
                  {(IGCC)} 2014},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {11},
  pages        = {1--2},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.suscom.2016.06.001},
  doi          = {10.1016/J.SUSCOM.2016.06.001},
  timestamp    = {Tue, 25 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/suscom/Pande16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/LuPS16,
  author       = {Teng Lu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {A dynamic, compiler guided {DVFS} mechanism to achieve energy-efficiency
                  in multi-core processors},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {12},
  pages        = {1--9},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.suscom.2016.04.003},
  doi          = {10.1016/J.SUSCOM.2016.04.003},
  timestamp    = {Tue, 25 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/suscom/LuPS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/KimCLMPMM16,
  author       = {Ryan Gary Kim and
                  Wonje Choi and
                  Guangshuo Liu and
                  Ehsan Mohandesi and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation
                  and Design Trade-Offs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {4},
  pages        = {1323--1336},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2441721},
  doi          = {10.1109/TC.2015.2441721},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/KimCLMPMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MineoPAPC16,
  author       = {Andrea Mineo and
                  Maurizio Palesi and
                  Giuseppe Ascia and
                  Partha Pratim Pande and
                  Vincenzo Catania},
  title        = {On-Chip Communication Energy Reduction Through Reliability Aware Adaptive
                  Voltage Swing Scaling},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {11},
  pages        = {1769--1782},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2016.2524556},
  doi          = {10.1109/TCAD.2016.2524556},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MineoPAPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/DuraisamyLPK16,
  author       = {Karthi Duraisamy and
                  Hao Lu and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {High-Performance and Energy-Efficient Network-on-Chip Architectures
                  for Graph Analytics},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {15},
  number       = {4},
  pages        = {66:1--66:26},
  year         = {2016},
  url          = {https://doi.org/10.1145/2961027},
  doi          = {10.1145/2961027},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/DuraisamyLPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/Pande16,
  author       = {Partha Pratim Pande},
  title        = {Editorial},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {2},
  number       = {1},
  pages        = {1},
  year         = {2016},
  url          = {https://doi.org/10.1109/TMSCS.2016.2541558},
  doi          = {10.1109/TMSCS.2016.2541558},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/Pande16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KimCCPMM16,
  author       = {Ryan Gary Kim and
                  Wonje Choi and
                  Zhuo Chen and
                  Partha Pratim Pande and
                  Diana Marculescu and
                  Radu Marculescu},
  title        = {Wireless NoC and Dynamic {VFI} Codesign: Energy Efficiency Without
                  Performance Penalty},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {7},
  pages        = {2488--2501},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2512611},
  doi          = {10.1109/TVLSI.2015.2512611},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KimCCPMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiDBMP16,
  author       = {Xian Li and
                  Karthi Duraisamy and
                  Paul Bogdan and
                  Turbo Majumder and
                  Partha Pratim Pande},
  title        = {Network-on-Chip-Enabled Multicore Platforms for Parallel Model Predictive
                  Control},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {9},
  pages        = {2837--2850},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2016.2528121},
  doi          = {10.1109/TVLSI.2016.2528121},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiDBMP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BogdanPASH16,
  author       = {Paul Bogdan and
                  Partha Pratim Pande and
                  Hussam Amrouch and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {Power and thermal management in massive multicore chips: theoretical
                  foundation meets architectural innovation and resource allocation},
  booktitle    = {2016 International Conference on Compilers, Architectures and Synthesis
                  for Embedded Systems, {CASES} 2016, Pittsburgh, Pennsylvania, USA,
                  October 1-7, 2016},
  pages        = {4:1--4:2},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968455.2974013},
  doi          = {10.1145/2968455.2974013},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/BogdanPASH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ChoiDKDPMM16,
  author       = {Wonje Choi and
                  Karthi Duraisamy and
                  Ryan Gary Kim and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Radu Marculescu and
                  Diana Marculescu},
  title        = {Hybrid network-on-chip architectures for accelerating deep learning
                  kernels on heterogeneous manycore platforms},
  booktitle    = {2016 International Conference on Compilers, Architectures and Synthesis
                  for Embedded Systems, {CASES} 2016, Pittsburgh, Pennsylvania, USA,
                  October 1-7, 2016},
  pages        = {13:1--13:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968455.2968510},
  doi          = {10.1145/2968455.2968510},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/ChoiDKDPMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BogdanPPR16,
  author       = {Paul Bogdan and
                  Miroslav Pajic and
                  Partha Pratim Pande and
                  Vijay Raghunathan},
  title        = {Making the internet-of-things a reality: from smart models, sensing
                  and actuation to energy-efficient architectures},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis, {CODES} 2016,
                  Pittsburgh, Pennsylvania, USA, October 1-7, 2016},
  pages        = {25:1--25:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968456.2973272},
  doi          = {10.1145/2968456.2973272},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BogdanPPR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DasDPC16,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Reliability and performance trade-offs for 3D NoC-enabled multicore
                  chips},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {1429--1432},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459532/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DasDPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DasDPC16,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  editor       = {Frank Liu},
  title        = {Energy-efficient and reliable 3D network-on-chip (NoC): architectures
                  and optimization algorithms},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {57},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2980096},
  doi          = {10.1145/2966986.2980096},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DasDPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinPK16,
  author       = {Sheng{-}En David Lin and
                  Partha Pratim Pande and
                  Dae Hyun Kim},
  title        = {Optimization of dynamic power consumption in multi-tier gate-level
                  monolithic 3D ICs},
  booktitle    = {17th International Symposium on Quality Electronic Design, {ISQED}
                  2016, Santa Clara, CA, USA, March 15-16, 2016},
  pages        = {29--34},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISQED.2016.7479152},
  doi          = {10.1109/ISQED.2016.7479152},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PandeB16,
  author       = {Partha Pande and
                  J{\"{u}}rgen Becker},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {Tutorial 3A: Bringing cores closer together: The wireless revolution
                  in on-chip communication},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905411},
  doi          = {10.1109/SOCC.2016.7905411},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PandeB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/PandePM16,
  author       = {Partha Pratim Pande and
                  Sudeep Pasricha and
                  Hiroki Matsutani},
  title        = {The Future of NoCs: New Technologies and Architectures},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {53--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.150},
  doi          = {10.1109/VLSID.2016.150},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/PandePM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/DasDPC16,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  title        = {Design-Space Exploration and Optimization of an Energy-Efficient and
                  Reliable 3D Small-world Network-on-Chip},
  journal      = {CoRR},
  volume       = {abs/1608.06972},
  year         = {2016},
  url          = {http://arxiv.org/abs/1608.06972},
  eprinttype    = {arXiv},
  eprint       = {1608.06972},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/DasDPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MurrayTPHS15,
  author       = {Jacob Murray and
                  Nghia Tang and
                  Partha Pratim Pande and
                  Deukhyoun Heo and
                  Behrooz A. Shirazi},
  title        = {{DVFS} Pruning for Wireless NoC Architectures},
  journal      = {{IEEE} Des. Test},
  volume       = {32},
  number       = {2},
  pages        = {29--38},
  year         = {2015},
  url          = {https://doi.org/10.1109/MDAT.2014.2357397},
  doi          = {10.1109/MDAT.2014.2357397},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MurrayTPHS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/YuRMPH15,
  author       = {Xinmin Yu and
                  Hooman Rashtian and
                  Shahriar Mirabbasi and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo},
  title        = {An 18.7-Gb/s 60-GHz {OOK} Demodulator in 65-nm {CMOS} for Wireless
                  Network-on-Chip},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {62-I},
  number       = {3},
  pages        = {799--806},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSI.2014.2386751},
  doi          = {10.1109/TCSI.2014.2386751},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/YuRMPH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/Pande15,
  author       = {Partha Pratim Pande},
  title        = {Introduction to {IEEE} Transactions on Multiscale Computing Systems
                  {(TMSCS)}},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {1},
  number       = {1},
  pages        = {2--6},
  year         = {2015},
  url          = {https://doi.org/10.1109/TMSCS.2015.2470315},
  doi          = {10.1109/TMSCS.2015.2470315},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/Pande15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/DuraisamyLPK15,
  author       = {Karthi Duraisamy and
                  Hao Lu and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  editor       = {Ravi Iyer and
                  Siddharth Garg},
  title        = {High performance and energy efficient wireless NoC-enabled multicore
                  architectures for graph analytics},
  booktitle    = {2015 International Conference on Compilers, Architecture and Synthesis
                  for Embedded Systems, {CASES} 2015, Amsterdam, The Netherlands, October
                  4-9, 2015},
  pages        = {147--156},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CASES.2015.7324555},
  doi          = {10.1109/CASES.2015.7324555},
  timestamp    = {Tue, 03 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/DuraisamyLPK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuraisamyKCLPMM15,
  author       = {Karthi Duraisamy and
                  Ryan Gary Kim and
                  Wonje Choi and
                  Guangshuo Liu and
                  Partha Pratim Pande and
                  Radu Marculescu and
                  Diana Marculescu},
  title        = {Energy efficient MapReduce with VFI-enabled multicore platforms},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {6:1--6:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744835},
  doi          = {10.1145/2744769.2744835},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuraisamyKCLPMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MajumderPK15,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {On-chip network-enabled many-core architectures for computational
                  biology applications},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {259--264},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755809},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MajumderPK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MajumderLBP15,
  author       = {Turbo Majumder and
                  Xian Li and
                  Paul Bogdan and
                  Partha Pratim Pande},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {NoC-enabled multicore architectures for stochastic analysis of biomolecular
                  reactions},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {1102--1107},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2757068},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MajumderLBP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DasLKP15,
  author       = {Sourav Das and
                  Dongjin Lee and
                  Dae Hyun Kim and
                  Partha Pratim Pande},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Small-World Network Enabled Energy Efficient and Robust 3D NoC Architectures},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {133--138},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742085},
  doi          = {10.1145/2742060.2742085},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DasLKP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PandeKCCMM15,
  author       = {Partha Pratim Pande and
                  Ryan Gary Kim and
                  Wonje Choi and
                  Zhuo Chen and
                  Diana Marculescu and
                  Radu Marculescu},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core
                  Platforms Through Wireless NoC},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {165--169},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372565},
  doi          = {10.1109/ICCAD.2015.7372565},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PandeKCCMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DasDKPC15,
  author       = {Sourav Das and
                  Janardhan Rao Doppa and
                  Daehyun Kim and
                  Partha Pratim Pande and
                  Krishnendu Chakrabarty},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Optimizing 3D NoC Design for Energy Efficiency: {A} Machine Learning
                  Approach},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {705--712},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372639},
  doi          = {10.1109/ICCAD.2015.7372639},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DasDKPC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DuraisamyKP15,
  author       = {Karthi Duraisamy and
                  Ryan Gary Kim and
                  Partha Pratim Pande},
  title        = {Enhancing performance of wireless NoCs with distributed {MAC} protocols},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {406--411},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085460},
  doi          = {10.1109/ISQED.2015.7085460},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DuraisamyKP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/ChoiHKRHPS15,
  author       = {Wonje Choi and
                  Shervin Hajiamini and
                  Ryan Gary Kim and
                  Armin Rahimi and
                  Niloofar Hezarjaribi and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {Improving {EDP} in wireless NoC-enabled multicore chips via {DVFS}
                  pruning},
  booktitle    = {{IEEE} 58th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/MWSCAS.2015.7282204},
  doi          = {10.1109/MWSCAS.2015.7282204},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/ChoiHKRHPS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/nocs/2015,
  editor       = {Andr{\'{e}} Ivanov and
                  Diana Marculescu and
                  Partha Pratim Pande and
                  Jos{\'{e}} Flich and
                  Karthik Pattabiraman},
  title        = {Proceedings of the 9th International Symposium on Networks-on-Chip,
                  {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2786572},
  doi          = {10.1145/2786572},
  isbn         = {978-1-4503-3396-2},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MajumderPK14,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {Hardware Accelerators in Computational Biology: Application, Potential,
                  and Challenges},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {1},
  pages        = {8--18},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2013.2290118},
  doi          = {10.1109/MDAT.2013.2290118},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MajumderPK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MajumderPK14a,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {Wireless NoC Platforms With Dynamic Task Allocation for Maximum Likelihood
                  Phylogeny Reconstruction},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {3},
  pages        = {54--64},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2013.2288778},
  doi          = {10.1109/MDAT.2013.2288778},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MajumderPK14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/YuBWHPM14,
  author       = {Xinmin Yu and
                  Joe Baylon and
                  Paul Wettin and
                  Deuk Hyoun Heo and
                  Partha Pratim Pande and
                  Shahriar Mirabbasi},
  title        = {Architecture and Design of Multichannel Millimeter-Wave Wireless NoC},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {6},
  pages        = {19--28},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2322995},
  doi          = {10.1109/MDAT.2014.2322995},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/YuBWHPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChungTP14,
  author       = {Haera Chung and
                  Christof Teuscher and
                  Partha Pratim Pande},
  title        = {Design and Evaluation of Technology-Agnostic Heterogeneous Networks-on-Chip},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {10},
  number       = {3},
  pages        = {20:1--20:27},
  year         = {2014},
  url          = {https://doi.org/10.1145/2567666},
  doi          = {10.1145/2567666},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ChungTP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/MurrayLWPS14,
  author       = {Jacob Murray and
                  Teng Lu and
                  Paul Wettin and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {10},
  number       = {4},
  pages        = {27:1--27:27},
  year         = {2014},
  url          = {https://doi.org/10.1145/2600074},
  doi          = {10.1145/2600074},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/MurrayLWPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/MurrayKWPS14,
  author       = {Jacob Murray and
                  Ryan Gary Kim and
                  Paul Wettin and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {Performance Evaluation of Congestion-Aware Routing with {DVFS} on
                  a Millimeter-Wave Small-World Wireless NoC},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {11},
  number       = {2},
  pages        = {17:1--17:22},
  year         = {2014},
  url          = {https://doi.org/10.1145/2644816},
  doi          = {10.1145/2644816},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/MurrayKWPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WettinKMYPGH14,
  author       = {Paul Wettin and
                  Ryan Gary Kim and
                  Jacob Murray and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Amlan Ganguly and
                  Deuk Hyoun Heo},
  title        = {Design Space Exploration for Wireless NoCs Incorporating Irregular
                  Network Routing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {11},
  pages        = {1732--1745},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2351577},
  doi          = {10.1109/TCAD.2014.2351577},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WettinKMYPGH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/KimLWMMP14,
  author       = {Ryan Gary Kim and
                  Guangshuo Liu and
                  Paul Wettin and
                  Radu Marculescu and
                  Diana Marculescu and
                  Partha Pratim Pande},
  editor       = {Karam S. Chatha and
                  Rolf Ernst and
                  Anand Raghunathan and
                  Ravishankar R. Iyer},
  title        = {Energy-efficient VFI-partitioned multicore design using wireless NoC
                  architectures},
  booktitle    = {2014 International Conference on Compilers, Architecture and Synthesis
                  for Embedded Systems, {CASES} 2014, Uttar Pradesh, India, October
                  12-17, 2014},
  pages        = {3:1--3:9},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2656106.2656120},
  doi          = {10.1145/2656106.2656120},
  timestamp    = {Mon, 15 May 2023 22:11:16 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/KimLWMMP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WettinMKYPH14,
  author       = {Paul Wettin and
                  Jacob Murray and
                  Ryan Gary Kim and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Performance evaluation of wireless NoCs in presence of irregular network
                  routing strategies},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.285},
  doi          = {10.7873/DATE.2014.285},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WettinMKYPH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MurrayWKYPSH14,
  author       = {Jacob Murray and
                  Paul Wettin and
                  Ryan Gary Kim and
                  Xinmin Yu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi and
                  Deuk Hyoun Heo},
  title        = {Thermal hotspot reduction in mm-Wave wireless NoC architectures},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {645--652},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783388},
  doi          = {10.1109/ISQED.2014.6783388},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MurrayWKYPSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/MarculescuPHM14,
  author       = {Radu Marculescu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Hiroki Matsutani},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {Introduction to the special session on "Interconnect enhances
                  architecture: Evolution of wireless NoC from planar to 3D"},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008780},
  doi          = {10.1109/NOCS.2014.7008780},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/MarculescuPHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/KimMWPS14,
  author       = {Ryan Gary Kim and
                  Jacob Murray and
                  Paul Wettin and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {An energy-efficient millimeter-wave wireless NoC with congestion-aware
                  routing and {DVFS}},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {192--193},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008789},
  doi          = {10.1109/NOCS.2014.7008789},
  timestamp    = {Fri, 29 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/KimMWPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/NojehPI14,
  author       = {Alireza Nojeh and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {{T2B:} Carbon nanotubes and opportunities for wireless on-chip interconnect},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {xxxix--xli},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948884},
  doi          = {10.1109/SOCC.2014.6948884},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/NojehPI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PandeNI14,
  author       = {Partha Pratim Pande and
                  Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {{T1B:} Wireless NoC as interconnection backbone for multicore chips:
                  Promises and challenges},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {xxxvii--xxxviii},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948883},
  doi          = {10.1109/SOCC.2014.6948883},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PandeNI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ac/MurrayLPS13,
  author       = {Jacob Murray and
                  Teng Lu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {Sustainable DVFS-Enabled Multi-Core Architectures with On-Chip Wireless
                  Links},
  journal      = {Adv. Comput.},
  volume       = {88},
  pages        = {125--158},
  year         = {2013},
  url          = {https://doi.org/10.1016/B978-0-12-407725-6.00003-4},
  doi          = {10.1016/B978-0-12-407725-6.00003-4},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ac/MurrayLPS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/WettinVGP13,
  author       = {Paul Wettin and
                  Anuroop Vidapalapati and
                  Amlan Ganguly and
                  Partha Pratim Pande},
  title        = {Complex network-enabled robust wireless network-on-chip architectures},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {9},
  number       = {3},
  pages        = {24:1--24:19},
  year         = {2013},
  url          = {https://doi.org/10.1145/2491676},
  doi          = {10.1145/2491676},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/WettinVGP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/MajumderPK13,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {High-throughput, energy-efficient network-on-chip-based hardware accelerators},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {3},
  number       = {1},
  pages        = {36--46},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.suscom.2013.01.001},
  doi          = {10.1016/J.SUSCOM.2013.01.001},
  timestamp    = {Tue, 25 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/suscom/MajumderPK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/DebCYSCGPBH13,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Design of an Energy-Efficient CMOS-Compatible NoC Architecture with
                  Millimeter-Wave Wireless Interconnects},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {12},
  pages        = {2382--2396},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.224},
  doi          = {10.1109/TC.2012.224},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/DebCYSCGPBH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/WettinPHBDG13,
  author       = {Paul Wettin and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer and
                  Sujay Deb and
                  Amlan Ganguly},
  title        = {Design space exploration for reliable mm-wave wireless NoC architectures},
  booktitle    = {24th International Conference on Application-Specific Systems, Architectures
                  and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013},
  pages        = {79--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASAP.2013.6567554},
  doi          = {10.1109/ASAP.2013.6567554},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/WettinPHBDG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WettinMPSG13,
  author       = {Paul Wettin and
                  Jacob Murray and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi and
                  Amlan Ganguly},
  editor       = {Enrico Macii},
  title        = {Energy-efficient multicore chip design through cross-layer approach},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {725--730},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.156},
  doi          = {10.7873/DATE.2013.156},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WettinMPSG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/MurrayWPSNG13,
  author       = {Jacob Murray and
                  Paul Wettin and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi and
                  Nishad Nerurkar and
                  Amlan Ganguly},
  title        = {Evaluating effects of thermal management in wireless NoC-enabled multicore
                  architectures},
  booktitle    = {International Green Computing Conference, {IGCC} 2013, Arlington,
                  VA, USA, June 27-29, 2013, Proceedings},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/IGCC.2013.6604499},
  doi          = {10.1109/IGCC.2013.6604499},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/green/MurrayWPSNG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/MajumderPK13,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {Network-on-Chip with Long-Range Wireless Links for High-Throughput
                  Scientific Computation},
  booktitle    = {2013 {IEEE} International Symposium on Parallel {\&} Distributed
                  Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24,
                  2013},
  pages        = {781--790},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IPDPSW.2013.72},
  doi          = {10.1109/IPDPSW.2013.72},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/MajumderPK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MurrayHLPS13,
  author       = {Jacob Murray and
                  Rajath Hegde and
                  Teng Lu and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  title        = {Sustainable dual-level DVFS-enabled NoC with on-chip wireless links},
  booktitle    = {International Symposium on Quality Electronic Design, {ISQED} 2013,
                  Santa Clara, CA, USA, March 4-6, 2013},
  pages        = {135--142},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISQED.2013.6523601},
  doi          = {10.1109/ISQED.2013.6523601},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MurrayHLPS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/Pande13,
  author       = {Partha Pratim Pande},
  editor       = {Maurizio Palesi and
                  Terrence S. T. Mak and
                  Masoud Daneshtalab},
  title        = {Millimeter (mm)-wave wireless NoC as interconnection backbone for
                  multicore chips: promises and challenges},
  booktitle    = {Network on Chip Architectures, NoCArc '13, in conjunction with the
                  46th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  MICRO-46, Davis, CA, USA, December 7, 2013},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2536522.2536524},
  doi          = {10.1145/2536522.2536524},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/Pande13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:series/sci/GangulyPBN13,
  author       = {Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Alireza Nojeh},
  editor       = {Samee Ullah Khan and
                  Joanna Kolodziej and
                  Juan Li and
                  Albert Y. Zomaya},
  title        = {Sustainable and Reliable On-Chip Wireless Communication Infrastructure
                  for Massive Multi-core Systems},
  booktitle    = {Evolutionary Based Solutions for Green Computing},
  series       = {Studies in Computational Intelligence},
  volume       = {432},
  pages        = {187--225},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-30659-4\_7},
  doi          = {10.1007/978-3-642-30659-4\_7},
  timestamp    = {Sat, 30 Sep 2023 10:30:33 +0200},
  biburl       = {https://dblp.org/rec/series/sci/GangulyPBN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande12,
  author       = {Partha Pande},
  title        = {Test Technology {TC} Newsletter},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {29},
  number       = {1},
  pages        = {76--77},
  year         = {2012},
  url          = {https://doi.org/10.1109/MDT.2012.2185109},
  doi          = {10.1109/MDT.2012.2185109},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande12a,
  author       = {Partha Pande},
  title        = {Test Technology {TC} Newsletter},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {29},
  number       = {2},
  pages        = {107--108},
  year         = {2012},
  url          = {https://doi.org/10.1109/MDT.2012.2189154},
  doi          = {10.1109/MDT.2012.2189154},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pande12b,
  author       = {Partha Pande},
  title        = {Test Technology {TC} Newsletter},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {29},
  number       = {4},
  pages        = {103--104},
  year         = {2012},
  url          = {https://doi.org/10.1109/MDT.2012.2204924},
  doi          = {10.1109/MDT.2012.2204924},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pande12b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/DebGPBH12,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Wireless NoC as Interconnection Backbone for Multicore Chips: Promises
                  and Challenges},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {228--239},
  year         = {2012},
  url          = {https://doi.org/10.1109/JETCAS.2012.2193835},
  doi          = {10.1109/JETCAS.2012.2193835},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/DebGPBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChangDGYSPBH12,
  author       = {Kevin Chang and
                  Sujay Deb and
                  Amlan Ganguly and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Performance evaluation and design trade-offs for wireless network-on-chip
                  architectures},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {8},
  number       = {3},
  pages        = {23:1--23:25},
  year         = {2012},
  url          = {https://doi.org/10.1145/2287696.2287706},
  doi          = {10.1145/2287696.2287706},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ChangDGYSPBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/PandeG12,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly},
  title        = {Introduction to the special issue on sustainable and green computing
                  systems},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {8},
  number       = {4},
  pages        = {26:1--26:3},
  year         = {2012},
  url          = {https://doi.org/10.1145/2367736.2367737},
  doi          = {10.1145/2367736.2367737},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/PandeG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/MajumderSPK12,
  author       = {Turbo Majumder and
                  Souradip Sarkar and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {NoC-Based Hardware Accelerator for Breakpoint Phylogeny},
  journal      = {{IEEE} Trans. Computers},
  volume       = {61},
  number       = {6},
  pages        = {857--869},
  year         = {2012},
  url          = {https://doi.org/10.1109/TC.2011.100},
  doi          = {10.1109/TC.2011.100},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/MajumderSPK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MajumderBPK12,
  author       = {Turbo Majumder and
                  Michael Edward Borgens and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {On-Chip Network-Enabled Multicore Platforms Targeting Maximum Likelihood
                  Phylogeny Reconstruction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {7},
  pages        = {1061--1073},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2188401},
  doi          = {10.1109/TCAD.2012.2188401},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MajumderBPK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DebCCGPHB12,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {{CMOS} compatible many-core noc architectures with multi-channel millimeter-wave
                  wireless links},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {165--170},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206822},
  doi          = {10.1145/2206781.2206822},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DebCCGPHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MurrayKPS12,
  author       = {Jacob Murray and
                  John Klingner and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {Sustainable multi-core architecture with on-chip wireless links},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {263--266},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206845},
  doi          = {10.1145/2206781.2206845},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MurrayKPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DebCGYTPHB12,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Amlan Ganguly and
                  Xinmin Yu and
                  Christof Teuscher and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Benjamin Belzer},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {Design of an efficient NoC architecture using millimeter-wave wireless
                  links},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {165--172},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187490},
  doi          = {10.1109/ISQED.2012.6187490},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DebCGYTPHB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MurrayPS12,
  author       = {Jacob Murray and
                  Partha Pratim Pande and
                  Behrooz A. Shirazi},
  editor       = {Ramalingam Sridhar and
                  Norbert Schuhmann and
                  Kaijian Shi},
  title        = {DVFS-enabled sustainable wireless NoC architecture},
  booktitle    = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls,
                  NY, USA, September 12-14, 2012},
  pages        = {301--306},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SOCC.2012.6398326},
  doi          = {10.1109/SOCC.2012.6398326},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MurrayPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/crc/PandeGDC12,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Sujay Deb and
                  Kevin Chang},
  editor       = {Ishfaq Ahmad and
                  Sanjay Ranka},
  title        = {Energy-Efficient Network-on-Chip Architectures for Multi-Core Systems},
  booktitle    = {Handbook of Energy-Aware and Green Computing - Two Volume Set},
  pages        = {21--47},
  publisher    = {Chapman and Hall/CRC},
  year         = {2012},
  url          = {http://www.crcnetbase.com/doi/abs/10.1201/b16631-4},
  doi          = {10.1201/B16631-4},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/crc/PandeGDC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/PandeR11,
  author       = {Partha Pande and
                  Sanjay Ranka},
  title        = {Guest editorial},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {1},
  number       = {2},
  pages        = {89--90},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.suscom.2011.02.006},
  doi          = {10.1016/J.SUSCOM.2011.02.006},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/suscom/PandeR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/GangulyCDPBT11,
  author       = {Amlan Ganguly and
                  Kevin Chang and
                  Sujay Deb and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Christof Teuscher},
  title        = {Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore
                  Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {60},
  number       = {10},
  pages        = {1485--1502},
  year         = {2011},
  url          = {https://doi.org/10.1109/TC.2010.176},
  doi          = {10.1109/TC.2010.176},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/GangulyCDPBT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PandeCPMBMG11,
  author       = {Partha Pratim Pande and
                  Fabien Clermidy and
                  Diego Puschini and
                  Imen Mansouri and
                  Paul Bogdan and
                  Radu Marculescu and
                  Amlan Ganguly},
  title        = {Sustainability through massively integrated computing: Are we ready
                  to break the energy efficiency wall for single-chip platforms?},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1656--1661},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763263},
  doi          = {10.1109/DATE.2011.5763263},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PandeCPMBMG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/GangulyPBN11,
  author       = {Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Alireza Nojeh},
  title        = {A Unified Error Control Coding Scheme to Enhance the Reliability of
                  a Hybrid Wireless Network-on-Chip},
  booktitle    = {2011 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada,
                  October 3-5, 2011},
  pages        = {277--285},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DFT.2011.24},
  doi          = {10.1109/DFT.2011.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/GangulyPBN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GangulyWCP11,
  author       = {Amlan Ganguly and
                  Paul Wettin and
                  Kevin Chang and
                  Partha Pratim Pande},
  editor       = {Radu Marculescu and
                  Michael Kishinevsky and
                  Ran Ginosar and
                  Karam S. Chatha},
  title        = {Complex network inspired fault-tolerant NoC architectures with wireless
                  links},
  booktitle    = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Pittsburgh, Pennsylvania, USA, May 1-4, 2011},
  pages        = {169--176},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1145/1999946.1999974},
  doi          = {10.1145/1999946.1999974},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/GangulyWCP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbac-pad/MajumderPK11,
  author       = {Turbo Majumder and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  editor       = {Jean{-}Luc Gaudiot and
                  Alba C. M. A. Melo and
                  Alberto F. De Souza and
                  Lucia Catabriga},
  title        = {Accelerating Maximum Likelihood Based Phylogenetic Kernels Using Network-on-Chip},
  booktitle    = {23rd International Symposium on Computer Architecture and High Performance
                  Computing, {SBAC-PAD} 2011, Vit{\'{o}}ria, Esp{\'{\i}}rito
                  Santo, Brazil, October 26-29, 2011},
  pages        = {17--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/SBAC-PAD.2011.17},
  doi          = {10.1109/SBAC-PAD.2011.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbac-pad/MajumderPK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:series/icas/FeeroP11,
  author       = {Brett Stanley Feero and
                  Partha Pratim Pande},
  editor       = {Abbas Sheibanyrad and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and
                  Axel Jantsch},
  title        = {Three-Dimensional Networks-on-Chip: Performance Evaluation},
  booktitle    = {3D Integration for NoC-based SoC Architectures},
  series       = {Integrated Circuits and Systems},
  pages        = {115--145},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-1-4419-7618-5\_6},
  doi          = {10.1007/978-1-4419-7618-5\_6},
  timestamp    = {Mon, 06 May 2019 18:53:12 +0200},
  biburl       = {https://dblp.org/rec/series/icas/FeeroP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PandeV10,
  author       = {Partha Pratim Pande and
                  Sriram R. Vangal},
  title        = {Guest Editors' Introduction: Promises and Challenges of Novel Interconnect
                  Technologies},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {4},
  pages        = {6--9},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.87},
  doi          = {10.1109/MDT.2010.87},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PandeV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SarkarKPK10,
  author       = {Souradip Sarkar and
                  Gaurav Ramesh Kulkarni and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  title        = {Network-on-Chip Hardware Accelerators for Biological Sequence Alignment},
  journal      = {{IEEE} Trans. Computers},
  volume       = {59},
  number       = {1},
  pages        = {29--41},
  year         = {2010},
  url          = {https://doi.org/10.1109/TC.2009.133},
  doi          = {10.1109/TC.2009.133},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SarkarKPK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DebGCPBH10,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  editor       = {Fran{\c{c}}ois Charot and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Christophe Wolinski},
  title        = {Enhancing performance of network-on-chip architectures with millimeter-wave
                  wireless interconnects},
  booktitle    = {21st {IEEE} International Conference on Application-specific Systems
                  Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July
                  2010},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASAP.2010.5540799},
  doi          = {10.1109/ASAP.2010.5540799},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DebGCPBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/MajumderSPK10,
  author       = {Turbo Majumder and
                  Souradip Sarkar and
                  Partha Pratim Pande and
                  Ananth Kalyanaraman},
  editor       = {Fran{\c{c}}ois Charot and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Christophe Wolinski},
  title        = {An optimized NoC architecture for accelerating {TSP} kernels in breakpoint
                  median problem},
  booktitle    = {21st {IEEE} International Conference on Application-specific Systems
                  Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July
                  2010},
  pages        = {89--96},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASAP.2010.5540797},
  doi          = {10.1109/ASAP.2010.5540797},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/MajumderSPK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/MarculescuTP10,
  author       = {Radu Marculescu and
                  Christof Teuscher and
                  Partha Pratim Pande},
  editor       = {Tony Givargis and
                  Adam Donlin},
  title        = {Unconventional fabrics, architectures, and models for future multi-core
                  systems},
  booktitle    = {Proceedings of the 8th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10
                  Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010},
  pages        = {327--328},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878961.1879017},
  doi          = {10.1145/1878961.1879017},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/MarculescuTP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SarkarMKP10,
  author       = {Souradip Sarkar and
                  Turbo Majumder and
                  Ananth Kalyanaraman and
                  Partha Pratim Pande},
  title        = {Hardware accelerators for biocomputing: {A} survey},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {3789--3792},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537736},
  doi          = {10.1109/ISCAS.2010.5537736},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SarkarMKP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DebCGP10,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Amlan Ganguly and
                  Partha Pratim Pande},
  editor       = {Thomas B{\"{u}}chner and
                  Ramalingam Sridhar and
                  Andrew Marshall and
                  Norbert Schuhmann},
  title        = {Comparative performance evaluation of wireless and optical NoC architectures},
  booktitle    = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29,
                  2010, Las Vegas, NV, USA, Proceedings},
  pages        = {487--492},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/SOCC.2010.5784675},
  doi          = {10.1109/SOCC.2010.5784675},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DebCGP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/FeeroP09,
  author       = {Brett Feero and
                  Partha Pratim Pande},
  title        = {Networks-on-Chip in a Three-Dimensional Environment: {A} Performance
                  Evaluation},
  journal      = {{IEEE} Trans. Computers},
  volume       = {58},
  number       = {1},
  pages        = {32--45},
  year         = {2009},
  url          = {https://doi.org/10.1109/TC.2008.142},
  doi          = {10.1109/TC.2008.142},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/FeeroP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GangulyPB09,
  author       = {Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer},
  title        = {Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable
                  {NOC} Interconnects},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {11},
  pages        = {1626--1639},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2005722},
  doi          = {10.1109/TVLSI.2008.2005722},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GangulyPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GangulyCPBN09,
  author       = {Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Alireza Nojeh},
  title        = {Performance evaluation of wireless networks on chip architectures},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {350--355},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810319},
  doi          = {10.1109/ISQED.2009.4810319},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GangulyCPBN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/PandeGCT09,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Kevin Chang and
                  Christof Teuscher},
  editor       = {Maurizio Palesi and
                  Shashi Kumar},
  title        = {Hybrid wireless Network on Chip: a new paradigm in multi-core design},
  booktitle    = {Second International Workshop on Network on Chip Architectures, NoCArc
                  2009, In conjunction with the 42nd Annual {IEEE/ACM} International
                  Symposium on Microarchitecture (MICRO-42), December 12, 2009, New
                  York, NY, {USA}},
  pages        = {71--76},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1645213.1645230},
  doi          = {10.1145/1645213.1645230},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/PandeGCT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/CarloniPX09,
  author       = {Luca P. Carloni and
                  Partha Pande and
                  Yuan Xie},
  title        = {Networks-on-chip in emerging interconnect paradigms: Advantages and
                  challenges},
  booktitle    = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May
                  10-13 2009, La Jolla, CA, {USA.} Proceedings},
  pages        = {93--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/NOCS.2009.5071456},
  doi          = {10.1109/NOCS.2009.5071456},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/CarloniPX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/GangulyPBG08,
  author       = {Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Cristian Grecu},
  title        = {Design of Low Power {\&} Reliable Networks on Chip Through Joint
                  Crosstalk Avoidance and Multiple Error Correction Coding},
  journal      = {J. Electron. Test.},
  volume       = {24},
  number       = {1-3},
  pages        = {67--81},
  year         = {2008},
  url          = {https://doi.org/10.1007/s10836-007-5035-1},
  doi          = {10.1007/S10836-007-5035-1},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/GangulyPBG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/PandeGZG08,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Haibo Zhu and
                  Cristian Grecu},
  title        = {Energy reduction through crosstalk avoidance coding in networks on
                  chip},
  journal      = {J. Syst. Archit.},
  volume       = {54},
  number       = {3-4},
  pages        = {441--451},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.sysarc.2007.09.002},
  doi          = {10.1016/J.SYSARC.2007.09.002},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jsa/PandeGZG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PandeGBNI08,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Benjamin Belzer and
                  Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  title        = {Novel interconnect infrastructures for massive multicore chips - an
                  overview},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {2777--2780},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4542033},
  doi          = {10.1109/ISCAS.2008.4542033},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PandeGBNI08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GrecuISP07,
  author       = {Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Resve A. Saleh and
                  Partha Pratim Pande},
  title        = {Testing Network-on-Chip Communication Fabrics},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2201--2214},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.907263},
  doi          = {10.1109/TCAD.2007.907263},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GrecuISP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ZhuPG07,
  author       = {Haibo Zhu and
                  Partha Pratim Pande and
                  Cristian Grecu},
  title        = {Performance Evaluation of Adaptive Routing Algorithms for achieving
                  Fault Tolerance in NoC Fabrics},
  booktitle    = {{IEEE} International Conference on Application-Specific Systems, Architectures
                  and Processors, {ASAP} 2007, Montr{\'{e}}al, Qu{\'{e}}bec,
                  Canada, July 8-11, 2007},
  pages        = {42--47},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASAP.2007.4429956},
  doi          = {10.1109/ASAP.2007.4429956},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ZhuPG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/GrecuAPIS07,
  author       = {Cristian Grecu and
                  Lorena Anghel and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Resve A. Saleh},
  title        = {Essential Fault-Tolerance Metrics for NoC Infrastructures},
  booktitle    = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007),
                  8-11 July 2007, Heraklion, Crete, Greece},
  pages        = {37--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/IOLTS.2007.31},
  doi          = {10.1109/IOLTS.2007.31},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/GrecuAPIS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/PandeGFG07,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Brett Feero and
                  Cristian Grecu},
  title        = {Applicability of Energy Efficient Coding Methodology to Address Signal
                  Integrity in 3D NoC Fabrics},
  booktitle    = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007),
                  8-11 July 2007, Heraklion, Crete, Greece},
  pages        = {161--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/IOLTS.2007.18},
  doi          = {10.1109/IOLTS.2007.18},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/PandeGFG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/FeeroP07,
  author       = {Brett Feero and
                  Partha Pratim Pande},
  title        = {Performance Evaluation for Three-Dimensional Networks-On-Chip},
  booktitle    = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2007), May 9-11, 2007, Porto Alegre, Brazil},
  pages        = {305--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISVLSI.2007.79},
  doi          = {10.1109/ISVLSI.2007.79},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/FeeroP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GangulyPBG07,
  author       = {Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Cristian Grecu},
  title        = {Addressing Signal Integrity in Networks on Chip Interconnects through
                  Crosstalk-Aware Double Error Correction Coding},
  booktitle    = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2007), May 9-11, 2007, Porto Alegre, Brazil},
  pages        = {317--324},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISVLSI.2007.21},
  doi          = {10.1109/ISVLSI.2007.21},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GangulyPBG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GrecuIPJSOM07,
  author       = {Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Partha Pratim Pande and
                  Axel Jantsch and
                  Erno Salminen and
                  {\"{U}}mit Y. Ogras and
                  Radu Marculescu},
  title        = {Towards Open Network-on-Chip Benchmarks},
  booktitle    = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9
                  May 2007, Princeton, New Jersey, USA, Proceedings},
  pages        = {205},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/NOCS.2007.44},
  doi          = {10.1109/NOCS.2007.44},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/GrecuIPJSOM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/NyathiSP07,
  author       = {Jabulani Nyathi and
                  Souradip Sarkar and
                  Partha Pratim Pande},
  title        = {Multiple clock domain synchronization for network on chip architectures},
  booktitle    = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November
                  19-21, 2007},
  pages        = {291--294},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/SOCC.2007.4545477},
  doi          = {10.1109/SOCC.2007.4545477},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/NyathiSP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/SalehWMHGLPGI06,
  author       = {Resve A. Saleh and
                  Steven J. E. Wilton and
                  Shahriar Mirabbasi and
                  Alan J. Hu and
                  Mark R. Greenstreet and
                  Guy Lemieux and
                  Partha Pratim Pande and
                  Cristian Grecu and
                  Andr{\'{e}} Ivanov},
  title        = {System-on-Chip: Reuse and Integration},
  journal      = {Proc. {IEEE}},
  volume       = {94},
  number       = {6},
  pages        = {1050--1069},
  year         = {2006},
  url          = {https://doi.org/10.1109/JPROC.2006.873611},
  doi          = {10.1109/JPROC.2006.873611},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/SalehWMHGLPGI06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/GrecuISP06,
  author       = {Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Res Saleh and
                  Partha Pratim Pande},
  title        = {NoC Interconnect Yield Improvement Using Crosspoint Redundancy},
  booktitle    = {21th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2006), 4-6 October 2006, Arlington, Virginia,
                  {USA}},
  pages        = {457--465},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DFT.2006.46},
  doi          = {10.1109/DFT.2006.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/GrecuISP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/PandeGFBG06,
  author       = {Partha Pratim Pande and
                  Amlan Ganguly and
                  Brett Feero and
                  Benjamin Belzer and
                  Cristian Grecu},
  title        = {Design of Low power {\&} Reliable Networks on Chip through joint
                  crosstalk avoidance and forward error correction coding},
  booktitle    = {21th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2006), 4-6 October 2006, Arlington, Virginia,
                  {USA}},
  pages        = {466--476},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DFT.2006.22},
  doi          = {10.1109/DFT.2006.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/PandeGFBG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/PandeZGG06,
  author       = {Partha Pratim Pande and
                  Haibo Zhu and
                  Amlan Ganguly and
                  Cristian Grecu},
  title        = {Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm},
  booktitle    = {Ninth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik,
                  Croatia},
  pages        = {689--695},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DSD.2006.49},
  doi          = {10.1109/DSD.2006.49},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/PandeZGG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/GrecuISSP06,
  author       = {Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Res Saleh and
                  Egor S. Sogomonyan and
                  Partha Pratim Pande},
  title        = {On-line Fault Detection and Location for NoC Interconnects},
  booktitle    = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006),
                  10-12 July 2006, Como, Italy},
  pages        = {145--150},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/IOLTS.2006.44},
  doi          = {10.1109/IOLTS.2006.44},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/GrecuISSP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PandeZGG06,
  author       = {Partha Pratim Pande and
                  Haibo Zhu and
                  Amlan Ganguly and
                  Cristian Grecu},
  title        = {Crosstalk-aware Energy Reduction in NoC Communication Fabrics},
  booktitle    = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September
                  24-27, 2006},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/SOCC.2006.283886},
  doi          = {10.1109/SOCC.2006.283886},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PandeZGG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GrecuPIS06,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {{BIST} for Network-on-Chip Interconnect Infrastructures},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {30--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.22},
  doi          = {10.1109/VTS.2006.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GrecuPIS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PandeGISM05,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Resve A. Saleh and
                  Giovanni De Micheli},
  title        = {Design, Synthesis, and Test of Networks on Chips},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {22},
  number       = {5},
  pages        = {404--413},
  year         = {2005},
  url          = {https://doi.org/10.1109/MDT.2005.108},
  doi          = {10.1109/MDT.2005.108},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PandeGISM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/GrecuPIS05,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {Timing analysis of network on chip architectures for MP-SoC platforms},
  journal      = {Microelectron. J.},
  volume       = {36},
  number       = {9},
  pages        = {833--845},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.mejo.2005.03.006},
  doi          = {10.1016/J.MEJO.2005.03.006},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/GrecuPIS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/PandeGJIS05,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Michael Jones and
                  Andr{\'{e}} Ivanov and
                  Resve A. Saleh},
  title        = {Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect
                  Architectures},
  journal      = {{IEEE} Trans. Computers},
  volume       = {54},
  number       = {8},
  pages        = {1025--1040},
  year         = {2005},
  url          = {https://doi.org/10.1109/TC.2005.134},
  doi          = {10.1109/TC.2005.134},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/PandeGJIS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/GrecuPWIS05,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Baosheng Wang and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {Methodologies and Algorithms for Testing Switch-Based NoC Interconnects},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {238--246},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.45},
  doi          = {10.1109/DFTVS.2005.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/GrecuPWIS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PandeGJIS05,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Michael Jones and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {Effect of traffic localization on energy dissipation in NoC-based
                  interconnect},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {1774--1777},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1464952},
  doi          = {10.1109/ISCAS.2005.1464952},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PandeGJIS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GrecuPIS04,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  editor       = {David Garrett and
                  John C. Lach and
                  Charles A. Zukowski},
  title        = {Structured interconnect architecture: a solution for the non-scalability
                  of bus-based SoCs},
  booktitle    = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004,
                  Boston, MA, USA, April 26-28, 2004},
  pages        = {192--195},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/988952.988999},
  doi          = {10.1145/988952.988999},
  timestamp    = {Fri, 20 Aug 2021 16:30:37 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GrecuPIS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GrecuPIS04,
  author       = {Cristian Grecu and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {A Scalable Communication-Centric SoC Interconnect Architecture},
  booktitle    = {5th International Symposium on Quality of Electronic Design {(ISQED}
                  2004), 22-24 March 2004, San Jose, CA, {USA}},
  pages        = {343--348},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISQED.2004.1283698},
  doi          = {10.1109/ISQED.2004.1283698},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GrecuPIS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PandeGIS03,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Andr{\'{e}} Ivanov and
                  Res Saleh},
  title        = {Design of a switch for network on chip applications},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206235},
  doi          = {10.1109/ISCAS.2003.1206235},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PandeGIS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwsoc/PandeGI03,
  author       = {Partha Pratim Pande and
                  Cristian Grecu and
                  Andr{\'{e}} Ivanov},
  title        = {High-Throughput Switch-Based Interconnect for Future SoCs},
  booktitle    = {Proceedings of the 3rd {IEEE} International Workshop on System-on-Chip
                  for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary,
                  Alberta, Canada},
  pages        = {304--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/IWSOC.2003.1213053},
  doi          = {10.1109/IWSOC.2003.1213053},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iwsoc/PandeGI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics