BibTeX records: Alex Orailoglu

download as .bib file

@article{DBLP:journals/ijpp/OrailogluRJ24,
  author       = {Alex Orailoglu and
                  Marc Reichenbach and
                  Matthias Jung},
  title        = {Special Issue on {SAMOS} 2022},
  journal      = {Int. J. Parallel Program.},
  volume       = {52},
  number       = {1-2},
  pages        = {1--2},
  year         = {2024},
  url          = {https://doi.org/10.1007/s10766-024-00765-0},
  doi          = {10.1007/S10766-024-00765-0},
  timestamp    = {Fri, 21 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/OrailogluRJ24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/GautamO24,
  author       = {Pushpak Raj Gautam and
                  Alex Orailoglu},
  title        = {Transcoders: {A} Better Alternative To Denoising Autoencoders},
  booktitle    = {{IEEE} European Test Symposium, {ETS} 2024, The Hague, Netherlands,
                  May 20-24, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ETS61313.2024.10567465},
  doi          = {10.1109/ETS61313.2024.10567465},
  timestamp    = {Thu, 04 Jul 2024 15:45:26 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/GautamO24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OzenO23,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Shaping Resilient {AI} Hardware Through {DNN} Computational Feature
                  Exploitation},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {2},
  pages        = {59--66},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2022.3156016},
  doi          = {10.1109/MDAT.2022.3156016},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/OzenO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiO23,
  author       = {Leon Li and
                  Alex Orailoglu},
  title        = {Redundancy Attack: Breaking Logic Locking Through Oracleless Rationality
                  Analysis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {4},
  pages        = {1044--1057},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3192793},
  doi          = {10.1109/TCAD.2022.3192793},
  timestamp    = {Sun, 16 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OzenO23,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Unleashing the Potential of Sparse DNNs Through Synergistic Hardware-Sparsity
                  Co-Design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {4},
  pages        = {1147--1160},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3191561},
  doi          = {10.1109/TCAD.2022.3191561},
  timestamp    = {Sun, 16 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/OzenO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/LiO23,
  author       = {Leon Li and
                  Alex Orailoglu},
  title        = {ClearLock: Deterring Hardware Reverse Engineering Attacks in a White-Box},
  booktitle    = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October
                  14-17, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ATS59501.2023.10317947},
  doi          = {10.1109/ATS59501.2023.10317947},
  timestamp    = {Fri, 08 Dec 2023 20:28:22 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/LiO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LiO23,
  author       = {Leon Li and
                  Alex Orailoglu},
  title        = {Thwarting Reverse Engineering Attacks through Keyless Logic Obfuscation},
  booktitle    = {41st {IEEE} {VLSI} Test Symposium, {VTS} 2023, San Diego, CA, USA,
                  April 24-26, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VTS56346.2023.10139952},
  doi          = {10.1109/VTS56346.2023.10139952},
  timestamp    = {Fri, 09 Jun 2023 15:18:15 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/LiO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/ReichenbachJO22,
  author       = {Marc Reichenbach and
                  Matthias Jung and
                  Alex Orailoglu},
  title        = {Guest Editorial: Special Issue on 2020 {IEEE} International Conference
                  on Embedded Computer Systems: Architectures, Modeling and Simulation
                  {(SAMOS} 2020)},
  journal      = {Int. J. Parallel Program.},
  volume       = {50},
  number       = {2},
  pages        = {187--188},
  year         = {2022},
  url          = {https://doi.org/10.1007/s10766-022-00732-7},
  doi          = {10.1007/S10766-022-00732-7},
  timestamp    = {Thu, 12 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/ReichenbachJO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OzenO22,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Architecting Decentralization and Customizability in {DNN} Accelerators
                  for Hardware Defect Adaptation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {11},
  pages        = {3934--3945},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2022.3197540},
  doi          = {10.1109/TCAD.2022.3197540},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/OzenO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiO22,
  author       = {Leon Li and
                  Alex Orailoglu},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {{JANUS-HD:} Exploiting {FSM} Sequentiality and Synthesis Flexibility
                  in Logic Obfuscation to Thwart {SAT} Attack While Offering Strong
                  Corruption},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1323--1328},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774729},
  doi          = {10.23919/DATE54114.2022.9774729},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/samos/2021,
  editor       = {Alex Orailoglu and
                  Matthias Jung and
                  Marc Reichenbach},
  title        = {Embedded Computer Systems: Architectures, Modeling, and Simulation
                  - 21st International Conference, {SAMOS} 2021, Virtual Event, July
                  4-8, 2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13227},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-04580-6},
  doi          = {10.1007/978-3-031-04580-6},
  isbn         = {978-3-031-04579-0},
  timestamp    = {Fri, 29 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/samos/2022,
  editor       = {Alex Orailoglu and
                  Marc Reichenbach and
                  Matthias Jung},
  title        = {Embedded Computer Systems: Architectures, Modeling, and Simulation
                  - 22nd International Conference, {SAMOS} 2022, Samos, Greece, July
                  3-7, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13511},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-15074-6},
  doi          = {10.1007/978-3-031-15074-6},
  isbn         = {978-3-031-15073-9},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/OzenO21,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {{SNR:} Squeezing Numerical Range Defuses Bit Error Vulnerability Surface
                  in Deep Neural Networks},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {5s},
  pages        = {76:1--76:25},
  year         = {2021},
  url          = {https://doi.org/10.1145/3477007},
  doi          = {10.1145/3477007},
  timestamp    = {Fri, 26 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/OzenO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/NighO21,
  author       = {Chris Nigh and
                  Alex Orailoglu},
  title        = {AdaTrust: Combinational Hardware Trojan Detection Through Adaptive
                  Test Pattern Construction},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {3},
  pages        = {544--557},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3053553},
  doi          = {10.1109/TVLSI.2021.3053553},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/NighO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/LiNO21,
  author       = {Leon Li and
                  Shuyi Ni and
                  Alex Orailoglu},
  title        = {{JANUS:} Boosting Logic Obfuscation Scope Through Reconfigurable {FSM}
                  Synthesis},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2021, Tysons Corner, VA, USA, December 12-15, 2021},
  pages        = {292--303},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/HOST49136.2021.9702288},
  doi          = {10.1109/HOST49136.2021.9702288},
  timestamp    = {Mon, 21 Feb 2022 19:10:15 +0100},
  biburl       = {https://dblp.org/rec/conf/host/LiNO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OzenO21,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Evolving Complementary Sparsity Patterns for Hardware-Friendly Inference
                  of Sparse DNNs},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643452},
  doi          = {10.1109/ICCAD51958.2021.9643452},
  timestamp    = {Tue, 28 Dec 2021 12:29:05 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OzenO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/OzenO20,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Low-Cost Error Detection in Deep Neural Network Accelerators with
                  Linear Algorithmic Checksums},
  journal      = {J. Electron. Test.},
  volume       = {36},
  number       = {6},
  pages        = {703--718},
  year         = {2020},
  url          = {https://doi.org/10.1007/s10836-020-05920-2},
  doi          = {10.1007/S10836-020-05920-2},
  timestamp    = {Tue, 26 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/OzenO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OzenO20,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Boosting Bit-Error Resilience of {DNN} Accelerators Through Median
                  Feature Selection},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {11},
  pages        = {3250--3262},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.3012209},
  doi          = {10.1109/TCAD.2020.3012209},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/OzenO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OzenO20,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Concurrent Monitoring of Operational Health in Neural Networks Through
                  Balanced Output Partitions},
  booktitle    = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2020, Beijing, China, January 13-16, 2020},
  pages        = {169--174},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ASP-DAC47756.2020.9045662},
  doi          = {10.1109/ASP-DAC47756.2020.9045662},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OzenO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/VerchokO20,
  author       = {Nickolai Verchok and
                  Alex Orailoglu},
  editor       = {Hung{-}Min Sun and
                  Shiuh{-}Pyng Shieh and
                  Guofei Gu and
                  Giuseppe Ateniese},
  title        = {Hunting Sybils in Participatory Mobile Consensus-Based Networks},
  booktitle    = {{ASIA} {CCS} '20: The 15th {ACM} Asia Conference on Computer and Communications
                  Security, Taipei, Taiwan, October 5-9, 2020},
  pages        = {732--743},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3320269.3372200},
  doi          = {10.1145/3320269.3372200},
  timestamp    = {Tue, 10 Nov 2020 16:06:16 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/VerchokO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NighO20,
  author       = {Chris Nigh and
                  Alex Orailoglu},
  title        = {Test Pattern Superposition to Detect Hardware Trojans},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116264},
  doi          = {10.23919/DATE48585.2020.9116264},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NighO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangCAOCO20,
  author       = {Chengmo Yang and
                  Patrick Cronin and
                  Agamyrat Agambayev and
                  Sule Ozev and
                  A. Enis {\c{C}}etin and
                  Alex Orailoglu},
  title        = {A Crowd-Based Explosive Detection System with Two-Level Feedback Sensor
                  Calibration},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {8:1--8:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415670},
  doi          = {10.1145/3400302.3415670},
  timestamp    = {Mon, 18 Jan 2021 09:56:56 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YangCAOCO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OzenO20,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Just Say Zero: Containing Critical Bit-Error Propagation in Deep Neural
                  Networks With Anomalous Feature Suppression},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {75:1--75:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415680},
  doi          = {10.1145/3400302.3415680},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/OzenO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pkdd/OzenO20,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  editor       = {Frank Hutter and
                  Kristian Kersting and
                  Jefrey Lijffijt and
                  Isabel Valera},
  title        = {Squeezing Correlated Neurons for Resource-Efficient Deep Neural Networks},
  booktitle    = {Machine Learning and Knowledge Discovery in Databases - European Conference,
                  {ECML} {PKDD} 2020, Ghent, Belgium, September 14-18, 2020, Proceedings,
                  Part {II}},
  series       = {Lecture Notes in Computer Science},
  volume       = {12458},
  pages        = {52--68},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-67661-2\_4},
  doi          = {10.1007/978-3-030-67661-2\_4},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pkdd/OzenO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NighO20,
  author       = {Chris Nigh and
                  Alex Orailoglu},
  title        = {Taming Combinational Trojan Detection Challenges with Self-Referencing
                  Adaptive Test Patterns},
  booktitle    = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA,
                  April 5-8, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VTS48691.2020.9107630},
  doi          = {10.1109/VTS48691.2020.9107630},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NighO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/samos/2020,
  editor       = {Alex Orailoglu and
                  Matthias Jung and
                  Marc Reichenbach},
  title        = {Embedded Computer Systems: Architectures, Modeling, and Simulation
                  - 20th International Conference, {SAMOS} 2020, Samos, Greece, July
                  5-9, 2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12471},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-60939-9},
  doi          = {10.1007/978-3-030-60939-9},
  isbn         = {978-3-030-60938-2},
  timestamp    = {Fri, 16 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2006-06806,
  author       = {Benjamin Tan and
                  Ramesh Karri and
                  Nimisha Limaye and
                  Abhrajit Sengupta and
                  Ozgur Sinanoglu and
                  Md. Moshiur Rahman and
                  Swarup Bhunia and
                  Danielle Duvalsaint and
                  Ronald D. Blanton and
                  Amin Rezaei and
                  Yuanqi Shen and
                  Hai Zhou and
                  Leon Li and
                  Alex Orailoglu and
                  Zhaokun Han and
                  Austin Benedetti and
                  Luciano Brignone and
                  Muhammad Yasin and
                  Jeyavijayan Rajendran and
                  Michael Zuzak and
                  Ankur Srivastava and
                  Ujjwal Guin and
                  Chandan Karfa and
                  Kanad Basu and
                  Vivek V. Menon and
                  Matthew French and
                  Peilin Song and
                  Franco Stellari and
                  Gi{-}Joon Nam and
                  Peter Gadfort and
                  Alric Althoff and
                  Joseph Tostenrude and
                  Saverio Fazzari and
                  Eric Breckenfeld and
                  Kenneth Plaks},
  title        = {Benchmarking at the Frontier of Hardware Security: Lessons from Logic
                  Locking},
  journal      = {CoRR},
  volume       = {abs/2006.06806},
  year         = {2020},
  url          = {https://arxiv.org/abs/2006.06806},
  eprinttype    = {arXiv},
  eprint       = {2006.06806},
  timestamp    = {Wed, 06 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2006-06806.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/BadawiAOYOC19,
  author       = {Diaa Badawi and
                  Tuba Ayhan and
                  Sule Ozev and
                  Chengmo Yang and
                  Alex Orailoglu and
                  Ahmet Enis {\c{C}}etin},
  title        = {Detecting Gas Vapor Leaks Using Uncalibrated Sensors},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {155701--155710},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2019.2949740},
  doi          = {10.1109/ACCESS.2019.2949740},
  timestamp    = {Tue, 26 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/BadawiAOYOC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arcs/OzenO19,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  editor       = {Martin Schoeberl and
                  Christian Hochberger and
                  Sascha Uhrig and
                  J{\"{u}}rgen Brehm and
                  Thilo Pionteck},
  title        = {The Return of Power Gating: Smart Leakage Energy Reductions in Modern
                  Out-of-Order Processor Architectures},
  booktitle    = {Architecture of Computing Systems - {ARCS} 2019 - 32nd International
                  Conference, Copenhagen, Denmark, May 20-23, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11479},
  pages        = {253--266},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-18656-2\_19},
  doi          = {10.1007/978-3-030-18656-2\_19},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arcs/OzenO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/OzenO19,
  author       = {Elbruz Ozen and
                  Alex Orailoglu},
  title        = {Sanity-Check: Boosting the Reliability of Safety-Critical Deep Neural
                  Network Applications},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.000-8},
  doi          = {10.1109/ATS47505.2019.000-8},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/OzenO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiO19,
  author       = {Leon Li and
                  Alex Orailoglu},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Piercing Logic Locking Keys through Redundancy Identification},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {540--545},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714955},
  doi          = {10.23919/DATE.2019.8714955},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/BadawiOCYOC19,
  author       = {Diaa Badawi and
                  Sule Ozev and
                  Jennifer Blain Christen and
                  Chengmo Yang and
                  Alex Orailoglu and
                  A. Enis {\c{C}}etin},
  title        = {Detecting Gas Vapor Leaks through Uncalibrated Sensor Based {CPS}},
  booktitle    = {{IEEE} International Conference on Acoustics, Speech and Signal Processing,
                  {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019},
  pages        = {8296--8300},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICASSP.2019.8682204},
  doi          = {10.1109/ICASSP.2019.8682204},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/icassp/BadawiOCYOC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LiO19,
  author       = {Leon Li and
                  Alex Orailoglu},
  title        = {Shielding Logic Locking from Redundancy Attacks},
  booktitle    = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA,
                  April 23-25, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VTS.2019.8758671},
  doi          = {10.1109/VTS.2019.8758671},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/LiO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-07619,
  author       = {Diaa Badawi and
                  Tuba Ayhan and
                  Sule Ozev and
                  Chengmo Yang and
                  Alex Orailoglu and
                  A. Enis {\c{C}}etin},
  title        = {Detecting Gas Vapor Leaks Using Uncalibrated Sensors},
  journal      = {CoRR},
  volume       = {abs/1908.07619},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.07619},
  eprinttype    = {arXiv},
  eprint       = {1908.07619},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-07619.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HossainSIO18,
  author       = {Fakir Sharif Hossain and
                  Michihiro Shintani and
                  Michiko Inoue and
                  Alex Orailoglu},
  title        = {Variation-Aware Hardware Trojan Detection through Power Side-channel},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624866},
  doi          = {10.1109/TEST.2018.8624866},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HossainSIO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MarxenO17,
  author       = {Joshua Marxen and
                  Alex Orailoglu},
  title        = {Ensuring system security through proximity based authentication},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {330--335},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858344},
  doi          = {10.1109/ASPDAC.2017.7858344},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/MarxenO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HossainYSIO17,
  author       = {Fakir Sharif Hossain and
                  Tomokazu Yoneda and
                  Michihiro Shintani and
                  Michiko Inoue and
                  Alex Orailoglu},
  title        = {Intra-Die-Variation-Aware Side Channel Analysis for Hardware Trojan
                  Detection},
  booktitle    = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan,
                  November 27-30, 2017},
  pages        = {52--57},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ATS.2017.22},
  doi          = {10.1109/ATS.2017.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HossainYSIO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/HossainYIO17,
  author       = {Fakir Sharif Hossain and
                  Tomokazu Yoneda and
                  Michiko Inoue and
                  Alex Orailoglu},
  title        = {Detecting hardware Trojans without a Golden {IC} through clock-tree
                  defined circuit partitions},
  booktitle    = {22nd {IEEE} European Test Symposium, {ETS} 2017, Limassol, Cyprus,
                  May 22-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ETS.2017.7968246},
  doi          = {10.1109/ETS.2017.7968246},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/HossainYIO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ArslanO16,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Power-Aware Delay Test Quality Optimization for Multiple Frequency
                  Domains},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {1},
  pages        = {141--154},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2448689},
  doi          = {10.1109/TCAD.2015.2448689},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ArslanO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ArslanO16a,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Aggressive Test Cost Reductions Through Continuous Test Effectiveness
                  Assessment},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {12},
  pages        = {2093--2103},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2016.2535902},
  doi          = {10.1109/TCAD.2016.2535902},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ArslanO16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhaoOX15,
  author       = {Mengying Zhao and
                  Alex Orailoglu and
                  Chun Jason Xue},
  title        = {Joint Profit and Process Variation Aware High Level Synthesis With
                  Speed Binning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {9},
  pages        = {1640--1650},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2349493},
  doi          = {10.1109/TVLSI.2014.2349493},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhaoOX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arcs/BournoutianO15,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Lu{\'{\i}}s Miguel Pinho and
                  Wolfgang Karl and
                  Albert Cohen and
                  Uwe Brinkschulte},
  title        = {Mobile Ecosystem Driven Dynamic Pipeline Adaptation for Low Power},
  booktitle    = {Architecture of Computing Systems - {ARCS} 2015 - 28th International
                  Conference, Porto, Portugal, March 24-27, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9017},
  pages        = {83--95},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16086-3\_7},
  doi          = {10.1007/978-3-319-16086-3\_7},
  timestamp    = {Tue, 14 May 2019 10:00:52 +0200},
  biburl       = {https://dblp.org/rec/conf/arcs/BournoutianO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BournoutianO15,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  title        = {Mobile ecosystem driven application-specific low-power control microarchitecture},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {720--727},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357186},
  doi          = {10.1109/ICCD.2015.7357186},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BournoutianO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/vlsi/2013socs,
  editor       = {Alex Orailoglu and
                  H. Fatih Ugurdag and
                  Lu{\'{\i}}s Miguel Silveira and
                  Martin Margala and
                  Ricardo Reis},
  title        = {VLSI-SoC: At the Crossroads of Emerging Trends - 21st {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2013, Istanbul, Turkey, October 6-9, 2013, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {461},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-23799-2},
  doi          = {10.1007/978-3-319-23799-2},
  isbn         = {978-3-319-23798-5},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/2013socs.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/QiuZXO14,
  author       = {Keni Qiu and
                  Mengying Zhao and
                  Chun Jason Xue and
                  Alex Orailoglu},
  title        = {Branch Prediction-Directed Dynamic Instruction Cache Locking for Embedded
                  Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {5s},
  pages        = {156:1--156:24},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660492},
  doi          = {10.1145/2660492},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/QiuZXO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChenO14,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Examining Timing Path Robustness Under Wide-Bandwidth Power Supply
                  Noise Through Multi-Functional-Cycle Delay Test},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {4},
  pages        = {734--746},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2256810},
  doi          = {10.1109/TVLSI.2013.2256810},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChenO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BournoutianO14,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {On-device objective-C application optimization framework for high-performance
                  mobile processors},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.098},
  doi          = {10.7873/DATE.2014.098},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BournoutianO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FuZXO14,
  author       = {Chenchen Fu and
                  Mengying Zhao and
                  Chun Jason Xue and
                  Alex Orailoglu},
  editor       = {Yuan Xie and
                  Tanay Karnik and
                  Muhammad M. Khellah and
                  Renu Mehra},
  title        = {Sleep-aware variable partitioning for energy-efficient hybrid {PRAM}
                  and {DRAM} main memory},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'14,
                  La Jolla, CA, {USA} - August 11 - 13, 2014},
  pages        = {75--80},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2627369.2627616},
  doi          = {10.1145/2627369.2627616},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/FuZXO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BournoutianO13,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  title        = {Application-aware adaptive cache architecture for power-sensitive
                  mobile processors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {41:1--41:26},
  year         = {2013},
  url          = {https://doi.org/10.1145/2539036.2539037},
  doi          = {10.1145/2539036.2539037},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BournoutianO13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/LiuOXL13,
  author       = {Tiantian Liu and
                  Alex Orailoglu and
                  Chun Jason Xue and
                  Minming Li},
  title        = {Register allocation for embedded systems to simultaneously reduce
                  energy and temperature on registers},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {50:1--50:26},
  year         = {2013},
  url          = {https://doi.org/10.1145/2539036.2539046},
  doi          = {10.1145/2539036.2539046},
  timestamp    = {Mon, 29 Mar 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/LiuOXL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ArslanO13,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Full exploitation of process variation space for continuous delivery
                  of optimal delay test quality},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {552--557},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509654},
  doi          = {10.1109/ASPDAC.2013.6509654},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ArslanO13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhaoOX13,
  author       = {Mengying Zhao and
                  Alex Orailoglu and
                  Chun Jason Xue},
  editor       = {Enrico Macii},
  title        = {Profit maximization through process variation aware high level synthesis
                  with speed binning},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {176--181},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.050},
  doi          = {10.7873/DATE.2013.050},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhaoOX13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtcsa/QiuZXO13,
  author       = {Keni Qiu and
                  Mengying Zhao and
                  Chun Jason Xue and
                  Alex Orailoglu},
  title        = {Branch Prediction directed Dynamic instruction Cache Locking for embedded
                  systems},
  booktitle    = {2013 {IEEE} 19th International Conference on Embedded and Real-Time
                  Computing Systems and Applications, {RTCSA} 2013, Taipei, Taiwan,
                  August 19-21, 2013},
  pages        = {209--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/RTCSA.2013.6732221},
  doi          = {10.1109/RTCSA.2013.6732221},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtcsa/QiuZXO13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ArslanO13,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Tracing the best test mix through multi-variate quality tracking},
  booktitle    = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA,
                  April 29 - May 2, 2013},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VTS.2013.6548886},
  doi          = {10.1109/VTS.2013.6548886},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ArslanO13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PasemanO13,
  author       = {Raymond Paseman and
                  Alex Orailoglu},
  title        = {Towards a cost-effective hardware trojan detection methodology},
  booktitle    = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA,
                  April 29 - May 2, 2013},
  pages        = {1--3},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VTS.2013.6548926},
  doi          = {10.1109/VTS.2013.6548926},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PasemanO13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/vlsi/2013soc,
  editor       = {Martin Margala and
                  Ricardo Augusto da Luz Reis and
                  Alex Orailoglu and
                  Luigi Carro and
                  Lu{\'{\i}}s Miguel Silveira and
                  H. Fatih Ugurdag},
  title        = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6662534/proceeding},
  isbn         = {978-1-4799-0522-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/2013soc.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YangO12,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  title        = {Tackling Resource Variations Through Adaptive Multicore Execution
                  Frameworks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {1},
  pages        = {132--145},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2011.2166829},
  doi          = {10.1109/TCAD.2011.2166829},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YangO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChenO12,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {On Diagnosis of Timing Failures in Scan Architecture},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {7},
  pages        = {1102--1115},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2186298},
  doi          = {10.1109/TCAD.2012.2186298},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChenO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChenO12,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Scan Power Reduction for Linear Test Compression Schemes Through Seed
                  Selection},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {12},
  pages        = {2170--2183},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2173509},
  doi          = {10.1109/TVLSI.2011.2173509},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChenO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BournoutianO12,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Ahmed Jerraya and
                  Luca P. Carloni and
                  Naehyuck Chang and
                  Franco Fummi},
  title        = {Dynamic transient fault detection and recovery for embedded processor
                  datapaths},
  booktitle    = {Proceedings of the 10th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12
                  Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012},
  pages        = {43--52},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2380445.2380459},
  doi          = {10.1145/2380445.2380459},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/BournoutianO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ArslanO12,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Delay test resource allocation and scheduling for multiple frequency
                  domains},
  booktitle    = {30th {IEEE} {VLSI} Test Symposium, {VTS} 2012, Maui, Hawaii, USA,
                  23-26 April 2012},
  pages        = {114--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/VTS.2012.6231089},
  doi          = {10.1109/VTS.2012.6231089},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ArslanO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/Galarza-MedinaGCO12,
  author       = {Francisco J. Galarza{-}Medina and
                  Jose Luis Garcia{-}Gervacio and
                  V{\'{\i}}ctor H. Champac and
                  Alex Orailoglu},
  title        = {Small-delay defects detection under process variation using Inter-Path
                  Correlation},
  booktitle    = {30th {IEEE} {VLSI} Test Symposium, {VTS} 2012, Maui, Hawaii, USA,
                  23-26 April 2012},
  pages        = {127--132},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/VTS.2012.6231091},
  doi          = {10.1109/VTS.2012.6231091},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/Galarza-MedinaGCO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/RaoYKO11,
  author       = {Wenjing Rao and
                  Chengmo Yang and
                  Ramesh Karri and
                  Alex Orailoglu},
  title        = {Toward Future Systems with Nanoscale Devices: Overcoming the Reliability
                  Challenge},
  journal      = {Computer},
  volume       = {44},
  number       = {2},
  pages        = {46--53},
  year         = {2011},
  url          = {https://doi.org/10.1109/MC.2011.1},
  doi          = {10.1109/MC.2011.1},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/RaoYKO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/ZhangXYO11,
  author       = {Yuping Zhang and
                  Chun Jason Xue and
                  Chengmo Yang and
                  Alex Orailoglu},
  title        = {Migration-aware adaptive MPSoC static schedules with dynamic reconfigurability},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {71},
  number       = {10},
  pages        = {1400--1410},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.jpdc.2011.06.006},
  doi          = {10.1016/J.JPDC.2011.06.006},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/ZhangXYO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YangO11,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  title        = {Full Fault Resilience and Relaxed Synchronization Requirements at
                  the Cache-Memory Interface},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {19},
  number       = {11},
  pages        = {1996--2009},
  year         = {2011},
  url          = {https://doi.org/10.1109/TVLSI.2010.2067230},
  doi          = {10.1109/TVLSI.2010.2067230},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YangO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ArslanO11,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Adaptive Test Framework for Achieving Target Test Quality at Minimal
                  Cost},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {323--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.91},
  doi          = {10.1109/ATS.2011.91},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ArslanO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BournoutianO11,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Robert P. Dick and
                  Jan Madsen},
  title        = {Dynamic, multi-core cache coherence architecture for power-sensitive
                  mobile processors},
  booktitle    = {Proceedings of the 9th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11
                  Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011},
  pages        = {89--98},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2039370.2039387},
  doi          = {10.1145/2039370.2039387},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/BournoutianO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenO11,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Diagnosing scan clock delay faults through statistical timing pruning},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {423--428},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024823},
  doi          = {10.1145/2024724.2024823},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuOXL11,
  author       = {Tiantian Liu and
                  Alex Orailoglu and
                  Chun Jason Xue and
                  Minming Li},
  title        = {Register allocation for simultaneous reduction of energy and peak
                  temperature on registers},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {20--25},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763010},
  doi          = {10.1109/DATE.2011.5763010},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiuOXL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenO11,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Diagnosing scan chain timing faults through statistical feature analysis
                  of scan images},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {185--190},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763040},
  doi          = {10.1109/DATE.2011.5763040},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChenO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YangO11,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  title        = {Frugal but flexible multicore topologies in support of resource variation-driven
                  adaptivity},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1255--1260},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763201},
  doi          = {10.1109/DATE.2011.5763201},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YangO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ArslanO11,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Adaptive test optimization through real time learning of test effectiveness},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1430--1435},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763231},
  doi          = {10.1109/DATE.2011.5763231},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ArslanO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/YangCO10,
  author       = {Chengmo Yang and
                  Mingjing Chen and
                  Alex Orailoglu},
  title        = {Squashing code size in microcoded IPs while delivering high decompression
                  speed},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {14},
  number       = {3},
  pages        = {265--284},
  year         = {2010},
  url          = {https://doi.org/10.1007/s10617-010-9057-z},
  doi          = {10.1007/S10617-010-9057-Z},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/YangCO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/BournoutianO10,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  title        = {Reducing impact of cache miss stalls in embedded systems by extracting
                  guaranteed independent instructions},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {14},
  number       = {3},
  pages        = {309--326},
  year         = {2010},
  url          = {https://doi.org/10.1007/s10617-010-9058-y},
  doi          = {10.1007/S10617-010-9058-Y},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/BournoutianO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChunO10,
  author       = {Sunghoon Chun and
                  Alex Orailoglu},
  title        = {DiSC: {A} New Diagnosis Method for Multiple Scan Chain Failures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {12},
  pages        = {2051--2055},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2061110},
  doi          = {10.1109/TCAD.2010.2061110},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChunO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BournoutianO10,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Tony Givargis and
                  Adam Donlin},
  title        = {Dynamic, non-linear cache architecture for power-sensitive mobile
                  processors},
  booktitle    = {Proceedings of the 8th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10
                  Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010},
  pages        = {187--194},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878961.1878997},
  doi          = {10.1145/1878961.1878997},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/BournoutianO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LeeO10,
  author       = {Kwangyoon Lee and
                  Alex Orailoglu},
  editor       = {Tony Givargis and
                  Adam Donlin},
  title        = {High durability in {NAND} flash memory through effective page reuse
                  mechanisms},
  booktitle    = {Proceedings of the 8th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10
                  Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010},
  pages        = {205--212},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878961.1878999},
  doi          = {10.1145/1878961.1878999},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/LeeO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenO10,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Cost-effective IR-drop failure identification and yield recovery through
                  a failure-adaptive test scheme},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457236},
  doi          = {10.1109/DATE.2010.5457236},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChenO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AyoubO10,
  author       = {Raid Ayoub and
                  Alex Orailoglu},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {Performance and energy efficient cache migrationapproach for thermal
                  management in embedded systems},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {365--368},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785565},
  doi          = {10.1145/1785481.1785565},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AyoubO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ArslanO10,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Delay test quality maximization through process-aware selection of
                  test set size},
  booktitle    = {28th International Conference on Computer Design, {ICCD} 2010, 3-6
                  October 2010, Amsterdam, The Netherlands, Proceedings},
  pages        = {390--395},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCD.2010.5647687},
  doi          = {10.1109/ICCD.2010.5647687},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ArslanO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/YangO10,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  title        = {Fully adaptive multicore architectures through statically-directed
                  dynamic execution reconfigurations},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {396--401},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642694},
  doi          = {10.1109/VLSISOC.2010.5642694},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/YangO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/YangXO10,
  author       = {Chengmo Yang and
                  Chun Jason Xue and
                  Alex Orailoglu},
  title        = {Fine-grained adaptive {CMP} cache sharing through access history exploitation},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {420--425},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642698},
  doi          = {10.1109/VLSISOC.2010.5642698},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/YangXO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenO10,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {VDDmin test optimization for overscreening minimization through adaptive
                  scan chain masking},
  booktitle    = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010,
                  Santa Cruz, California, {USA}},
  pages        = {313--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VTS.2010.5469544},
  doi          = {10.1109/VTS.2010.5469544},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChenO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2010,
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  publisher    = {{ACM}},
  year         = {2010},
  isbn         = {978-1-4503-0152-7},
  timestamp    = {Mon, 14 Feb 2011 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/RaoOK09,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Logic Mapping in Crossbar-Based Nanoarchitectures},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {26},
  number       = {1},
  pages        = {68--77},
  year         = {2009},
  url          = {https://doi.org/10.1109/MDT.2009.14},
  doi          = {10.1109/MDT.2009.14},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/RaoOK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcst/SinanogluASO09,
  author       = {Ozgur Sinanoglu and
                  Mohammed Al{-}Mulla and
                  Noora A. Shunaiber and
                  Alex Orailoglu},
  title        = {Scan Cell Positioning for Boosting the Compression of Fan-Out Networks},
  journal      = {J. Comput. Sci. Technol.},
  volume       = {24},
  number       = {5},
  pages        = {939--948},
  year         = {2009},
  url          = {https://doi.org/10.1007/s11390-009-9268-6},
  doi          = {10.1007/S11390-009-9268-6},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcst/SinanogluASO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XiangHXO09,
  author       = {Dong Xiang and
                  Dianwei Hu and
                  Qiang Xu and
                  Alex Orailoglu},
  title        = {Low-Power Scan Testing for Test Data Compression Using a Routing-Driven
                  Scan Architecture},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {7},
  pages        = {1101--1105},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2018775},
  doi          = {10.1109/TCAD.2009.2018775},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XiangHXO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OrailogluP09,
  author       = {Alex Orailoglu and
                  Laura Pozzi},
  title        = {Guest Editorial Special Section on the {IEEE} Symposium on Application
                  Specific Processors 2008},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {12},
  pages        = {1786--1787},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2035481},
  doi          = {10.1109/TCAD.2009.2035481},
  timestamp    = {Mon, 15 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/OrailogluP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/AyoubO09,
  author       = {Raid Ayoub and
                  Alex Orailoglu},
  title        = {Filtering Global History: Power and Performance Efficient Branch Predictor},
  booktitle    = {20th {IEEE} International Conference on Application-Specific Systems,
                  Architectures and Processors, {ASAP} 2009, July 7-9, 2009, Boston,
                  MA, {USA}},
  pages        = {203--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASAP.2009.26},
  doi          = {10.1109/ASAP.2009.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/AyoubO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BournoutianO09,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {J{\"{o}}rg Henkel and
                  Sri Parameswaran},
  title        = {Reducing impact of cache miss stalls in embedded systems by extracting
                  guaranteed independent instructions},
  booktitle    = {Proceedings of the 2009 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2009, Grenoble, France,
                  October 11-16, 2009},
  pages        = {117--126},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629395.1629413},
  doi          = {10.1145/1629395.1629413},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/BournoutianO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YangCO09,
  author       = {Chengmo Yang and
                  Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Wolfgang Rosenstiel and
                  Kazutoshi Wakabayashi},
  title        = {Squashing microcode stores to size in embedded systems while delivering
                  rapid microcode accesses},
  booktitle    = {Proceedings of the 7th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France,
                  October 11-16, 2009},
  pages        = {249--256},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629435.1629471},
  doi          = {10.1145/1629435.1629471},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/YangCO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YangO09,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {Towards no-cost adaptive MPSoC static schedules through exploitation
                  of logical-to-physical core mapping latitude},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {63--68},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090634},
  doi          = {10.1109/DATE.2009.5090634},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/YangO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GarciaO09,
  author       = {Saturnino Garcia and
                  Alex Orailoglu},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {Making {DNA} self-assembly error-proof: Attaining small growth error
                  rates through embedded information redundancy},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {898--901},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090791},
  doi          = {10.1109/DATE.2009.5090791},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GarciaO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ChenO09,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Dimitris Gizopoulos and
                  Susumu Horiguchi and
                  Spyros Tragoudas and
                  Mohammad Tehranipoor},
  title        = {Flip-Flop Hardening and Selection for Soft Error and Delay Fault Resilience},
  booktitle    = {24th {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} Systems, {DFT} 2009, Chicago, Illinois, USA, October 7-9,
                  2009},
  pages        = {49--57},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/DFT.2009.50},
  doi          = {10.1109/DFT.2009.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ChenO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/YangO09,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  title        = {Processor reliability enhancement through compiler-directed register
                  file peak temperature reduction},
  booktitle    = {Proceedings of the 2009 {IEEE/IFIP} International Conference on Dependable
                  Systems and Networks, {DSN} 2009, Estoril, Lisbon, Portugal, June
                  29 - July 2, 2009},
  pages        = {468--477},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/DSN.2009.5270305},
  doi          = {10.1109/DSN.2009.5270305},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/YangO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenO09,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Fabrizio Lombardi and
                  Sanjukta Bhanja and
                  Yehia Massoud and
                  R. Iris Bahar},
  title        = {Deflecting crosstalk by routing reconsideration through refined signal
                  correlation estimation},
  booktitle    = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Boston Area, MA, USA, May 10-12 2009},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1531542.1531625},
  doi          = {10.1145/1531542.1531625},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenO09,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Scan power reduction in linear test data compression scheme},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {78--82},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687417},
  doi          = {10.1145/1687399.1687417},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/HussinYOF08,
  author       = {Fawnizu Azmadi Hussin and
                  Tomokazu Yoneda and
                  Alex Orailoglu and
                  Hideo Fujiwara},
  title        = {Scheduling Power-Constrained Tests through the SoC Functional Bus},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {91-D},
  number       = {3},
  pages        = {736--746},
  year         = {2008},
  url          = {https://doi.org/10.1093/ietisy/e91-d.3.736},
  doi          = {10.1093/IETISY/E91-D.3.736},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/HussinYOF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/YangO08,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Erik R. Altman},
  title        = {A light-weight cache-based fault detection and checkpointing scheme
                  for MPSoCs enabling relaxed execution synchronization},
  booktitle    = {Proceedings of the 2008 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {11--20},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450095.1450100},
  doi          = {10.1145/1450095.1450100},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/YangO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LeeO08,
  author       = {Kwangyoon Lee and
                  Alex Orailoglu},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Application specific non-volatile primary memory for embedded systems},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {31--36},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450144},
  doi          = {10.1145/1450135.1450144},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/LeeO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BournoutianO08,
  author       = {Garo Bournoutian and
                  Alex Orailoglu},
  editor       = {Limor Fix},
  title        = {Miss reduction in embedded processors through dynamic, power-friendly
                  cache design},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {304--309},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391546},
  doi          = {10.1145/1391469.1391546},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BournoutianO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaoO08,
  author       = {Wenjing Rao and
                  Alex Orailoglu},
  editor       = {Donatella Sciuto},
  title        = {Towards fault tolerant parallel prefix adders in nanoelectronic systems},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {360--365},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484706},
  doi          = {10.1109/DATE.2008.4484706},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaoO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenO08,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Test cost minimization through adaptive test development},
  booktitle    = {26th International Conference on Computer Design, {ICCD} 2008, 12-15
                  October 2008, Lake Tahoe, CA, USA, Proceedings},
  pages        = {234--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCD.2008.4751867},
  doi          = {10.1109/ICCD.2008.4751867},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/GarciaO08,
  author       = {Saturnino Garcia and
                  Alex Orailoglu},
  title        = {Online test and fault-tolerance for nanoelectronic programmable logic
                  arrays},
  booktitle    = {2008 {IEEE} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2008, Anaheim, CA, USA, June 12-13, 2008},
  pages        = {8--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/NANOARCH.2008.4585786},
  doi          = {10.1109/NANOARCH.2008.4585786},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/GarciaO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/RaoOM08,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Keith Marzullo},
  title        = {Locality aware redundancy allocation in nanoelectronic systems},
  booktitle    = {2008 {IEEE} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2008, Anaheim, CA, USA, June 12-13, 2008},
  pages        = {24--31},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/NANOARCH.2008.4585788},
  doi          = {10.1109/NANOARCH.2008.4585788},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/RaoOM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sasp/LeeO08,
  author       = {Kwangyoon Lee and
                  Alex Orailoglu},
  title        = {Application Specific Low Latency Instruction Cache for {NAND} Flash
                  Memory Based Embedded Systems},
  booktitle    = {Proceedings of the {IEEE} Symposium on Application Specific Processors,
                  {SASP} 2008, held in conjunction with the {DAC} 2008, June 8-9, 2008,
                  Anaheim, California, {USA}},
  pages        = {69--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/SASP.2008.4570788},
  doi          = {10.1109/SASP.2008.4570788},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sasp/LeeO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/BaharHHJLMOP07,
  author       = {R. Iris Bahar and
                  Dan W. Hammerstrom and
                  Justin E. Harlow III and
                  William H. Joyner Jr. and
                  Clifford Lau and
                  Diana Marculescu and
                  Alex Orailoglu and
                  Massoud Pedram},
  title        = {Architectures for Silicon Nanoelectronics and Beyond},
  journal      = {Computer},
  volume       = {40},
  number       = {1},
  pages        = {25--33},
  year         = {2007},
  url          = {https://doi.org/10.1109/MC.2007.7},
  doi          = {10.1109/MC.2007.7},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/BaharHHJLMOP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/RaoOK07,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Towards Nanoelectronics Processor Architectures},
  journal      = {J. Electron. Test.},
  volume       = {23},
  number       = {2-3},
  pages        = {235--254},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10836-006-0555-7},
  doi          = {10.1007/S10836-006-0555-7},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/RaoOK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/PetrovO07,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Dynamic Tag Reduction for Low-Power Caches in Embedded Systems with
                  Virtual Memory},
  journal      = {Int. J. Parallel Program.},
  volume       = {35},
  number       = {2},
  pages        = {157--177},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10766-006-0030-1},
  doi          = {10.1007/S10766-006-0030-1},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/PetrovO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/MakrisO07,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {On the identification of modular test requirements for low cost hierarchical
                  test path construction},
  journal      = {Integr.},
  volume       = {40},
  number       = {3},
  pages        = {315--325},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.vlsi.2006.01.002},
  doi          = {10.1016/J.VLSI.2006.01.002},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/MakrisO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/LauOR07,
  author       = {Clifford Lau and
                  Alex Orailoglu and
                  Kaushik Roy},
  title        = {Guest Editorial},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {54-I},
  number       = {11},
  pages        = {2342--2344},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCSI.2007.909262},
  doi          = {10.1109/TCSI.2007.909262},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/LauOR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HussinYOF07,
  author       = {Fawnizu Azmadi Hussin and
                  Tomokazu Yoneda and
                  Alex Orailoglu and
                  Hideo Fujiwara},
  title        = {Core-Based Testing of Multiprocessor System-on-Chips Utilizing Hierarchical
                  Functional Buses},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {720--725},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.358072},
  doi          = {10.1109/ASPDAC.2007.358072},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HussinYOF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenO07,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Improving Circuit Robustness with Cost-Effective Soft-Error-Tolerant
                  Sequential Elements},
  booktitle    = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11,
                  2007},
  pages        = {307--312},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ATS.2007.51},
  doi          = {10.1109/ATS.2007.51},
  timestamp    = {Wed, 09 Nov 2022 21:30:34 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChenO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/YangO07,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Taewhan Kim and
                  Pascal Sainrat and
                  Steven S. Lumetta and
                  Nacho Navarro},
  title        = {Light-weight synchronization for inter-processor communication acceleration
                  on embedded MPSoCs},
  booktitle    = {Proceedings of the 2007 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {150--154},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289881.1289909},
  doi          = {10.1145/1289881.1289909},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/YangO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YangO07,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Soonhoi Ha and
                  Kiyoung Choi and
                  Nikil D. Dutt and
                  J{\"{u}}rgen Teich},
  title        = {Predictable execution adaptivity through embedding dynamic reconfigurability
                  into static MPSoC schedules},
  booktitle    = {Proceedings of the 5th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {15--20},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289816.1289824},
  doi          = {10.1145/1289816.1289824},
  timestamp    = {Sat, 05 Sep 2020 18:08:48 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/YangO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaoOK07,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {Interactive presentation: Logic level fault tolerance approaches targeting
                  nanoelectronics PLAs},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {865--869},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://doi.org/10.1109/DATE.2007.364401},
  doi          = {10.1109/DATE.2007.364401},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaoOK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/RaoOK07,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Fault Tolerant Approaches to Nanoelectronic Programmable Logic Arrays},
  booktitle    = {The 37th Annual {IEEE/IFIP} International Conference on Dependable
                  Systems and Networks, {DSN} 2007, 25-28 June 2007, Edinburgh, UK,
                  Proceedings},
  pages        = {216--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DSN.2007.49},
  doi          = {10.1109/DSN.2007.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/RaoOK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AyoubO07,
  author       = {Raid Ayoub and
                  Alex Orailoglu},
  title        = {Power efficient register file update approach for embedded processors},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {431--437},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601935},
  doi          = {10.1109/ICCD.2007.4601935},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AyoubO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenO07,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  title        = {Circuit-level mismatch modelling and yield optimization for {CMOS}
                  analog circuits},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {526--532},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601948},
  doi          = {10.1109/ICCD.2007.4601948},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/KimKO07,
  author       = {Kyosun Kim and
                  Ramesh Karri and
                  Alex Orailoglu},
  title        = {Design automation for hybrid CMOS-nonoelectronics crossbars},
  booktitle    = {2007 {IEEE} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2007, San Jose, CA, USA, October 21-22, 2007},
  pages        = {27--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/NANOARCH.2007.4400854},
  doi          = {10.1109/NANOARCH.2007.4400854},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/KimKO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/YangO06,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Erik R. Altman and
                  Kevin Skadron and
                  Benjamin G. Zorn},
  title        = {Power-efficient instruction delivery through trace reuse},
  booktitle    = {15th International Conference on Parallel Architectures and Compilation
                  Techniques {(PACT} 2006), Seattle, Washington, USA, September 16-20,
                  2006},
  pages        = {192--201},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1152154.1152185},
  doi          = {10.1145/1152154.1152185},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/YangO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/YangO06,
  author       = {Chengmo Yang and
                  Alex Orailoglu},
  editor       = {Seongsoo Hong and
                  Wayne H. Wolf and
                  Kriszti{\'{a}}n Flautner and
                  Taewhan Kim},
  title        = {Power efficient branch prediction through early identification of
                  branch addresses},
  booktitle    = {Proceedings of the 2006 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {169--178},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176760.1176782},
  doi          = {10.1145/1176760.1176782},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/YangO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoOK06,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  editor       = {Ellen Sentovich},
  title        = {Topology aware mapping of logic functions onto nanowire-based crossbar
                  architectures},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {723--726},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147093},
  doi          = {10.1145/1146909.1147093},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/RaoOK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaoOK06,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Fault Identification in Reconfigurable Carry Lookahead Adders Targeting
                  Nanoelectronic Fabrics},
  booktitle    = {11th European Test Symposium, {ETS} 2006, Southhampton, UK, May 21-24,
                  2006},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ETS.2006.23},
  doi          = {10.1109/ETS.2006.23},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/RaoOK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HussinYOF06,
  author       = {Fawnizu Azmadi Hussin and
                  Tomokazu Yoneda and
                  Alex Orailoglu and
                  Hideo Fujiwara},
  title        = {Power-Constrained {SOC} Test Schedules through Utilization of Functional
                  Buses},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {230--236},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380822},
  doi          = {10.1109/ICCD.2006.4380822},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HussinYOF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/RaoOK06,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Nanofabric Topologies and Reconfiguration Algorithms to Support Dynamically
                  Adaptive Fault Tolerance},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {214--221},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.50},
  doi          = {10.1109/VTS.2006.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/RaoOK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenHO06,
  author       = {Mingjing Chen and
                  Hosam Haggag and
                  Alex Orailoglu},
  title        = {Decision Tree Based Mismatch Diagnosis in Analog Circuits},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {278--285},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.26},
  doi          = {10.1109/VTS.2006.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChenHO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcst/SinanogluO05,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Efficient RT-Level Fault Diagnosis},
  journal      = {J. Comput. Sci. Technol.},
  volume       = {20},
  number       = {2},
  pages        = {166--174},
  year         = {2005},
  url          = {https://doi.org/10.1007/s11390-005-0166-2},
  doi          = {10.1007/S11390-005-0166-2},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jcst/SinanogluO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BayraktarogluO05,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {The Construction of Optimal Deterministic Partitionings in Scan-Based
                  {BIST} Fault Diagnosis: Mathematical Foundations and Cost-Effective
                  Implementations},
  journal      = {{IEEE} Trans. Computers},
  volume       = {54},
  number       = {1},
  pages        = {61--75},
  year         = {2005},
  url          = {https://doi.org/10.1109/TC.2005.14},
  doi          = {10.1109/TC.2005.14},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BayraktarogluO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/PetrovO05,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {A reprogrammable customization framework for efficient branch resolution
                  in embedded processors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {4},
  number       = {2},
  pages        = {452--468},
  year         = {2005},
  url          = {https://doi.org/10.1145/1067915.1067924},
  doi          = {10.1145/1067915.1067924},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/PetrovO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/SinanogluO05,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Test power reductions through computationally efficient, decoupled
                  scan chain modifications},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {54},
  number       = {2},
  pages        = {215--223},
  year         = {2005},
  url          = {https://doi.org/10.1109/TR.2005.847276},
  doi          = {10.1109/TR.2005.847276},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tr/SinanogluO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TopalogluO05,
  author       = {Rasit Onur Topaloglu and
                  Alex Orailoglu},
  editor       = {Tingao Tang},
  title        = {Forward discrete probability propagation method for device performance
                  characterization under process variations},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {220--223},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120809},
  doi          = {10.1145/1120725.1120809},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TopalogluO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RaoOK05,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  editor       = {Tingao Tang},
  title        = {Fault tolerant nanoelectronic processor architectures},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {311--316},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120857},
  doi          = {10.1145/1120725.1120857},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RaoOK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AyoubO05,
  author       = {Raid Ayoub and
                  Alex Orailoglu},
  editor       = {Tingao Tang},
  title        = {A unified transformational approach for reductions in fault vulnerability,
                  power, and crosstalk noise {\&} delay on processor buses},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {729--734},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1121004},
  doi          = {10.1145/1120725.1121004},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/AyoubO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WeiWKO05,
  author       = {Tongquan Wei and
                  Kaijie Wu and
                  Ramesh Karri and
                  Alex Orailoglu},
  editor       = {Tingao Tang},
  title        = {Fault tolerant quantum cellular array {(QCA)} design using Triple
                  Modular Redundancy with shifted operands},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {1192--1195},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120938},
  doi          = {10.1145/1120725.1120938},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WeiWKO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PetrovTO05,
  author       = {Peter Petrov and
                  Daniel Tracy and
                  Alex Orailoglu},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {Energy-effcient physically tagged caches for embedded processors with
                  virtual memory},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {17--22},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065589},
  doi          = {10.1145/1065579.1065589},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PetrovTO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TopalogluO05,
  author       = {Rasit Onur Topaloglu and
                  Alex Orailoglu},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {A {DFT} approach for diagnosis and process variation-aware structural
                  test of thermometer coded current steering DACs},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {851--856},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065803},
  doi          = {10.1145/1065579.1065803},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TopalogluO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RaoOK05,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Architectural-Level Fault Tolerant Computation in Nanoelectronic Processors},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {533--542},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.27},
  doi          = {10.1109/ICCD.2005.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RaoOK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2005,
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081},
  doi          = {10.1145/1081081},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OzevBO04,
  author       = {Sule Ozev and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Seamless Test of Digital Components in Mixed-Signal Paths},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {1},
  pages        = {44--55},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.1261849},
  doi          = {10.1109/MDT.2004.1261849},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/OzevBO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/CotaCLO04,
  author       = {{\'{E}}rika F. Cota and
                  Luigi Carro and
                  Marcelo Lubaszewski and
                  Alex Orailoglu},
  title        = {Searching for Global Test Costs Optimization in Core-Based Systems},
  journal      = {J. Electron. Test.},
  volume       = {20},
  number       = {4},
  pages        = {357--373},
  year         = {2004},
  url          = {https://doi.org/10.1023/B:JETT.0000039604.64927.0f},
  doi          = {10.1023/B:JETT.0000039604.64927.0F},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/CotaCLO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/SinanogluO04,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Fast and energy-frugal deterministic test through efficient compression
                  and compaction techniques},
  journal      = {J. Syst. Archit.},
  volume       = {50},
  number       = {5},
  pages        = {257--266},
  year         = {2004},
  url          = {https://doi.org/10.1016/j.sysarc.2003.08.005},
  doi          = {10.1016/J.SYSARC.2003.08.005},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jsa/SinanogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/PetrovO04,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Transforming Binary Code for Low-Power Embedded Processors},
  journal      = {{IEEE} Micro},
  volume       = {24},
  number       = {3},
  pages        = {21--33},
  year         = {2004},
  url          = {https://doi.org/10.1109/MM.2004.18},
  doi          = {10.1109/MM.2004.18},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/PetrovO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PetrovO04,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Tag compression for low power in dynamically customizable embedded
                  processors},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {7},
  pages        = {1031--1047},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2004.829823},
  doi          = {10.1109/TCAD.2004.829823},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PetrovO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/MakrisBO04,
  author       = {Yiorgos Makris and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Enhancing reliability of {RTL} controller-datapath circuits via Invariant-based
                  concurrent test},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {53},
  number       = {2},
  pages        = {269--278},
  year         = {2004},
  url          = {https://doi.org/10.1109/TR.2004.829175},
  doi          = {10.1109/TR.2004.829175},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tr/MakrisBO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/OzevO04,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Design of concurrent test Hardware for Linear analog circuits with
                  constrained hardware overhead},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {12},
  number       = {7},
  pages        = {756--765},
  year         = {2004},
  url          = {https://doi.org/10.1109/TVLSI.2004.827597},
  doi          = {10.1109/TVLSI.2004.827597},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/OzevO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PetrovO04,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Low-power instruction bus encoding for embedded processors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {12},
  number       = {8},
  pages        = {812--826},
  year         = {2004},
  url          = {https://doi.org/10.1109/TVLSI.2004.831468},
  doi          = {10.1109/TVLSI.2004.831468},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PetrovO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TopalogluO04,
  author       = {Rasit Onur Topaloglu and
                  Alex Orailoglu},
  editor       = {Masaharu Imai},
  title        = {On mismatch in the deep sub-micron era - from physics to circuits},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {62--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.151},
  doi          = {10.1109/ASPDAC.2004.151},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TopalogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SinanogluO04,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  editor       = {Masaharu Imai},
  title        = {Efficient RT-level fault diagnosis methodology},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {212--217},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.90},
  doi          = {10.1109/ASPDAC.2004.90},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SinanogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SinanogluO04,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Scan Power Minimization through Stimulus and Response Transformations},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {404--409},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268880},
  doi          = {10.1109/DATE.2004.1268880},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SinanogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ArslanO04,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {CircularScan: {A} Scan Architecture for Test Cost Reduction},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {1290--1295},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269073},
  doi          = {10.1109/DATE.2004.1269073},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ArslanO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/SinanogluO04,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Pipelined test of {SOC} cores through test data transformations},
  booktitle    = {9th European Test Symposium, {ETS} 2004, Ajaccio, France, May 23-26,
                  2004},
  pages        = {86--91},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ETSYM.2004.1347612},
  doi          = {10.1109/ETSYM.2004.1347612},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/SinanogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaoOS04,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  George Su},
  title        = {Frugal linear network-based test decompression for drastic test cost
                  reductions},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {721--725},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382670},
  doi          = {10.1109/ICCAD.2004.1382670},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RaoOS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ArslanO04,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Design space exploration for aggressive test cost reduction in CircularScan
                  architectures},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {726--731},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382671},
  doi          = {10.1109/ICCAD.2004.1382671},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ArslanO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OzevO04,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {End-to-End Testability Analysis and DfT Insertion for Mixed-Signal
                  Paths},
  booktitle    = {22nd {IEEE} International Conference on Computer Design: {VLSI} in
                  Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San
                  Jose, CA, USA, Proceedings},
  pages        = {72--77},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCD.2004.1347902},
  doi          = {10.1109/ICCD.2004.1347902},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OzevO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ArslanSO04,
  author       = {Baris Arslan and
                  Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Extending the Applicability of Parallel-Serial Scan Designs},
  booktitle    = {22nd {IEEE} International Conference on Computer Design: {VLSI} in
                  Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San
                  Jose, CA, USA, Proceedings},
  pages        = {200--203},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCD.2004.1347922},
  doi          = {10.1109/ICCD.2004.1347922},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ArslanSO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/RaoOK04,
  author       = {Wenjing Rao and
                  Alex Orailoglu and
                  Ramesh Karri},
  title        = {Fault Tolerant Arithmetic with Applications in Nanotechnology based
                  Systems},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {472--478},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1386983},
  doi          = {10.1109/TEST.2004.1386983},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/RaoOK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ArslanO04,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Test Cost Reduction Through {A} Reconfigurable Scan Architecture},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {945--952},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1387359},
  doi          = {10.1109/TEST.2004.1387359},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ArslanO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SinanogluO04,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Autonomous Yet Deterministic Test of {SOC} Cores},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {1359--1368},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1387411},
  doi          = {10.1109/TEST.2004.1387411},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SinanogluO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/AyoubPO04,
  author       = {Raid Ayoub and
                  Peter Petrov and
                  Alex Orailoglu},
  title        = {Application specific instruction memory transformations for power
                  efficient, fault resilient embedded processors},
  booktitle    = {Proceedings 2004 {IEEE} International {SOC} Conference, September
                  12-15, 2004, Hilton Santa Clara, CA, {USA}},
  pages        = {195--198},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/SOCC.2004.1362405},
  doi          = {10.1109/SOCC.2004.1362405},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/AyoubPO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/codes/2004,
  editor       = {Alex Orailoglu and
                  Pai H. Chou and
                  Petru Eles and
                  Axel Jantsch},
  title        = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004,
                  Stockholm, Sweden, September 8-10, 2004},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016720},
  doi          = {10.1145/1016720},
  isbn         = {1-58113-937-3},
  timestamp    = {Mon, 26 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OrailogluV03,
  author       = {Alex Orailoglu and
                  Alexander V. Veidenbaum},
  title        = {Guest Editors' Introduction: Application-Specific Microprocessors},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {1},
  pages        = {6--7},
  year         = {2003},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/OrailogluV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Application-Specific Instruction Memory Customizations for Power-Efficient
                  Embedded Processors},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {1},
  pages        = {18--25},
  year         = {2003},
  url          = {https://doi.org/10.1109/MDT.2003.1173049},
  doi          = {10.1109/MDT.2003.1173049},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Compacting Test Responses for Deeply Embedded SoC Cores},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {4},
  pages        = {22--30},
  year         = {2003},
  url          = {https://doi.org/10.1109/MDT.2003.1214349},
  doi          = {10.1109/MDT.2003.1214349},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/OzevO03,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Statistical Tolerance Analysis for Assured Analog Test Coverage},
  journal      = {J. Electron. Test.},
  volume       = {19},
  number       = {2},
  pages        = {173--182},
  year         = {2003},
  url          = {https://doi.org/10.1023/A:1022893724851},
  doi          = {10.1023/A:1022893724851},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/OzevO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/SinanogluBO03,
  author       = {Ozgur Sinanoglu and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Reducing Average and Peak Test Power Through Scan Chain Modification},
  journal      = {J. Electron. Test.},
  volume       = {19},
  number       = {4},
  pages        = {457--467},
  year         = {2003},
  url          = {https://doi.org/10.1023/A:1024600311740},
  doi          = {10.1023/A:1024600311740},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/SinanogluBO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/Orailoglu03,
  author       = {Alex Orailoglu},
  title        = {Guest Editor's Introduction},
  journal      = {Int. J. Parallel Program.},
  volume       = {31},
  number       = {6},
  pages        = {407--409},
  year         = {2003},
  url          = {https://doi.org/10.1023/B:IJPP.0000004675.70367.00},
  doi          = {10.1023/B:IJPP.0000004675.70367.00},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/Orailoglu03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BayraktarogluO03,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Concurrent Application of Compaction and Compression for Test Time
                  and Data Volume Reduction in Scan Designs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {52},
  number       = {11},
  pages        = {1480--1489},
  year         = {2003},
  url          = {https://doi.org/10.1109/TC.2003.1244945},
  doi          = {10.1109/TC.2003.1244945},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BayraktarogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Test Data Manipulation Techniques for Energy-Frugal, Rapid Scan Test},
  booktitle    = {12th Asian Test Symposium {(ATS} 2003), 17-19 November 2003, Xian,
                  China},
  pages        = {202--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ATS.2003.1250810},
  doi          = {10.1109/ATS.2003.1250810},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ArslanO03,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Extracting Precise Diagnosis of Bridging Faults from Stuck-at Fault
                  Information},
  booktitle    = {12th Asian Test Symposium {(ATS} 2003), 17-19 November 2003, Xian,
                  China},
  pages        = {230--235},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ATS.2003.1250815},
  doi          = {10.1109/ATS.2003.1250815},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ArslanO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoBO03,
  author       = {Wenjing Rao and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Test application time and volume compression through seed overlapping},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {732--737},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.776020},
  doi          = {10.1145/775832.776020},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RaoBO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Power Efficiency through Application-Specific Instruction Memory Transformations},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10030--10035},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10155},
  doi          = {10.1109/DATE.2003.10155},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaoO03,
  author       = {Wenjing Rao and
                  Alex Orailoglu},
  title        = {Virtual Compression through Test Vector Stitching for Scan Based Designs},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10104--10109},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10110},
  doi          = {10.1109/DATE.2003.10110},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaoO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/PetrovO03a,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Low-power Branch Target Buffer for Application-Specific Embedded Processors},
  booktitle    = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures,
                  Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey},
  pages        = {158--165},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DSD.2003.1231918},
  doi          = {10.1109/DSD.2003.1231918},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/PetrovO03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Hierarchical Constraint Conscious RT-level Test Generation},
  booktitle    = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures,
                  Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey},
  pages        = {312--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DSD.2003.1231961},
  doi          = {10.1109/DSD.2003.1231961},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Customizable Embedded Processor Architectures},
  booktitle    = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures,
                  Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey},
  pages        = {468--475},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DSD.2003.1231986},
  doi          = {10.1109/DSD.2003.1231986},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Parity-based output compaction for core-based SOCs [logic testing]},
  booktitle    = {8th European Test Workshop, {ETW} 2003, Maastricht, The Netherlands,
                  May 25-28, 2003},
  pages        = {15--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ETW.2003.1231663},
  doi          = {10.1109/ETW.2003.1231663},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Partial Core Encryption for Performance-Efficient Test of SOCs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {91--94},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257592},
  doi          = {10.1109/ICCAD.2003.1257592},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Compiler-Based Register Name Adjustment for Low-Power Embedded Processors},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {523--528},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257861},
  doi          = {10.1109/ICCAD.2003.1257861},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Virtual Page Tag Reduction for Low-power TLBs},
  booktitle    = {21st International Conference on Computer Design {(ICCD} 2003),VLSI
                  in Computers and Processors, 13-15 October 2003, San Jose, CA, USA,
                  Proceedings},
  pages        = {371--374},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCD.2003.1240921},
  doi          = {10.1109/ICCD.2003.1240921},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Aggressive Test Power Reduction Through Test Stimuli Transformation},
  booktitle    = {21st International Conference on Computer Design {(ICCD} 2003),VLSI
                  in Computers and Processors, 13-15 October 2003, San Jose, CA, USA,
                  Proceedings},
  pages        = {542--547},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCD.2003.1240953},
  doi          = {10.1109/ICCD.2003.1240953},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Modeling Scan Chain Modifications For Scan-in Test Power Minimization},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {602--611},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1270887},
  doi          = {10.1109/TEST.2003.1270887},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BayraktarogluO03,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Decompression Hardware Determination for Test Volume and Time Reduction
                  through Unified Test Pattern Compaction and Compression},
  booktitle    = {21st {IEEE} {VLSI} Test Symposium {(VTS} 2003), 27 April - 1 May 2003,
                  Napa Valley, CA, {USA}},
  pages        = {113--120},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/VTEST.2003.1197641},
  doi          = {10.1109/VTEST.2003.1197641},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BayraktarogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/codes/2003,
  editor       = {Rajesh Gupta and
                  Yukihiro Nakamura and
                  Alex Orailoglu and
                  Pai H. Chou},
  title        = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003,
                  Newport Beach, CA, USA, October 1-3, 2003},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/944645},
  doi          = {10.1145/944645},
  isbn         = {1-58113-742-7},
  timestamp    = {Mon, 26 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BayraktarogluO02,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Cost-Effective Deterministic Partitioning for Rapid Diagnosis in Scan-Based
                  {BIST}},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {19},
  number       = {1},
  pages        = {42--53},
  year         = {2002},
  url          = {https://doi.org/10.1109/54.980052},
  doi          = {10.1109/54.980052},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BayraktarogluO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OzevOO02,
  author       = {Sule Ozev and
                  Christian Olgaard and
                  Alex Orailoglu},
  title        = {Multilevel Testability Analysis and Solutions for Integrated Bluetooth
                  Transceivers},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {19},
  number       = {5},
  pages        = {82--91},
  year         = {2002},
  url          = {https://doi.org/10.1109/MDT.2002.1033796},
  doi          = {10.1109/MDT.2002.1033796},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/OzevOO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/MakrisCO02,
  author       = {Yiorgos Makris and
                  Jamison Collins and
                  Alex Orailoglu},
  title        = {Fast Hierarchical Test Path Construction for Circuits with DFT-Free
                  Controller-Datapath Interface},
  journal      = {J. Electron. Test.},
  volume       = {18},
  number       = {1},
  pages        = {29--42},
  year         = {2002},
  url          = {https://doi.org/10.1023/A:1013723905896},
  doi          = {10.1023/A:1013723905896},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/MakrisCO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/SinanogluO02,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Efficient Construction of Aliasing-Free Compaction Circuitry},
  journal      = {{IEEE} Micro},
  volume       = {22},
  number       = {5},
  pages        = {82--92},
  year         = {2002},
  url          = {https://doi.org/10.1109/MM.2002.1044302},
  doi          = {10.1109/MM.2002.1044302},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/SinanogluO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GoodbyOC02,
  author       = {Laurence Goodby and
                  Alex Orailoglu and
                  Paul M. Chau},
  title        = {Microarchitectural synthesis of performance-constrained, low-power
                  {VLSI} designs},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {7},
  number       = {1},
  pages        = {122--136},
  year         = {2002},
  url          = {https://doi.org/10.1145/504914.504919},
  doi          = {10.1145/504914.504919},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GoodbyOC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MakrisO02,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {Test Requirement Analysis for Low Cost Hierarchical Test Path Construction},
  booktitle    = {11th Asian Test Symposium {(ATS} 2002), 18-20 November 2002, Guam,
                  {USA}},
  pages        = {134--139},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ATS.2002.1181700},
  doi          = {10.1109/ATS.2002.1181700},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MakrisO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/PetrovO02,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  editor       = {J{\"{o}}rg Henkel and
                  Xiaobo Sharon Hu and
                  Rajesh Gupta and
                  Sri Parameswaran},
  title        = {Energy frugal tags in reprogrammable I-caches for application-specific
                  embedded processors},
  booktitle    = {Proceedings of the Tenth International Symposium on Hardware/Software
                  Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002},
  pages        = {181--186},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/774789.774826},
  doi          = {10.1145/774789.774826},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/PetrovO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BayraktarogluO02,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Gate Level Fault Diagnosis in Scan-Based {BIST}},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {376--381},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998301},
  doi          = {10.1109/DATE.2002.998301},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BayraktarogluO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RedaO02,
  author       = {Sherief Reda and
                  Alex Orailoglu},
  title        = {Reducing Test Application Time Through Test Data Mutation Encoding},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {387--393},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998303},
  doi          = {10.1109/DATE.2002.998303},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RedaO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CotaCLO02,
  author       = {{\'{E}}rika F. Cota and
                  Luigi Carro and
                  Marcelo Lubaszewski and
                  Alex Orailoglu},
  title        = {Test Planning and Design Space Exploration in a Core-Based Environment},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {478--485},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998316},
  doi          = {10.1109/DATE.2002.998316},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/CotaCLO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PetrovO02,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Power Efficient Embedded Processor Ip's through Application-Specific
                  Tag Compression in Data Caches},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {1065--1071},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998434},
  doi          = {10.1109/DATE.2002.998434},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PetrovO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/SinanogluO02,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation
                  Exploitation},
  booktitle    = {17th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC,
                  Canada, Proceedings},
  pages        = {325--333},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DFTVS.2002.1173529},
  doi          = {10.1109/DFTVS.2002.1173529},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/SinanogluO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/SinanogluBO02,
  author       = {Ozgur Sinanoglu and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Dynamic test data transformations for average and peak power reductions},
  booktitle    = {7th European Test Workshop, {ETW} 2002, Corfu, Greece, May 26-29,
                  2002},
  pages        = {113--118},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ETW.2002.1029647},
  doi          = {10.1109/ETW.2002.1029647},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/SinanogluBO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SinanogluO02,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {A novel scan architecture for power-efficient, rapid test},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {299--303},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774617},
  doi          = {10.1145/774572.774617},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SinanogluO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OzevO02,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Cost-Effective Concurrent Test Hardware Design for Linear Analog Circuits},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {258--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106779},
  doi          = {10.1109/ICCD.2002.1106779},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OzevO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ArslanO02,
  author       = {Baris Arslan and
                  Alex Orailoglu},
  title        = {Fault Dictionary Size Reduction through Test Response Superposition},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {480},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106817},
  doi          = {10.1109/ICCD.2002.1106817},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ArslanO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzevOH02,
  author       = {Sule Ozev and
                  Alex Orailoglu and
                  Hosam Haggag},
  title        = {Automated test development and test time reduction for {RF} subsystems},
  booktitle    = {Proceedings of the 2002 International Symposium on Circuits and Systems,
                  {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002},
  pages        = {581--584},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISCAS.2002.1009907},
  doi          = {10.1109/ISCAS.2002.1009907},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OzevOH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/OzevO02,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {An Integrated Tool for Analog Test Generation and Fault Simulation},
  booktitle    = {3rd International Symposium on Quality of Electronic Design, {ISQED}
                  2002, San Jose, CA, USA, March 18-21, 2002},
  pages        = {267--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISQED.2002.996748},
  doi          = {10.1109/ISQED.2002.996748},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/OzevO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RedaDO02,
  author       = {Sherief Reda and
                  Rolf Drechsler and
                  Alex Orailoglu},
  title        = {On the Relation between {SAT} and BDDs for Equivalence Checking},
  booktitle    = {3rd International Symposium on Quality of Electronic Design, {ISQED}
                  2002, San Jose, CA, USA, March 18-21, 2002},
  pages        = {394--399},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISQED.2002.996778},
  doi          = {10.1109/ISQED.2002.996778},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RedaDO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/OrailogluP02,
  author       = {Alex Orailoglu and
                  Peter Petrov},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {Low-Power Data Memory Communication for Application-Specific Embedded
                  Processors},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {219--224},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227181},
  doi          = {10.1109/ISSS.2002.1227181},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/OrailogluP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SinanogluBO02,
  author       = {Ozgur Sinanoglu and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Scan Power Reduction Through Test Data Transition Frequency Analysis},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {844--850},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041838},
  doi          = {10.1109/TEST.2002.1041838},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SinanogluBO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/CotaCOL02,
  author       = {{\'{E}}rika F. Cota and
                  Luigi Carro and
                  Alex Orailoglu and
                  Marcelo Lubaszewski},
  title        = {Generic and Detailed Search for {TAM} Definition in Core-Based Systems},
  booktitle    = {3rd Latin American Test Workshop, {LATW} 2002, Montevideo, Uruguay,
                  February 10-13, 2002},
  pages        = {160--164},
  publisher    = {{IEEE}},
  year         = {2002},
  timestamp    = {Wed, 26 Jul 2023 15:57:25 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/CotaCOL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SinanogluBO02,
  author       = {Ozgur Sinanoglu and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Test Power Reduction through Minimization of Scan Chain Transitions},
  booktitle    = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's
                  a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}},
  pages        = {166--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/VTS.2002.1011129},
  doi          = {10.1109/VTS.2002.1011129},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SinanogluBO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/OzevO02,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Boosting the Accuracy of Analog Test Coverage Computation through
                  Statistical Tolerance Analysis},
  booktitle    = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's
                  a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}},
  pages        = {213--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/VTS.2002.1011141},
  doi          = {10.1109/VTS.2002.1011141},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/OzevO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BayraktarogluO01,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Concurrent test for digital linear systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {9},
  pages        = {1132--1142},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.945308},
  doi          = {10.1109/43.945308},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BayraktarogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PetrovO01,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Performance and power effectiveness in embedded processors customizable
                  partitioned caches},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {11},
  pages        = {1309--1318},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.959860},
  doi          = {10.1109/43.959860},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PetrovO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SinanogluO01,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Compaction Schemes with Minimum Test Application Time},
  booktitle    = {10th Asian Test Symposium {(ATS} 2001), 19-21 November 2001, Kyoto,
                  Japan},
  pages        = {199--204},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ATS.2001.990281},
  doi          = {10.1109/ATS.2001.990281},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SinanogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/AlmukhaizimPO01,
  author       = {Sobeeh Almukhaizim and
                  Peter Petrov and
                  Alex Orailoglu},
  title        = {Faults in Processor Control Subsystems: Testing Correctness and Performance
                  Faults in the Data Prefetching Unit},
  booktitle    = {10th Asian Test Symposium {(ATS} 2001), 19-21 November 2001, Kyoto,
                  Japan},
  pages        = {319--324},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ATS.2001.990303},
  doi          = {10.1109/ATS.2001.990303},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/AlmukhaizimPO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BayraktarogluO01,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Selecting a {PRPG:} Randomness, Primitiveness, or Sheer Luck?},
  booktitle    = {10th Asian Test Symposium {(ATS} 2001), 19-21 November 2001, Kyoto,
                  Japan},
  pages        = {373--378},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ATS.2001.990311},
  doi          = {10.1109/ATS.2001.990311},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BayraktarogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/AlmukhaizimPO01,
  author       = {Sobeeh Almukhaizim and
                  Peter Petrov and
                  Alex Orailoglu},
  title        = {Low-cost, software-based self-test methodologies for performance faults
                  in processor control subsystems},
  booktitle    = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference,
                  {CICC} 2001, San Diego, CA, USA, May 6-9, 2001},
  pages        = {263--266},
  publisher    = {{IEEE}},
  year         = {2001},
  url          = {https://doi.org/10.1109/CICC.2001.929769},
  doi          = {10.1109/CICC.2001.929769},
  timestamp    = {Mon, 10 Oct 2022 09:13:22 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/AlmukhaizimPO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/PetrovO01,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  editor       = {Jan Madsen and
                  J{\"{o}}rg Henkel and
                  Xiaobo Sharon Hu},
  title        = {Towards effective embedded processors in codesigns: customizable partitioned
                  caches},
  booktitle    = {Proceedings of the Ninth International Symposium on Hardware/Software
                  Codesign, {CODES} 2001, Copenhagen, Denmark, 2001},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/371636.371687},
  doi          = {10.1145/371636.371687},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/PetrovO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BayraktarogluO01,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Test Volume and Application Time Reduction Through Scan Chain Concealment},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {151--155},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.378388},
  doi          = {10.1145/378239.378388},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BayraktarogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PetrovO01,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Speeding Up Control-Dominated Applications through Microarchitectural
                  Customizations in Embedded Processors},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {512--517},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.379014},
  doi          = {10.1145/378239.379014},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PetrovO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BayraktarogluO01,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Diagnosis for scan-based {BIST:} reaching deep into the signatures},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {102--111},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915008},
  doi          = {10.1109/DATE.2001.915008},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BayraktarogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/PetrovO01,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  editor       = {Rom{\'{a}}n Hermida and
                  El Mostapha Aboulhamid},
  title        = {Data cache energy minimizations through programmable tag size matching
                  to the applications},
  booktitle    = {Proceedings of the 14th International Symposium on Systems Synthesis,
                  {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September
                  30 - October 3, 2001},
  pages        = {113--117},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957924},
  doi          = {10.1109/ISSS.2001.957924},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/PetrovO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SinanogluO01,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Space and time compaction schemes for embedded cores},
  booktitle    = {Proceedings {IEEE} International Test Conference 2001, Baltimore,
                  MD, USA, 30 October - 1 November 2001},
  pages        = {521--529},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/TEST.2001.966670},
  doi          = {10.1109/TEST.2001.966670},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SinanogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/OlgaardOO01,
  author       = {Christian Olgaard and
                  Sule Ozev and
                  Alex Orailoglu},
  title        = {Testability implications in low-cost integrated radio transceivers:
                  a Bluetooth case study},
  booktitle    = {Proceedings {IEEE} International Test Conference 2001, Baltimore,
                  MD, USA, 30 October - 1 November 2001},
  pages        = {965--974},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/TEST.2001.966721},
  doi          = {10.1109/TEST.2001.966721},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/OlgaardOO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/BayraktarogluO01,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Improved Methods for Fault Diagnosis in Scan-Based {BIST}},
  booktitle    = {2nd Latin American Test Workshop, {LATW} 2001, Cancun, Mexico, February
                  11-14, 2001},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2001},
  timestamp    = {Tue, 25 Jul 2023 13:25:31 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/BayraktarogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SinanogluO01,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {RT-level Fault Simulation Based on Symbolic Propagation},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {240--245},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923445},
  doi          = {10.1109/VTS.2001.923445},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SinanogluO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MakrisPO01,
  author       = {Yiorgos Makris and
                  Vishal Patel and
                  Alex Orailoglu},
  title        = {Efficient Transparency Extraction and Utilization in Hierarchical
                  Test},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {246--251},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923446},
  doi          = {10.1109/VTS.2001.923446},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MakrisPO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HamiltonO00,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu},
  title        = {On-line test for fault-secure fault identification},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {8},
  number       = {4},
  pages        = {446--452},
  year         = {2000},
  url          = {https://doi.org/10.1109/92.863626},
  doi          = {10.1109/92.863626},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HamiltonO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MakrisCO00,
  author       = {Yiorgos Makris and
                  Jamison Collins and
                  Alex Orailoglu},
  title        = {Fast hierarchical test path construction for DFT-free controller-datapath
                  circuits},
  booktitle    = {9th Asian Test Symposium {(ATS} 2000), 4-6 December 2000, Taipei,
                  Taiwan},
  pages        = {185--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ATS.2000.893623},
  doi          = {10.1109/ATS.2000.893623},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MakrisCO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Accumulation-based concurrent fault detection for linear digital state
                  variable systems},
  booktitle    = {9th Asian Test Symposium {(ATS} 2000), 4-6 December 2000, Taipei,
                  Taiwan},
  pages        = {484},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ATS.2000.893671},
  doi          = {10.1109/ATS.2000.893671},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/MakrisOV00,
  author       = {Yiorgos Makris and
                  Alex Orailoglu and
                  Praveen Vishakantaiah},
  title        = {Modular test generation and concurrent transparency-based test translation
                  using gate-level {ATPG}},
  booktitle    = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference,
                  {CICC} 2000, Orlando, FL, USA, May 21-24, 2000},
  pages        = {75--78},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/CICC.2000.852621},
  doi          = {10.1109/CICC.2000.852621},
  timestamp    = {Mon, 10 Oct 2022 09:13:21 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/MakrisOV00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  editor       = {Giovanni De Micheli},
  title        = {Improved fault diagnosis in scan-based {BIST} via superposition},
  booktitle    = {Proceedings of the 37th Conference on Design Automation, Los Angeles,
                  CA, USA, June 5-9, 2000},
  pages        = {55--58},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/337292.337311},
  doi          = {10.1145/337292.337311},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/OzevBO00,
  author       = {Sule Ozev and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  editor       = {Ivo Bolsens},
  title        = {Test Synthesis for Mixed-Signal {SOC} Paths},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {128--133},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840028},
  doi          = {10.1109/DATE.2000.840028},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/OzevBO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GoodbyO00,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  editor       = {Ivo Bolsens},
  title        = {Test Quality and Fault Risk in Digital Filter Datapath {BIST}},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {468--475},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840827},
  doi          = {10.1109/DATE.2000.840827},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GoodbyO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/MakrisCO00,
  author       = {Yiorgos Makris and
                  Jamison Collins and
                  Alex Orailoglu},
  title        = {How to avoid random walks in hierarchical test path identification},
  booktitle    = {5th European Test Workshop, {ETW} 2000, Cascais, Portugal, May 23-26,
                  2000},
  pages        = {111--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ETW.2000.873787},
  doi          = {10.1109/ETW.2000.873787},
  timestamp    = {Tue, 28 Apr 2020 13:03:46 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/MakrisCO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Low cost concurrent test implementation for linear digital systems},
  booktitle    = {5th European Test Workshop, {ETW} 2000, Cascais, Portugal, May 23-26,
                  2000},
  pages        = {140--143},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ETW.2000.873791},
  doi          = {10.1109/ETW.2000.873791},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Cost effective digital filter design for concurrent test},
  booktitle    = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing.
                  {ICASSP} 2000, 5-9 June, 2000, Hilton Hotel and Convention Center,
                  Istanbul, Turkey},
  pages        = {3323--3326},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICASSP.2000.860111},
  doi          = {10.1109/ICASSP.2000.860111},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MakrisCOV00,
  author       = {Yiorgos Makris and
                  Jamison Collins and
                  Alex Orailoglu and
                  Praveen Vishakantaiah},
  title        = {Transparency-based hierarchical test generation for modular {RTL}
                  designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000,
                  Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31
                  May 2000, Proceedings},
  pages        = {689--692},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ISCAS.2000.856422},
  doi          = {10.1109/ISCAS.2000.856422},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MakrisCOV00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Unifying methodologies for high fault coverage concurrent and off-line
                  test of digital filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000,
                  Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31
                  May 2000, Proceedings},
  pages        = {705--708},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ISCAS.2000.856426},
  doi          = {10.1109/ISCAS.2000.856426},
  timestamp    = {Mon, 12 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BayraktarogluO00,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Deterministic partitioning techniques for fault diagnosis in scan-based
                  {BIST}},
  booktitle    = {Proceedings {IEEE} International Test Conference 2000, Atlantic City,
                  NJ, USA, October 2000},
  pages        = {273--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/TEST.2000.894215},
  doi          = {10.1109/TEST.2000.894215},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BayraktarogluO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/OzevO00,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Block-Based Test Integration for Analog Integrated Circuits},
  booktitle    = {1st Latin American Test Workshop, {LATW} 2000, Rio de Janeiro, RJ,
                  Brazil, March 13-15, 2000},
  pages        = {128--132},
  publisher    = {{IEEE}},
  year         = {2000},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/OzevO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/MakrisO00,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and
                  On-Line Test},
  booktitle    = {1st Latin American Test Workshop, {LATW} 2000, Rio de Janeiro, RJ,
                  Brazil, March 13-15, 2000},
  pages        = {250--255},
  publisher    = {{IEEE}},
  year         = {2000},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/MakrisO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/OzevO00,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Test Selection Based on High Level Fault Simulation for Mixed-Signal
                  Systems},
  booktitle    = {18th {IEEE} {VLSI} Test Symposium {(VTS} 2000), 30 April - 4 May 2000,
                  Montreal, Canada},
  pages        = {149--156},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/VTEST.2000.843839},
  doi          = {10.1109/VTEST.2000.843839},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/OzevO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MakrisBO00,
  author       = {Yiorgos Makris and
                  Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Invariance-Based On-Line Test for {RTL} Controller-Datapath Circuits},
  booktitle    = {18th {IEEE} {VLSI} Test Symposium {(VTS} 2000), 30 April - 4 May 2000,
                  Montreal, Canada},
  pages        = {459--464},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/VTEST.2000.843879},
  doi          = {10.1109/VTEST.2000.843879},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MakrisBO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GoodbyO99,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  title        = {Redundancy and testability in digital filter datapaths},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {18},
  number       = {5},
  pages        = {631--644},
  year         = {1999},
  url          = {https://doi.org/10.1109/43.759079},
  doi          = {10.1109/43.759079},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GoodbyO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/MakrisCOV99,
  author       = {Yiorgos Makris and
                  Jamison Collins and
                  Alex Orailoglu and
                  Praveen Vishakantaiah},
  title        = {{TRANSPARENT:} a system for {RTL} testability analysis, {DFT} guidance
                  and hierarchical test generation},
  booktitle    = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference,
                  {CICC} 1999, San Diego, CA, USA, May 16-19, 1999},
  pages        = {159--162},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/CICC.1999.777265},
  doi          = {10.1109/CICC.1999.777265},
  timestamp    = {Fri, 07 Jul 2023 11:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/MakrisCOV99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MakrisO99,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {Channel-Based Behavioral Test Synthesis for Improved Module Reachability},
  booktitle    = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March
                  1999, Munich, Germany},
  pages        = {283--288},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1109/DATE.1999.761135},
  doi          = {10.1109/DATE.1999.761135},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MakrisO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HamiltonOH99,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu and
                  Andre Hertwig},
  title        = {Self Recovering Controller and Datapath Codesign},
  booktitle    = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March
                  1999, Munich, Germany},
  pages        = {596--601},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1109/DATE.1999.761188},
  doi          = {10.1109/DATE.1999.761188},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HamiltonOH99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/OzevO99,
  author       = {Sule Ozev and
                  Alex Orailoglu},
  title        = {Low-Cost Test for Large Analog IC's},
  booktitle    = {14th International Symposium on Defect and Fault-Tolerance in {VLSI}
                  Systems {(DFT} '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings},
  pages        = {101},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/DFTVS.1999.802875},
  doi          = {10.1109/DFTVS.1999.802875},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/OzevO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/MakrisO99,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical
                  Test Paths},
  booktitle    = {14th International Symposium on Defect and Fault-Tolerance in {VLSI}
                  Systems {(DFT} '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings},
  pages        = {339--347},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/DFTVS.1999.802901},
  doi          = {10.1109/DFTVS.1999.802901},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/MakrisO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MakrisO99,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {Property-based testability analysis for hierarchical {RTL} designs},
  booktitle    = {6th {IEEE} International Conference on Electronics, Circuits and Systems,
                  {ICECS} 1999, Pafos, Cyprus, September 5-8, 1999},
  pages        = {1089--1092},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICECS.1999.813423},
  doi          = {10.1109/ICECS.1999.813423},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MakrisO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BayraktarogluO99,
  author       = {Ismet Bayraktaroglu and
                  Alex Orailoglu},
  title        = {Low-Cost On-Line Test for Digital Filters},
  booktitle    = {17th {IEEE} {VLSI} Test Symposium {(VTS} '99), 25-30 April 1999, San
                  Diego, CA, {USA}},
  pages        = {446--451},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/VTEST.1999.766702},
  doi          = {10.1109/VTEST.1999.766702},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BayraktarogluO99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HamiltonO98,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu},
  title        = {Efficient Self-Recovering {ASIC} Design},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {15},
  number       = {4},
  pages        = {25--35},
  year         = {1998},
  url          = {https://doi.org/10.1109/54.735924},
  doi          = {10.1109/54.735924},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HamiltonO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/Orailoglu98,
  author       = {Alex Orailoglu},
  title        = {On-Line Fault Resilience Through Gracefully Degradable ASICs},
  journal      = {J. Electron. Test.},
  volume       = {12},
  number       = {1-2},
  pages        = {145--151},
  year         = {1998},
  url          = {https://doi.org/10.1023/A:1008298226600},
  doi          = {10.1023/A:1008298226600},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/Orailoglu98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/MakrisO98,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {{RTL} Test Justification and Propagation Analysis for Modular Designs},
  journal      = {J. Electron. Test.},
  volume       = {13},
  number       = {2},
  pages        = {105--120},
  year         = {1998},
  url          = {https://doi.org/10.1023/A:1008301720070},
  doi          = {10.1023/A:1008301720070},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/MakrisO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BayraktarogluUO98,
  author       = {Ismet Bayraktaroglu and
                  K. Udawatta and
                  Alex Orailoglu},
  title        = {An Examination of {PRPG} Selection Approaches for Large, Industrial
                  Designs},
  booktitle    = {7th Asian Test Symposium {(ATS} '98), 2-4 December 1998, Singapore},
  pages        = {440},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ATS.1998.741654},
  doi          = {10.1109/ATS.1998.741654},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BayraktarogluUO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HamiltonO98,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu},
  editor       = {Patrick M. Dewilde and
                  Franz J. Rammig and
                  Gerry Musgrave},
  title        = {Concurrent Error Recovery with Near-Zero Latency in Synthesized ASICs},
  booktitle    = {1998 Design, Automation and Test in Europe {(DATE} '98), February
                  23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France},
  pages        = {604--609},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DATE.1998.655920},
  doi          = {10.1109/DATE.1998.655920},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HamiltonO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/HamiltonO98,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu},
  title        = {Transient and Intermittent Fault Recovery without Rollback},
  booktitle    = {13th International Symposium on Defect and Fault-Tolerance in {VLSI}
                  Systems {(DFT} '98), 2-4 November 1998, Austin, TX, USA, Proceedings},
  pages        = {252--260},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DFTVS.1998.732173},
  doi          = {10.1109/DFTVS.1998.732173},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/HamiltonO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/Orailoglu98,
  author       = {Alex Orailoglu},
  title        = {Graceful Degradation in Synthesis of {VLSI} ICs},
  booktitle    = {13th International Symposium on Defect and Fault-Tolerance in {VLSI}
                  Systems {(DFT} '98), 2-4 November 1998, Austin, TX, USA, Proceedings},
  pages        = {301--311},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DFTVS.1998.732179},
  doi          = {10.1109/DFTVS.1998.732179},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/Orailoglu98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MakrisO98,
  author       = {Yiorgos Makris and
                  Alex Orailoglu},
  title        = {{DFT} guidance through {RTL} test justification and propagation analysis},
  booktitle    = {Proceedings {IEEE} International Test Conference 1998, Washington,
                  DC, USA, October 18-22, 1998},
  pages        = {668--677},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/TEST.1998.743211},
  doi          = {10.1109/TEST.1998.743211},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MakrisO98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/Orailoglu97,
  author       = {Alex Orailoglu},
  title        = {Microarchitectural synthesis for rapid {BIST} testing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {16},
  number       = {6},
  pages        = {573--586},
  year         = {1997},
  url          = {https://doi.org/10.1109/43.640616},
  doi          = {10.1109/43.640616},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/Orailoglu97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/HarrisO97,
  author       = {Ian G. Harris and
                  Alex Orailoglu},
  title        = {Module Selection in Microarchitectural Synthesis for Multiple Critical
                  Constraint Satisfaction},
  journal      = {{VLSI} Design},
  volume       = {5},
  number       = {2},
  pages        = {167--182},
  year         = {1997},
  url          = {https://doi.org/10.1155/1997/81902},
  doi          = {10.1155/1997/81902},
  timestamp    = {Sat, 05 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/HarrisO97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GoodbyO97,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  editor       = {Ellen J. Yoffa and
                  Giovanni De Micheli and
                  Jan M. Rabaey},
  title        = {Frequency-Domain Compatibility in Digital Filter {BIST}},
  booktitle    = {Proceedings of the 34st Conference on Design Automation, Anaheim,
                  California, USA, Anaheim Convention Center, June 9-13, 1997},
  pages        = {540--545},
  publisher    = {{ACM} Press},
  year         = {1997},
  url          = {https://doi.org/10.1145/266021.266278},
  doi          = {10.1145/266021.266278},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GoodbyO97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ftcs/HamiltonO97,
  author       = {Samuel Norman Hamilton and
                  Alex Orailoglu},
  title        = {Microarchitectural Synthesis of ICs with Embedded Concurrent Fault
                  Isolation},
  booktitle    = {Digest of Papers: FTCS-27, The Twenty-Seventh Annual International
                  Symposium on Fault-Tolerant Computing, Seattle, Washington, USA, June
                  24-27, 1997},
  pages        = {329--338},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/FTCS.1997.614107},
  doi          = {10.1109/FTCS.1997.614107},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ftcs/HamiltonO97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/KarriHO96,
  author       = {Ramesh Karri and
                  Karin H{\"{o}}gstedt and
                  Alex Orailoglu},
  title        = {Computer-Aided Design of Fault-Tolerant {VLSI} Systems},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {13},
  number       = {3},
  pages        = {88--96},
  year         = {1996},
  url          = {https://doi.org/10.1109/54.536099},
  doi          = {10.1109/54.536099},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/KarriHO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/OrailogluK96,
  author       = {Alex Orailoglu and
                  Ramesh Karri},
  title        = {Automatic Synthesis of Self-Recovering {VLSI} Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {45},
  number       = {2},
  pages        = {131--142},
  year         = {1996},
  url          = {https://doi.org/10.1109/12.485368},
  doi          = {10.1109/12.485368},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/OrailogluK96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/KarriO96,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  title        = {Time-constrained scheduling during high-level synthesis of fault-secure
                  {VLSI} digital signal processors},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {45},
  number       = {3},
  pages        = {404--412},
  year         = {1996},
  url          = {https://doi.org/10.1109/24.536993},
  doi          = {10.1109/24.536993},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tr/KarriO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GoodbyO96,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  editor       = {Thomas Pennino and
                  Ellen J. Yoffa},
  title        = {Pseudorandom-Pattern Test Resistance in High-Performance {DSP} Datapaths},
  booktitle    = {Proceedings of the 33st Conference on Design Automation, Las Vegas,
                  Nevada, USA, Las Vegas Convention Center, June 3-7, 1996},
  pages        = {813--818},
  publisher    = {{ACM} Press},
  year         = {1996},
  url          = {https://doi.org/10.1145/240518.240671},
  doi          = {10.1145/240518.240671},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GoodbyO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChanO96,
  author       = {Wah Chan and
                  Alex Orailoglu},
  title        = {High-level synthesis of gracefully degradable ASICs},
  booktitle    = {1996 European Design and Test Conference, ED{\&}TC 1996, Paris,
                  France, March 11-14, 1996},
  pages        = {50--54},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/EDTC.1996.494127},
  doi          = {10.1109/EDTC.1996.494127},
  timestamp    = {Fri, 20 May 2022 15:52:30 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChanO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/GoodbyO96,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  title        = {Variance mismatch: identifying random-test resistance in {DSP} datapaths},
  booktitle    = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal
                  Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia,
                  USA, May 7-10, 1996},
  pages        = {3205--3208},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICASSP.1996.550558},
  doi          = {10.1109/ICASSP.1996.550558},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/GoodbyO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Orailoglu96,
  author       = {Alex Orailoglu},
  title        = {Microarchitectural synthesis of gracefully degradable, dynamically
                  reconfigurable ASICs},
  booktitle    = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI}
                  in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings},
  pages        = {112--117},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICCD.1996.563542},
  doi          = {10.1109/ICCD.1996.563542},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Orailoglu96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/CampbellKLMNO96,
  author       = {R. L. Campbell and
                  P. Kuekes and
                  David Y. Lepejian and
                  Wojciech P. Maly and
                  Michael Nicolaidis and
                  Alex Orailoglu},
  title        = {Can Defect-Tolerant Chips Better Meet the Quality Challenge?},
  booktitle    = {14th {IEEE} {VLSI} Test Symposium (VTS'96), April 28 - May 1, 1996,
                  Princeton, NJ, {USA}},
  pages        = {362--363},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.1996.10016},
  doi          = {10.1109/VTS.1996.10016},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/CampbellKLMNO96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/VahidiO95,
  author       = {Mahsa Vahidi and
                  Alex Orailoglu},
  editor       = {Gerald Musgrave},
  title        = {Metric-based transformations for self testable {VLSI} designs with
                  high test concurrency},
  booktitle    = {Proceedings EURO-DAC'95, European Design Automation Conference with
                  EURO-VHDL, Brighton, England, UK, September 18-22, 1995},
  pages        = {136--141},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/EURDAC.1995.527399},
  doi          = {10.1109/EURDAC.1995.527399},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/eurodac/VahidiO95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/GoodbyO95,
  author       = {Laurence Goodby and
                  Alex Orailoglu},
  title        = {Towards 100{\%} Testable {FIR} Digital Filters},
  booktitle    = {Proceedings {IEEE} International Test Conference 1995, Driving Down
                  the Cost of Test, Washington, DC, USA, October 21-25, 1995},
  pages        = {394--402},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/TEST.1995.529865},
  doi          = {10.1109/TEST.1995.529865},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/GoodbyO95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/VahidiO95,
  author       = {Mahsa Vahidi and
                  Alex Orailoglu},
  title        = {Testability metrics for synthesis of self-testable designs and effective
                  test plans},
  booktitle    = {13th {IEEE} {VLSI} Test Symposium (VTS'95), April 30 - May 3, 1995,
                  Princeton, New Jersey, {USA}},
  pages        = {170--175},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/VTEST.1995.512633},
  doi          = {10.1109/VTEST.1995.512633},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/VahidiO95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jss/OrailogluK94,
  author       = {Alex Orailoglu and
                  Ramesh Karri},
  title        = {Synthesis of fault-tolerant and real-time microarchitectures},
  journal      = {J. Syst. Softw.},
  volume       = {25},
  number       = {1},
  pages        = {73--84},
  year         = {1994},
  url          = {https://doi.org/10.1016/0164-1212(94)90058-2},
  doi          = {10.1016/0164-1212(94)90058-2},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jss/OrailogluK94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/OrailogluK94,
  author       = {Alex Orailoglu and
                  Ramesh Karri},
  title        = {Coactive scheduling and checkpoint determination during high level
                  synthesis of self-recovering microarchitectures},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {2},
  number       = {3},
  pages        = {304--311},
  year         = {1994},
  url          = {https://doi.org/10.1109/92.311639},
  doi          = {10.1109/92.311639},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/OrailogluK94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HarrisO94,
  author       = {Ian G. Harris and
                  Alex Orailoglu},
  editor       = {Michael J. Lorenzetti},
  title        = {Microarchitectural Synthesis of {VLSI} Designs with High Test Concurrency},
  booktitle    = {Proceedings of the 31st Conference on Design Automation, San Diego,
                  California, USA, June 6-10, 1994},
  pages        = {206--211},
  publisher    = {{ACM} Press},
  year         = {1994},
  url          = {https://doi.org/10.1145/196244.196353},
  doi          = {10.1145/196244.196353},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HarrisO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarriO94,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  editor       = {Michael J. Lorenzetti},
  title        = {Area-Efficient Fault Detection During Self-Recovering Microarchitecture
                  Synthesis},
  booktitle    = {Proceedings of the 31st Conference on Design Automation, San Diego,
                  California, USA, June 6-10, 1994},
  pages        = {552--556},
  publisher    = {{ACM} Press},
  year         = {1994},
  url          = {https://doi.org/10.1145/196244.196532},
  doi          = {10.1145/196244.196532},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KarriO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/HarrisO94,
  author       = {Ian G. Harris and
                  Alex Orailoglu},
  editor       = {Robert Werner},
  title        = {Fine-Grained Concurrency in Test Scheduling for Partial-Intrusion
                  {BIST}},
  booktitle    = {{EDAC} - The European Conference on Design Automation, {ETC} - European
                  Test Conference, {EUROASIC} - The European Event in {ASIC} Design,
                  Proceedings, February 28 - March 3, 1994, Paris, France},
  pages        = {119--123},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/EDTC.1994.326888},
  doi          = {10.1109/EDTC.1994.326888},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/eurodac/HarrisO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KarriO94,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  title        = {Simulated annealing based yield enhancement of layouts},
  booktitle    = {Fourth Great Lakes Symposium on Design Automation of High Performance
                  {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994},
  pages        = {166--169},
  publisher    = {{IEEE}},
  year         = {1994},
  url          = {https://doi.org/10.1109/GLSV.1994.289975},
  doi          = {10.1109/GLSV.1994.289975},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KarriO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HarrisO94,
  author       = {Ian G. Harris and
                  Alex Orailoglu},
  title        = {{SYNCBIST:} SYNthesis for Concurrent Built-In-Self-Testability},
  booktitle    = {Proceedings 1994 {IEEE} International Conference on Computer Design:
                  {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA,
                  USA, October 10-12, 1994},
  pages        = {101--104},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICCD.1994.331864},
  doi          = {10.1109/ICCD.1994.331864},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HarrisO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GoodbyOC94,
  author       = {Laurence Goodby and
                  Alex Orailoglu and
                  Paul M. Chau},
  title        = {Microarchitectural Synthesis of Performance-Constrained, Low-Power
                  {VLSI} Designs},
  booktitle    = {Proceedings 1994 {IEEE} International Conference on Computer Design:
                  {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA,
                  USA, October 10-12, 1994},
  pages        = {323--326},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICCD.1994.331916},
  doi          = {10.1109/ICCD.1994.331916},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GoodbyOC94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HogstedtO94,
  author       = {Karin H{\"{o}}gstedt and
                  Alex Orailoglu},
  title        = {Integrating Binding Constraints in the Synthesis of Area-Efficient
                  Self-Recovering Microarchitectures},
  booktitle    = {Proceedings 1994 {IEEE} International Conference on Computer Design:
                  {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA,
                  USA, October 10-12, 1994},
  pages        = {331--334},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICCD.1994.331918},
  doi          = {10.1109/ICCD.1994.331918},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HogstedtO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/KarriHO94,
  author       = {Ramesh Karri and
                  Karin H{\"{o}}gstedt and
                  Alex Orailoglu},
  editor       = {Pierre G. Paulin},
  title        = {Rapid prototyping of fault-tolerant {VLSI} systems},
  booktitle    = {Proceedings of the 7th International Symposium on High Level Synthesis,
                  HLSS'94, Niagra-on-the-Lake, ON, Canada, May 18-20, 1994},
  pages        = {126--131},
  publisher    = {{ACM}},
  year         = {1994},
  url          = {https://doi.org/10.1109/ISHLS.1994.302331},
  doi          = {10.1109/ISHLS.1994.302331},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/KarriHO94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarriO93,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  editor       = {Alfred E. Dunlop},
  title        = {High-Level Synthesis of Fault-Secure Microarchitectures},
  booktitle    = {Proceedings of the 30th Design Automation Conference. Dallas, Texas,
                  USA, June 14-18, 1993},
  pages        = {429--433},
  publisher    = {{ACM} Press},
  year         = {1993},
  url          = {https://doi.org/10.1145/157485.164963},
  doi          = {10.1145/157485.164963},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KarriO93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ftcs/KarriO93,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  title        = {Optimal Self-Recovering Microarchitecture Synthesis},
  booktitle    = {Digest of Papers: FTCS-23, The Twenty-Third Annual International Symposium
                  on Fault-Tolerant Computing, Toulouse, France, June 22-24, 1993},
  pages        = {512--521},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/FTCS.1993.627354},
  doi          = {10.1109/FTCS.1993.627354},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ftcs/KarriO93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OrailogluH93,
  author       = {Alex Orailoglu and
                  Ian G. Harris},
  title        = {Test Path Generation and Test Scheduling for Self-Testable Designs},
  booktitle    = {Proceedings 1993 International Conference on Computer Design: {VLSI}
                  in Computers {\&} Processors, {ICCD} '93, Cambridge, MA, USA,
                  October 3-6, 1993},
  pages        = {528--531},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/ICCD.1993.393321},
  doi          = {10.1109/ICCD.1993.393321},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OrailogluH93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HarrisO93,
  author       = {Ian G. Harris and
                  Alex Orailoglu},
  title        = {Intertwined Scheduling, Module Selection and Allocation in Time-and-Area},
  booktitle    = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  1993, Chicago, Illinois, USA, May 3-6, 1993},
  pages        = {1682--1685},
  publisher    = {{IEEE}},
  year         = {1993},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HarrisO93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarriO92,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  editor       = {Daniel G. Schweikert},
  title        = {Transformation-Based High-Level Synthesis of Fault-Tolerant ASICs},
  booktitle    = {Proceedings of the 29th Design Automation Conference, Anaheim, California,
                  USA, June 8-12, 1992},
  pages        = {662--665},
  publisher    = {{IEEE} Computer Society Press},
  year         = {1992},
  url          = {http://portal.acm.org/citation.cfm?id=113938.149660},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KarriO92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ftcs/KarriO92,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  title        = {Scheduling with Rollback Constraints in High-Level Synthesis of Self-Recovering
                  ASICs},
  booktitle    = {Digest of Papers: FTCS-22, The Twenty-Second Annual International
                  Symposium on Fault-Tolerant Computing, Boston, Massachusetts, USA,
                  July 8-10, 1992},
  pages        = {519--526},
  publisher    = {{IEEE} Computer Society},
  year         = {1992},
  url          = {https://doi.org/10.1109/FTCS.1992.243581},
  doi          = {10.1109/FTCS.1992.243581},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ftcs/KarriO92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OrailogluK92,
  author       = {Alex Orailoglu and
                  Ramesh Karri},
  title        = {High-Level Synthesis of Self-Recovering MicroArchitectures},
  booktitle    = {Proceedings 1992 {IEEE} International Conference on Computer Design:
                  {VLSI} in Computer {\&} Processors, {ICCD} '92, Cambridge, MA,
                  USA, October 11-14, 1992},
  pages        = {286--289},
  publisher    = {{IEEE} Computer Society},
  year         = {1992},
  url          = {https://doi.org/10.1109/ICCD.1992.276271},
  doi          = {10.1109/ICCD.1992.276271},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OrailogluK92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/expert/HekmatpourOC91,
  author       = {Amir K. Hekmatpour and
                  Alex Orailoglu and
                  Paul M. Chau},
  title        = {Hierarchical Modeling of the {VLSI} Design Process},
  journal      = {{IEEE} Expert},
  volume       = {6},
  number       = {2},
  pages        = {56--70},
  year         = {1991},
  url          = {https://doi.org/10.1109/64.79710},
  doi          = {10.1109/64.79710},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/expert/HekmatpourOC91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KarriO91,
  author       = {Ramesh Karri and
                  Alex Orailoglu},
  editor       = {Yashwant K. Malaiya},
  title        = {{ALPS:} An Algorithm for Pipeline Data Path Synthesis},
  booktitle    = {Proceedings of the 24th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 24, Albuquerque, New Mexico, USA, November
                  18-20, 1991},
  pages        = {124--132},
  publisher    = {{ACM/IEEE}},
  year         = {1991},
  url          = {https://doi.org/10.1145/123465.123490},
  doi          = {10.1145/123465.123490},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KarriO91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/OrailogluG86,
  author       = {Alex Orailoglu and
                  Daniel Gajski},
  editor       = {Don Thomas},
  title        = {Flow graph representation},
  booktitle    = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las
                  Vegas, NV, USA, June, 1986},
  pages        = {503--509},
  publisher    = {{IEEE} Computer Society Press},
  year         = {1986},
  url          = {https://doi.org/10.1145/318013.318093},
  doi          = {10.1145/318013.318093},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/OrailogluG86.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Orailoglu83,
  author       = {Alex Orailoglu},
  title        = {Software Design Issues in the Implementation of Hierarchical, Display
                  Editors},
  school       = {University of Illinois Urbana-Champaign, {USA}},
  year         = {1983},
  url          = {https://hdl.handle.net/2142/69527},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Orailoglu83.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}