Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Makoto Ikeda
@article{DBLP:journals/ieiceta/FukudaI24, author = {Momoko Fukuda and Makoto Ikeda}, title = {Template-Based Design Optimization for Selecting Pairing-Friendly Curve Parameters}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {107}, number = {3}, pages = {549--556}, year = {2024}, url = {https://doi.org/10.1587/transfun.2023vlp0009}, doi = {10.1587/TRANSFUN.2023VLP0009}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/FukudaI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/AbeI24, author = {Kotaro Abe and Makoto Ikeda}, title = {Template Attacks on {ECDSA} Hardware and Theoretical Estimation of the Success Rate}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {107}, number = {3}, pages = {575--582}, year = {2024}, url = {https://doi.org/10.1587/transfun.2023vlp0010}, doi = {10.1587/TRANSFUN.2023VLP0010}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/AbeI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HigashiAQIMB24, author = {Shunya Higashi and Phudit Ampririt and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Design and Implementation of a Fuzzy-Based System for Assessment of Relational Trust}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 38th International Conference on Advanced Information Networking and Applications (AINA-2024), Kitakyushu, Japan, 17-19 April, 2024, Volume 4}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {202}, pages = {38--47}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57916-5\_4}, doi = {10.1007/978-3-031-57916-5\_4}, timestamp = {Mon, 15 Apr 2024 13:38:54 +0200}, biburl = {https://dblp.org/rec/conf/aina/HigashiAQIMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KakimotoIIB24, author = {Koichi Kakimoto and Takahiro Immaru and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Filtering Method for Machine Learning Utilization of {ADS-B} Data}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 38th International Conference on Advanced Information Networking and Applications (AINA-2024), Kitakyushu, Japan, 17-19 April, 2024, Volume 1}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {199}, pages = {251--260}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57840-3\_23}, doi = {10.1007/978-3-031-57840-3\_23}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/KakimotoIIB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/TanakaSTIB24, author = {Hibiki Tanaka and Kazuki Shimomura and Naoki Tanaka and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {An AI-Driven System for Identifying Dangerous Driving Vehicles}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 38th International Conference on Advanced Information Networking and Applications (AINA-2024), Kitakyushu, Japan, 17-19 April, 2024, Volume 6}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {204}, pages = {395--400}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57942-4\_38}, doi = {10.1007/978-3-031-57942-4\_38}, timestamp = {Mon, 15 Apr 2024 14:21:46 +0200}, biburl = {https://dblp.org/rec/conf/aina/TanakaSTIB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/HigashiAQIMB24, author = {Shunya Higashi and Phudit Ampririt and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Implementation of a Fuzzy-Based System for Assessment of Logical Trust Considering Reliability as a New Parameter}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 12th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2024, Naples, Italy, 21-23 February 2024}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {193}, pages = {43--52}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-53555-0\_5}, doi = {10.1007/978-3-031-53555-0\_5}, timestamp = {Wed, 21 Feb 2024 15:07:30 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/HigashiAQIMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/TanakaTIB24, author = {Naoki Tanaka and Hibiki Tanaka and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Comparative Study of Four YOLO-Based Models for Distracted Driving Detection}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 12th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2024, Naples, Italy, 21-23 February 2024}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {193}, pages = {362--370}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-53555-0\_34}, doi = {10.1007/978-3-031-53555-0\_34}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/TanakaTIB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/AwanoI23, author = {Hiromitsu Awano and Makoto Ikeda}, title = {BayesianPUFNet: Training Sample Efficient Modeling Attack for Physically Unclonable Functions}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {106}, number = {5}, pages = {840--850}, year = {2023}, url = {https://doi.org/10.1587/transfun.2022eap1061}, doi = {10.1587/TRANSFUN.2022EAP1061}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/AwanoI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetc/MasadaNI23, author = {Kaoru Masada and Ryohei Nakayama and Makoto Ikeda}, title = {High Speed {ASIC} Architectures for Aggregate Signature over {BLS12-381}}, journal = {{IEICE} Trans. Electron.}, volume = {106}, number = {6}, pages = {331--334}, year = {2023}, url = {https://doi.org/10.1587/transele.2022lhs0001}, doi = {10.1587/TRANSELE.2022LHS0001}, timestamp = {Sat, 24 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetc/MasadaNI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/AmpriritHQIMB23, author = {Phudit Ampririt and Shunya Higashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {An intelligent fuzzy-based system for handover decision in 5G-IoT networks considering network slicing and {SDN} technologies}, journal = {Internet Things}, volume = {23}, pages = {100870}, year = {2023}, url = {https://doi.org/10.1016/j.iot.2023.100870}, doi = {10.1016/J.IOT.2023.100870}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/AmpriritHQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/Ikeda23, author = {Makoto Ikeda}, title = {Performance evaluation of contact-time based and adaptive-timer based message suppression methods for inter-vehicle communication in vehicular {DTN}}, journal = {J. High Speed Networks}, volume = {29}, number = {1}, pages = {57--73}, year = {2023}, url = {https://doi.org/10.3233/JHS-222071}, doi = {10.3233/JHS-222071}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/Ikeda23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/TanakaTSIB23, author = {Hibiki Tanaka and Naoki Tanaka and Shoei Sakano and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {An AI-Based Support System for Left-Behind Children Detection in Vehicles}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 18th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2023), Daegu, Korea, 6-8 November 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {189}, pages = {39--47}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46970-1\_4}, doi = {10.1007/978-3-031-46970-1\_4}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3pgcic/TanakaTSIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/AmpriritHQIMB23, author = {Phudit Ampririt and Shunya Higashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Design and Implementation of a Fuzzy-Based System and a Testbed for Selection of Radio Access Technologies in 5G Wireless Networks}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 18th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2023), Daegu, Korea, 6-8 November 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {189}, pages = {48--58}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46970-1\_5}, doi = {10.1007/978-3-031-46970-1\_5}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3pgcic/AmpriritHQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/AmpriritIMB23, author = {Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based Scheme for Selection of Radio Access Technologies in 5G Wireless Networks: QoE Assessment and Its Performance Evaluation}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 37th International Conference on Advanced Information Networking and Applications (AINA-2023), Juiz de Fora, Brazil, 29-31 March 2023, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {661}, pages = {44--53}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-29056-5\_5}, doi = {10.1007/978-3-031-29056-5\_5}, timestamp = {Tue, 21 Mar 2023 12:14:30 +0100}, biburl = {https://dblp.org/rec/conf/aina/AmpriritIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/QafzeziBKIMB23, author = {Ermioni Qafzezi and Kevin Bylykbashi and Elis Kulla and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Implementation of a Fuzzy-Based Testbed for Assessment of Neighbor Vehicle Processing Capability in SDN-VANETs}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 37th International Conference on Advanced Information Networking and Applications (AINA-2023), Juiz de Fora, Brazil, 29-31 March 2023, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {661}, pages = {104--112}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-29056-5\_11}, doi = {10.1007/978-3-031-29056-5\_11}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/QafzeziBKIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/UchimuraAIB23, author = {Shota Uchimura and Masaya Azuma and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {{DTAG:} {A} Dynamic Threshold-Based Anti-packet Generation Method for Vehicular {DTN}}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 37th International Conference on Advanced Information Networking and Applications (AINA-2023), Juiz de Fora, Brazil, 29-31 March 2023, Volume 3}, series = {Lecture Notes in Networks and Systems}, volume = {655}, pages = {406--414}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-28694-0\_39}, doi = {10.1007/978-3-031-28694-0\_39}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/UchimuraAIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/OpasatianI23, author = {Anawin Opasatian and Makoto Ikeda}, title = {High-Performance {BLS12-381} Pairing Engine on {FPGA}}, booktitle = {15th {IEEE} International Conference on ASIC, {ASICON} 2023, Nanjing, China, October 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASICON58565.2023.10396122}, doi = {10.1109/ASICON58565.2023.10396122}, timestamp = {Fri, 16 Feb 2024 14:02:58 +0100}, biburl = {https://dblp.org/rec/conf/asicon/OpasatianI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HigashiAQIMB23, author = {Shunya Higashi and Phudit Ampririt and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {{FSALT:} {A} Fuzzy-Based System for Assessment of Logical Trust and Its Performance Evaluation}, booktitle = {Advances on Broad-Band and Wireless Computing, Communication and Applications - Proceedings of the 18th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2023), Daegu, Korea, 6-8 November 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {186}, pages = {292--301}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46784-4\_28}, doi = {10.1007/978-3-031-46784-4\_28}, timestamp = {Tue, 13 Feb 2024 15:40:43 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/HigashiAQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TachibanaSIB23, author = {Shura Tachibana and Ryuki Shiromoto and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {Effect of Multiple Unmanned Aerial Vehicles on Data Transmission Considering DTN-Based {V2V} Communication in Urban Area}, booktitle = {Advances on Broad-Band and Wireless Computing, Communication and Applications - Proceedings of the 18th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2023), Daegu, Korea, 6-8 November 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {186}, pages = {335--344}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46784-4\_32}, doi = {10.1007/978-3-031-46784-4\_32}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/TachibanaSIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/TachibanaUIB23, author = {Shura Tachibana and Shota Uchimura and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {Performance Evaluation of DTAG-Based Recovery Method for {DTN} Considering a Real Urban Road Model}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 17th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2023), 5-7 July 2023, Toronto, ON, Canada}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {176}, pages = {30--37}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35734-3\_4}, doi = {10.1007/978-3-031-35734-3\_4}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/TachibanaUIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/HigashiAQIMB23, author = {Shunya Higashi and Phudit Ampririt and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Design and Performance Evaluation of a Fuzzy-Based System for Assessment of Emotional Trust}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 17th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2023), 5-7 July 2023, Toronto, ON, Canada}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {176}, pages = {116--123}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35734-3\_12}, doi = {10.1007/978-3-031-35734-3\_12}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/HigashiAQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/OpasatianI23, author = {Anawin Opasatian and Makoto Ikeda}, title = {Lookup Table Modular Reduction: {A} Low-Latency Modular Reduction for Fast {ECC} Processor}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10122002}, doi = {10.1109/COOLCHIPS57690.2023.10122002}, timestamp = {Mon, 22 May 2023 21:13:40 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/OpasatianI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/KullaBMI23, author = {Elis Kulla and Leonard Barolli and Keita Matsuo and Makoto Ikeda}, editor = {Leonard Barolli}, title = {Blockchain Applications for Mobility-as-a-Service Ecosystem: {A} Survey}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 11th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2023, Semarang, Indonesia, 23-25 February 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {161}, pages = {129--140}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26281-4\_13}, doi = {10.1007/978-3-031-26281-4\_13}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/KullaBMI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/AmpriritIMB23, author = {Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based Approach for Selection of Radio Access Technologies in 5G Wireless Networks}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 11th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2023, Semarang, Indonesia, 23-25 February 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {161}, pages = {297--307}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26281-4\_31}, doi = {10.1007/978-3-031-26281-4\_31}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/AmpriritIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/TsuneyoshiIB23, author = {Mitsuki Tsuneyoshi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {{FBCF:} {A} Fuzzy-Based Brake-Assisting Control Function for Rail Vehicles Using Type-1 and Type-2 Fuzzy Inference Models}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 11th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2023, Semarang, Indonesia, 23-25 February 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {161}, pages = {423--431}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26281-4\_44}, doi = {10.1007/978-3-031-26281-4\_44}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/TsuneyoshiIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/QafzeziBKIMB23, author = {Ermioni Qafzezi and Kevin Bylykbashi and Elis Kulla and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {Implementation of a Fuzzy-Based Testbed for Coordination and Management of Cloud-Fog-Edge Resources in SDN-VANETs}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 11th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2023, Semarang, Indonesia, 23-25 February 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {161}, pages = {460--470}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26281-4\_48}, doi = {10.1007/978-3-031-26281-4\_48}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/QafzeziBKIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/BayasgalanI23, author = {Amartuvshin Bayasgalan and Makoto Ikeda}, title = {A Design of {BNN} Accelerator using Gate-level Pipelined Self-Synchronous Circuit}, booktitle = {International Conference on {IC} Design and Technology, {ICICDT} 2023, Tokyo, Japan, September 25-28, 2023}, pages = {20--24}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICICDT59917.2023.10332413}, doi = {10.1109/ICICDT59917.2023.10332413}, timestamp = {Thu, 04 Jan 2024 08:13:40 +0100}, biburl = {https://dblp.org/rec/conf/icicdt/BayasgalanI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/FukudaI23, author = {Momoko Fukuda and Makoto Ikeda}, title = {Template-based design optimization for multiple pairing-friendly curve parameters}, booktitle = {International Conference on {IC} Design and Technology, {ICICDT} 2023, Tokyo, Japan, September 25-28, 2023}, pages = {96--99}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICICDT59917.2023.10332273}, doi = {10.1109/ICICDT59917.2023.10332273}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicdt/FukudaI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/WangI23, author = {Zeyu Wang and Makoto Ikeda}, title = {High-Throughput Key Switching Accelerator for Homomorphic Encryption}, booktitle = {International Conference on {IC} Design and Technology, {ICICDT} 2023, Tokyo, Japan, September 25-28, 2023}, pages = {100--103}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICICDT59917.2023.10332291}, doi = {10.1109/ICICDT59917.2023.10332291}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicdt/WangI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/YeI23, author = {Ziyang Ye and Makoto Ikeda}, title = {Assessing the Vulnerability of Time-Controlled Logic-Loop-Based Circuits to Voltage Fault Injection and Power Monitoring Attacks}, booktitle = {International Conference on {IC} Design and Technology, {ICICDT} 2023, Tokyo, Japan, September 25-28, 2023}, pages = {112--115}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICICDT59917.2023.10332297}, doi = {10.1109/ICICDT59917.2023.10332297}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicdt/YeI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/MiaoI23, author = {Yijie Miao and Makoto Ikeda}, editor = {Ilias Maglogiannis and Lazaros S. Iliadis and John MacIntyre and Manuel Dom{\'{\i}}nguez}, title = {Lossless Method of Constraining Membrane Potential in Deep Spiking Neural Networks}, booktitle = {Artificial Intelligence Applications and Innovations - 19th {IFIP} {WG} 12.5 International Conference, {AIAI} 2023, Le{\'{o}}n, Spain, June 14-17, 2023, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {676}, pages = {529--540}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34107-6\_42}, doi = {10.1007/978-3-031-34107-6\_42}, timestamp = {Mon, 05 Jun 2023 16:03:33 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/MiaoI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangI23, author = {Zeyu Wang and Makoto Ikeda}, title = {High-Throughput Privacy-Preserving {GRU} Network with Homomorphic Encryption}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191194}, doi = {10.1109/IJCNN54540.2023.10191194}, timestamp = {Wed, 09 Aug 2023 16:25:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WangI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TanakaMIB23, author = {Hibiki Tanaka and Masahiro Miwata and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {An Enhanced AI-Based Vehicular Driver Support System Considering Hyperparameter Optimization}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023), Toronto, ON, Canada, 5-7 July 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {1--7}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35836-4\_1}, doi = {10.1007/978-3-031-35836-4\_1}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/TanakaMIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/AmpriritHQIMB23, author = {Phudit Ampririt and Shunya Higashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Selection of Radio Access Technology in 5G Wireless Networks Considering QoE as a New Parameter}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023), Toronto, ON, Canada, 5-7 July 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {149--159}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35836-4\_17}, doi = {10.1007/978-3-031-35836-4\_17}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/AmpriritHQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/AmpriritHQIMB23, author = {Phudit Ampririt and Shunya Higashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Selection of Radio Access Technologies in 5G Wireless Networks: Effect of Security Parameter on Quality of Experience Assessment}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 15th International Conference on Intelligent Networking and Collaborative Systems, INCoS 2023, Chiang Mai, Thailand, September 6-8, 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {182}, pages = {211--222}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40971-4\_20}, doi = {10.1007/978-3-031-40971-4\_20}, timestamp = {Thu, 31 Aug 2023 13:41:02 +0200}, biburl = {https://dblp.org/rec/conf/incos/AmpriritHQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HigashiAQIMB23, author = {Shunya Higashi and Phudit Ampririt and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based Approach for Assessment of Emotional Trust Considering Four Input Parameters for Implemented System}, booktitle = {Advances in Networked-based Information Systems - The 26th International Conference on Network-Based Information Systems (NBiS-2023), Chiang Mai University, Thailand, 6-8 September, 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {183}, pages = {170--179}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40978-3\_19}, doi = {10.1007/978-3-031-40978-3\_19}, timestamp = {Mon, 11 Sep 2023 16:43:55 +0200}, biburl = {https://dblp.org/rec/conf/nbis/HigashiAQIMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaTAB23, author = {Makoto Ikeda and Shura Tachibana and Masaya Azuma and Leonard Barolli}, editor = {Leonard Barolli}, title = {AAR-SpW: An Adaptive Anti-packet Recovery {(AAR)} Method with Spray and Wait Protocol for {DTN} Considering Adaptive Message Recovery by Drones and Regular Vehicles}, booktitle = {Advances in Networked-based Information Systems - The 26th International Conference on Network-Based Information Systems (NBiS-2023), Chiang Mai University, Thailand, 6-8 September, 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {183}, pages = {334--343}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40978-3\_36}, doi = {10.1007/978-3-031-40978-3\_36}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/IkedaTAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/YeI23, author = {Ziyang Ye and Makoto Ikeda}, title = {Dynamic Digital Circuit Locking {(DDCL):} {A} Shield against Static Analysis Attacks}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321882}, doi = {10.1109/VLSI-SOC57769.2023.10321882}, timestamp = {Wed, 06 Dec 2023 13:14:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/YeI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KomuraMOTISKKOY23, author = {Yusuke Komura and Shoki Miyata and Yuki Okamoto and Yuki Tamatsukuri and Hiroki Inoue and Toshihiko Saito and Munehiro Kozuma and Hidetomo Kobayashi and Tatsuya Onuki and Yuichi Yanagisawa and Toshihiko Takeuchi and Yutaka Okazaki and Hitoshi Kunitake and Daiki Nakamura and Takaaki Nagata and Yasumasa Yamane and Makoto Ikeda and Shih{-}Ci Yen and Chuan{-}Hua Chang and Wen{-}Hsiang Hsieh and Hiroshi Yoshida and Min{-}Cheng Chen and Ming{-}Han Liao and Shou{-}Zen Chang and Shunpei Yamazaki}, title = {Two-Dimensionally Arranged Display Drivers Achieved by OS/Si Structure}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185358}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185358}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KomuraMOTISKKOY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/OkamotoKMSIKOAS23, author = {Yuki Okamoto and Yusuke Komura and T. Mizuguchi and Toshihiko Saito and M. Ito and K. Kimura and Tatsuya Onuki and Yoshinori Ando and H. Sawai and T. Murakawa and Hitoshi Kunitake and Takanori Matsuzaki and H. Kimura and M. Fujita and Makoto Ikeda and Shunpei Yamazaki}, title = {1Mbit 1T1C 3D {DRAM} with Monolithically Stacked One Planar {FET} and Two Vertical {FET} Heterogeneous Oxide Semiconductor layers over Si {CMOS}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185263}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185263}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/OkamotoKMSIKOAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetc/ArakawaI22, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {105-C}, number = {6}, pages = {207--208}, year = {2022}, url = {https://doi.org/10.1587/transele.2021lhf0001}, doi = {10.1587/TRANSELE.2021LHF0001}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetc/ArakawaI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {International Journal of Distributed Systems and Technologies {(IJDST):} {IFACS-Q3S-} {A} New Admission Control System for 5G Wireless Networks Based on Fuzzy Logic and Its Performance Evaluation}, journal = {Int. J. Distributed Syst. Technol.}, volume = {13}, number = {1}, pages = {1--25}, year = {2022}, url = {https://doi.org/10.4018/ijdst.300339}, doi = {10.4018/IJDST.300339}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/QafzeziBAIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {FSAQoS: {A} Fuzzy-Based System for Assessment of QoS of {V2V} Communication Links in SDN-VANETs and Its Performance Evaluation}, journal = {Int. J. Distributed Syst. Technol.}, volume = {13}, number = {1}, pages = {1--13}, year = {2022}, url = {https://doi.org/10.4018/IJDST.300338}, doi = {10.4018/IJDST.300338}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/QafzeziBAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {{FSSLA:} {A} Fuzzy-based scheme for slice service level agreement in 5G wireless networks and its performance evaluation}, journal = {J. High Speed Networks}, volume = {28}, number = {1}, pages = {47--64}, year = {2022}, url = {https://doi.org/10.3233/JHS-220678}, doi = {10.3233/JHS-220678}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/BylykbashiQAIMB22, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Implementation and evaluation of a fuzzy-based system for determining stress feeling level in VANETs: Effect of driving experience and history on driver stress}, journal = {J. High Speed Networks}, volume = {28}, number = {4}, pages = {243--255}, year = {2022}, url = {https://doi.org/10.3233/JHS-220693}, doi = {10.3233/JHS-220693}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/BylykbashiQAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/IkedaA22, author = {Makoto Ikeda and Fumio Arakawa}, title = {Special Issue on Cool Chips}, journal = {{IEEE} Micro}, volume = {42}, number = {2}, pages = {6--7}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3149086}, doi = {10.1109/MM.2022.3149086}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/IkedaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/QafzeziBAIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {An Intelligent Approach for Cloud-Fog-Edge Computing SDN-VANETs Based on Fuzzy Logic: Effect of Different Parameters on Coordination and Management of Resources}, journal = {Sensors}, volume = {22}, number = {3}, pages = {878}, year = {2022}, url = {https://doi.org/10.3390/s22030878}, doi = {10.3390/S22030878}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/QafzeziBAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/QafzeziBBIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Admir Barolli and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Comparison Study of Two Fuzzy-based Systems for Assessment of Fog Computing Resources in SDN-VANETs}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 17th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2022), Tirana, Albania, 27-29 October 2022}, series = {Lecture Notes in Networks and Systems}, volume = {571}, pages = {96--108}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19945-5\_9}, doi = {10.1007/978-3-031-19945-5\_9}, timestamp = {Thu, 20 Oct 2022 11:27:23 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/QafzeziBBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/AzumaUIB22, author = {Masaya Azuma and Shota Uchimura and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {{AAR:} An Adaptive Anti-packet Recovery Approach for Improving Delay Tolerant Networking Data Transfer Using UAVs and Vehicles}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 17th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2022), Tirana, Albania, 27-29 October 2022}, series = {Lecture Notes in Networks and Systems}, volume = {571}, pages = {181--191}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19945-5\_18}, doi = {10.1007/978-3-031-19945-5\_18}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/AzumaUIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/BylykbashiQAIMB22, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Fuzzy-Based System for Determining Driver Stress in VANETs Considering Driving Experience and History}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Volume 2}, series = {Lecture Notes in Networks and Systems}, volume = {450}, pages = {1--9}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99587-4\_1}, doi = {10.1007/978-3-030-99587-4\_1}, timestamp = {Thu, 31 Mar 2022 15:30:21 +0200}, biburl = {https://dblp.org/rec/conf/aina/BylykbashiQAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/NguyenAUIB22, author = {Minh Duc Nguyen and Masaya Azuma and Shota Uchimura and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Hybrid Recovery Method for Vehicular {DTN} Considering Dynamic Timer and Anti-packet}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {217--225}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_19}, doi = {10.1007/978-3-030-99584-3\_19}, timestamp = {Thu, 31 Mar 2022 15:19:27 +0200}, biburl = {https://dblp.org/rec/conf/aina/NguyenAUIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/QafzeziBAIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Survey on Advances in Vehicular Networks: Problems and Challenges of Architectures, Radio Technologies, Use Cases, Data Dissemination and Security}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Volume 3}, series = {Lecture Notes in Networks and Systems}, volume = {451}, pages = {602--613}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99619-2\_56}, doi = {10.1007/978-3-030-99619-2\_56}, timestamp = {Thu, 31 Mar 2022 15:40:44 +0200}, biburl = {https://dblp.org/rec/conf/aina/QafzeziBAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Fuzzy-Based Scheme for Slice Priority Assessment in 5G Wireless Networks}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {651--661}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_56}, doi = {10.1007/978-3-030-99584-3\_56}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Handover in 5G Wireless Networks Considering Different Network Slicing Constraints: Effects of Slice Reliability Parameter on Handover Decision}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 17th International Conference on Broad-Band Wireless Computing, Communication and Applications (BWCCA-2022), Tirana, Albania, 27-29 October 2022}, series = {Lecture Notes in Networks and Systems}, volume = {570}, pages = {27--37}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20029-8\_3}, doi = {10.1007/978-3-031-20029-8\_3}, timestamp = {Thu, 20 Oct 2022 11:20:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TsuneyoshiIB22, author = {Mitsuki Tsuneyoshi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Brake Assisting Function for Railway Vehicles Using Fuzzy Logic: {A} Comparison Study for Different Fuzzy Inference Types}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 17th International Conference on Broad-Band Wireless Computing, Communication and Applications (BWCCA-2022), Tirana, Albania, 27-29 October 2022}, series = {Lecture Notes in Networks and Systems}, volume = {570}, pages = {301--311}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20029-8\_29}, doi = {10.1007/978-3-031-20029-8\_29}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/TsuneyoshiIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaSAUB22, author = {Makoto Ikeda and Seiya Sako and Masaya Azuma and Shota Uchimura and Leonard Barolli}, editor = {Leonard Barolli}, title = {Performance Evaluation of a Drone-Based Data Replication Method in Urban Disaster Scenario}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 16th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {497}, pages = {10--16}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08812-4\_2}, doi = {10.1007/978-3-031-08812-4\_2}, timestamp = {Mon, 20 Jun 2022 13:27:44 +0200}, biburl = {https://dblp.org/rec/conf/cisis/IkedaSAUB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Handover in 5G Wireless Networks Considering Network Slicing Constraints}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 16th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {497}, pages = {180--189}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08812-4\_18}, doi = {10.1007/978-3-031-08812-4\_18}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/MasadaNI22, author = {Kaoru Masada and Ryohei Nakayama and Makoto Ikeda}, title = {Hardware Acceleration of Aggregate Signature Generation and Authentication by {BLS} Signature over {BLS12-381} curve}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2022, Tokyo, Japan, April 20-22, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COOLCHIPS54332.2022.9772706}, doi = {10.1109/COOLCHIPS54332.2022.9772706}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/MasadaNI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/QafzeziBAIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {A Fuzzy-Based System for Assessment of QoS of {V2V} Communication Links in SDN-VANETs}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {153--162}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_17}, doi = {10.1007/978-3-030-95903-6\_17}, timestamp = {Wed, 19 Apr 2023 14:22:03 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/QafzeziBAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/MatsuoIB22, author = {Kazuma Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {A ML-Based System for Predicting Flight Coordinates Considering {ADS-B} {GPS} Data: Problems and System Improvement}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {183--189}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_20}, doi = {10.1007/978-3-030-95903-6\_20}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/MatsuoIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/BylykbashiQAIMB22, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {A Fuzzy-Based System for Safe Driving in VANETs Considering Impact of Driver Impatience on Stress Feeling Level}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {236--244}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_25}, doi = {10.1007/978-3-030-95903-6\_25}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/BylykbashiQAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/ShintaniKIBS22, author = {Kuya Shintani and Elis Kulla and Makoto Ikeda and Leonard Barolli and Evjola Spaho}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {Design and Implementation of a Testbed for Delay Tolerant Networks: Work in Progress}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {254--262}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_27}, doi = {10.1007/978-3-030-95903-6\_27}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/ShintaniKIBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {A Fuzzy-Based System for Slice Service Level Agreement in 5G Wireless Networks: Effect of Traffic Load Parameter}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {272--282}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_29}, doi = {10.1007/978-3-030-95903-6\_29}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/QafzeziBAIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Assessment of Fog Computing Resources in SDN-VANETs}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 16th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing {(IMIS} 2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {496}, pages = {1--9}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08819-3\_1}, doi = {10.1007/978-3-031-08819-3\_1}, timestamp = {Mon, 20 Jun 2022 13:15:53 +0200}, biburl = {https://dblp.org/rec/conf/imis/QafzeziBAIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/MiwataTIB22, author = {Masahiro Miwata and Mitsuki Tsuneyoshi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {Performance Evaluation of an AI-Based Safety Driving Support System for Detecting Distracted Driving}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 16th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing {(IMIS} 2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {496}, pages = {10--17}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08819-3\_2}, doi = {10.1007/978-3-031-08819-3\_2}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/MiwataTIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/AzumaUSIB22, author = {Masaya Azuma and Shota Uchimura and Seiya Sako and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {Performance Evaluation of an Adaptive Anti-Packet Recovery Method Considering UAVs and Vehicles in an Urban Scenario}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 16th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing {(IMIS} 2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {496}, pages = {230--237}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08819-3\_23}, doi = {10.1007/978-3-031-08819-3\_23}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/AzumaUSIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/QafzeziBBIMB22, author = {Ermioni Qafzezi and Kevin Bylykbashi and Admir Barolli and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Hiroyoshi Miwa}, title = {A Fuzzy-Based System for Assessment of Fog Computing Resources in SDN-VANETs Considering Service Migration Speed as a New Parameter}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 14th International Conference on Intelligent Networking and Collaborative Systems, INCoS 2022, Sanda-Shi, Japan, 7-9 September 2022}, series = {Lecture Notes in Networks and Systems}, volume = {527}, pages = {140--149}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14627-5\_14}, doi = {10.1007/978-3-031-14627-5\_14}, timestamp = {Wed, 24 Aug 2022 14:57:39 +0200}, biburl = {https://dblp.org/rec/conf/incos/QafzeziBBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/AmpriritQBIMB22, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Hiroyoshi Miwa and Tomoya Enokido}, title = {A Fuzzy-Based System for Handover in 5G Wireless Networks Considering Different Network Slicing Constraints: Effects of Slice Load Parameter on Handover Decision}, booktitle = {Advances in Network-Based Information Systems - The 25th International Conference on Network-Based Information Systems (NBiS-2022), Sanda-Shi, Japan, 7-9 September, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {526}, pages = {152--162}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14314-4\_15}, doi = {10.1007/978-3-031-14314-4\_15}, timestamp = {Mon, 15 Aug 2022 16:10:21 +0200}, biburl = {https://dblp.org/rec/conf/nbis/AmpriritQBIMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/UchimuraAIB22, author = {Shota Uchimura and Masaya Azuma and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Hiroyoshi Miwa and Tomoya Enokido}, title = {An Enhanced Adaptive Anti-packet Recovery Method for Inter-vehicle Communications}, booktitle = {Advances in Network-Based Information Systems - The 25th International Conference on Network-Based Information Systems (NBiS-2022), Sanda-Shi, Japan, 7-9 September, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {526}, pages = {374--383}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14314-4\_38}, doi = {10.1007/978-3-031-14314-4\_38}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/UchimuraAIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eidwt/2022, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6}, doi = {10.1007/978-3-030-95903-6}, isbn = {978-3-030-95902-9}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetc/ArakawaI21, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {104-C}, number = {6}, pages = {213--214}, year = {2021}, url = {https://doi.org/10.1587/transele.2020lhf0001}, doi = {10.1587/TRANSELE.2020LHF0001}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetc/ArakawaI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmcmc/AmpriritQBIMB21, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Application of Fuzzy Logic for Slice QoS in 5G Networks: {A} Comparison Study of Two Fuzzy-Based Schemes for Admission Control}, journal = {Int. J. Mob. Comput. Multim. Commun.}, volume = {12}, number = {2}, pages = {18--35}, year = {2021}, url = {https://doi.org/10.4018/ijmcmc.2021040102}, doi = {10.4018/IJMCMC.2021040102}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmcmc/AmpriritQBIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmcmc/QafzeziBAIMB21, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {A QoS-Aware Fuzzy-Based System for Assessment of Edge Computing Resources in SDN-VANETs: System Implementation and Performance Evaluation}, journal = {Int. J. Mob. Comput. Multim. Commun.}, volume = {12}, number = {4}, pages = {1--16}, year = {2021}, url = {https://doi.org/10.4018/ijmcmc.289161}, doi = {10.4018/IJMCMC.289161}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmcmc/QafzeziBAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/AmpriritOQIMB21, author = {Phudit Ampririt and Seiji Ohara and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {An Integrated Fuzzy-based Admission Control System {(IFACS)} for 5G Wireless Networks: Its implementation and performance evaluation}, journal = {Internet Things}, volume = {13}, pages = {100351}, year = {2021}, url = {https://doi.org/10.1016/j.iot.2020.100351}, doi = {10.1016/J.IOT.2020.100351}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iot/AmpriritOQIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/BylykbashiQAIMB21, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Effect of vehicle technical condition on real-time driving risk management in Internet of Vehicles: Design and performance evaluation of an integrated fuzzy-based system}, journal = {Internet Things}, volume = {13}, pages = {100363}, year = {2021}, url = {https://doi.org/10.1016/j.iot.2021.100363}, doi = {10.1016/J.IOT.2021.100363}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iot/BylykbashiQAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/IkedaRB21, author = {Makoto Ikeda and Natwadee Ruedeeniraman and Leonard Barolli}, title = {An intelligent VegeCareAI tool for next generation plant growth management}, journal = {Internet Things}, volume = {14}, pages = {100381}, year = {2021}, url = {https://doi.org/10.1016/j.iot.2021.100381}, doi = {10.1016/J.IOT.2021.100381}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/IkedaRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/QafzeziBAIMB21, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {A fuzzy-based approach for resource management in SDN-VANETs: Effect of trustworthiness on assessment of available edge computing resources}, journal = {J. High Speed Networks}, volume = {27}, number = {1}, pages = {33--44}, year = {2021}, url = {https://doi.org/10.3233/JHS-210650}, doi = {10.3233/JHS-210650}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/QafzeziBAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/IbaIAMN21, author = {Ayato Iba and Makoto Ikeda and Verdad C. Agulto and Valynn Katrine Mag{-}usara and Makoto Nakajima}, title = {A Study of Terahertz-Wave Cylindrical Super-Oscillatory Lens for Industrial Applications}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6732}, year = {2021}, url = {https://doi.org/10.3390/s21206732}, doi = {10.3390/S21206732}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/IbaIAMN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/CukaEIMBT21, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, title = {Application of fuzzy logic for IoT node elimination and selection in opportunistic networks: performance evaluation of two fuzzy-based systems}, journal = {World Wide Web}, volume = {24}, number = {3}, pages = {929--940}, year = {2021}, url = {https://doi.org/10.1007/s11280-020-00835-6}, doi = {10.1007/S11280-020-00835-6}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/www/CukaEIMBT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/TadaMUIB21, author = {Yoshiki Tada and Masahiro Miwata and Shota Uchimura and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Transfer Learning-Based Object Detection and Annotation System: Performance Evaluation for Vehicle Objects from Onboard Camera}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 16th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC 2021, Fukuoka, Japan, October 28-30, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {343}, pages = {11--17}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89899-1\_2}, doi = {10.1007/978-3-030-89899-1\_2}, timestamp = {Fri, 22 Oct 2021 15:23:42 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/TadaMUIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/BylykbashiQAIMB21, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Deciding Driver Impatience in VANETs}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 16th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC 2021, Fukuoka, Japan, October 28-30, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {343}, pages = {129--137}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89899-1\_13}, doi = {10.1007/978-3-030-89899-1\_13}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/BylykbashiQAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/AmpriritQBIMB21, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {An Intelligent System for Admission Control in 5G Wireless Networks Considering Fuzzy Logic and SDNs: Effects of Service Level Agreement on Acceptance Decision}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 16th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC 2021, Fukuoka, Japan, October 28-30, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {343}, pages = {185--196}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89899-1\_19}, doi = {10.1007/978-3-030-89899-1\_19}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/AmpriritQBIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/NishiiIB21, author = {Daisuke Nishii and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Takagi-Sugeno Fuzzy-Based Adaptive Transmission Method in Wireless Sensor Networks}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 16th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC 2021, Fukuoka, Japan, October 28-30, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {343}, pages = {279--288}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89899-1\_30}, doi = {10.1007/978-3-030-89899-1\_30}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/NishiiIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/AmpriritQBIMB21, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Tomoya Enokido}, title = {A Fuzzy-Based Scheme for Admission Control in 5G Wireless Networks: Improvement of Slice QoS Considering Slice Reliability as a New Parameter}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 35th International Conference on Advanced Information Networking and Applications (AINA-2021), Toronto, ON, Canada, 12-14 May, 2021, Volume 3}, series = {Lecture Notes in Networks and Systems}, volume = {227}, pages = {17--29}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75078-7\_3}, doi = {10.1007/978-3-030-75078-7\_3}, timestamp = {Thu, 12 Aug 2021 13:39:25 +0200}, biburl = {https://dblp.org/rec/conf/aina/AmpriritQBIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/QafzeziBAIMB21, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Tomoya Enokido}, title = {A QoS-Aware Fuzzy-Based System for Assessment of Edge Computing Resources in SDN-VANETs}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 35th International Conference on Advanced Information Networking and Applications (AINA-2021), Toronto, ON, Canada, 12-14 May, 2021, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {225}, pages = {63--72}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75100-5\_6}, doi = {10.1007/978-3-030-75100-5\_6}, timestamp = {Thu, 12 Aug 2021 13:39:33 +0200}, biburl = {https://dblp.org/rec/conf/aina/QafzeziBAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/UchimuraATIB21, author = {Shota Uchimura and Masaya Azuma and Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Tomoya Enokido}, title = {An Adaptive Anti-packet Recovery Method for Vehicular {DTN} Considering Message Possession Rate}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 35th International Conference on Advanced Information Networking and Applications (AINA-2021), Toronto, ON, Canada, 12-14 May, 2021, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {225}, pages = {92--101}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75100-5\_9}, doi = {10.1007/978-3-030-75100-5\_9}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/UchimuraATIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/AzumaUTIB21, author = {Masaya Azuma and Shota Uchimura and Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Tomoya Enokido}, title = {A Hybrid Message Delivery Method for Vehicular {DTN} Considering Impact of Shuttle Buses and Roadside Units}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 35th International Conference on Advanced Information Networking and Applications (AINA-2021), Toronto, ON, Canada, 12-14 May, 2021, Volume 3}, series = {Lecture Notes in Networks and Systems}, volume = {227}, pages = {211--218}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75078-7\_22}, doi = {10.1007/978-3-030-75078-7\_22}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/AzumaUTIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ikeda21, author = {Makoto Ikeda}, editor = {Fan Ye and Ting{-}Ao Tang}, title = {Hardware Acceleration of Elliptic-Curve based Crypto-Algorithm, {ECDSA} and Pairing Engines}, booktitle = {14th {IEEE} International Conference on ASIC, {ASICON} 2021, Kunming, China, October 26-29, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASICON52560.2021.9620402}, doi = {10.1109/ASICON52560.2021.9620402}, timestamp = {Mon, 06 Dec 2021 11:20:15 +0100}, biburl = {https://dblp.org/rec/conf/asicon/Ikeda21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/QafzeziBAIMB21, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli}, title = {A Fuzzy-Based System for Assessment of Quality of Service Communication Links in SDN-VANETs}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 16th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2021, Fukuoka, Japan, 28-30 October 2021}, series = {Lecture Notes in Networks and Systems}, volume = {346}, pages = {120--128}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90072-4\_12}, doi = {10.1007/978-3-030-90072-4\_12}, timestamp = {Wed, 27 Oct 2021 09:51:39 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/QafzeziBAIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/AzumaUTIB21, author = {Masaya Azuma and Shota Uchimura and Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {An Adaptive Anti-packet Recovery Method for Vehicular {DTN:} Performance Evaluation Considering Shuttle Buses and Roadside Units Scenario}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 16th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2021, Fukuoka, Japan, 28-30 October 2021}, series = {Lecture Notes in Networks and Systems}, volume = {346}, pages = {234--241}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90072-4\_25}, doi = {10.1007/978-3-030-90072-4\_25}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/AzumaUTIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/UchimuraTIB21, author = {Shota Uchimura and Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli}, title = {An Intelligent Fallen Object Detection System for Safe Driving}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 16th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2021, Fukuoka, Japan, 28-30 October 2021}, series = {Lecture Notes in Networks and Systems}, volume = {346}, pages = {315--320}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90072-4\_34}, doi = {10.1007/978-3-030-90072-4\_34}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/UchimuraTIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/AmpriritQBIMB21, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Kangbin Yim and Tomoya Enokido}, title = {A New Scheme for Slice Overloading Cost in 5G Wireless Networks Considering Fuzzy Logic}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 15th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2021), Asan, Korea, 1-3 July 2021}, series = {Lecture Notes in Networks and Systems}, volume = {278}, pages = {110--120}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79725-6\_11}, doi = {10.1007/978-3-030-79725-6\_11}, timestamp = {Tue, 24 Aug 2021 11:39:27 +0200}, biburl = {https://dblp.org/rec/conf/cisis/AmpriritQBIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/MiwataTTIB21, author = {Masahiro Miwata and Mitsuki Tsuneyoshi and Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Kangbin Yim and Tomoya Enokido}, title = {Design of an Intelligent Driving Support System for Detecting Distracted Driving}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 15th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2021), Asan, Korea, 1-3 July 2021}, series = {Lecture Notes in Networks and Systems}, volume = {278}, pages = {377--382}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79725-6\_37}, doi = {10.1007/978-3-030-79725-6\_37}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/MiwataTTIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/AmpriritOQIB021, author = {Phudit Ampririt and Seiji Ohara and Ermioni Qafzezi and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Juggapong Natwichai and Tomoya Enokido}, title = {Effect of Slice Overloading Cost on Admission Control for 5G Wireless Networks: {A} Fuzzy-Based System and Its Performance Evaluation}, booktitle = {Advances in Internet, Data and Web Technologies: The 9th International Conference on Emerging Internet, Data {\&} Web Technologies {EIDWT} 2021, Chiang Mai, Thailand, February 25-27, 2021}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {65}, pages = {24--35}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70639-5\_3}, doi = {10.1007/978-3-030-70639-5\_3}, timestamp = {Fri, 07 Jan 2022 16:38:47 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/AmpriritOQIB021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/NishiiIB21, author = {Daisuke Nishii and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Juggapong Natwichai and Tomoya Enokido}, title = {A Fuzzy-Based Approach for Reducing Transmitted Data Considering Data Difference Parameter in Resilient WSNs}, booktitle = {Advances in Internet, Data and Web Technologies: The 9th International Conference on Emerging Internet, Data {\&} Web Technologies {EIDWT} 2021, Chiang Mai, Thailand, February 25-27, 2021}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {65}, pages = {48--57}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70639-5\_5}, doi = {10.1007/978-3-030-70639-5\_5}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/NishiiIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/BylykbashiQIMB021, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Juggapong Natwichai and Tomoya Enokido}, title = {Effect of Vehicle Technical Condition on Real-Time Driving Risk Management in VANETs}, booktitle = {Advances in Internet, Data and Web Technologies: The 9th International Conference on Emerging Internet, Data {\&} Web Technologies {EIDWT} 2021, Chiang Mai, Thailand, February 25-27, 2021}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {65}, pages = {143--154}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70639-5\_14}, doi = {10.1007/978-3-030-70639-5\_14}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/BylykbashiQIMB021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/QafzeziBAIB021, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Juggapong Natwichai and Tomoya Enokido}, title = {Resource Management in SDN-VANETs Using Fuzzy Logic: Effect of Average Processing Capability per Neighbor Vehicle on Management of Cloud-Fog-Edge Resources}, booktitle = {Advances in Internet, Data and Web Technologies: The 9th International Conference on Emerging Internet, Data {\&} Web Technologies {EIDWT} 2021, Chiang Mai, Thailand, February 25-27, 2021}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {65}, pages = {155--167}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70639-5\_15}, doi = {10.1007/978-3-030-70639-5\_15}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/QafzeziBAIB021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TsuneyoshiMNIB21, author = {Mitsuki Tsuneyoshi and Masahiro Miwata and Daisuke Nishii and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Kangbin Yim and Hsing{-}Chung Chen}, title = {A Simulation System for Analyzing Attack Methods in Controller Area Network Using Fuzzing Methods}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 15th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2021), Asan, Korea, 1-3 July, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {279}, pages = {478--484}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79728-7\_48}, doi = {10.1007/978-3-030-79728-7\_48}, timestamp = {Tue, 24 Aug 2021 13:10:31 +0200}, biburl = {https://dblp.org/rec/conf/imis/TsuneyoshiMNIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/AmpriritQBIMB21, author = {Phudit Ampririt and Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Hsing{-}Chung Chen and Hiroyoshi Miwa}, title = {A Fuzzy-Based System for User Service Level Agreement in 5G Wireless Networks}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 13th International Conference on Intelligent Networking and Collaborative Systems, INCoS 2021, Taichung, Taiwan, 1-3 September 2021}, series = {Lecture Notes in Networks and Systems}, volume = {312}, pages = {96--106}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-84910-8\_10}, doi = {10.1007/978-3-030-84910-8\_10}, timestamp = {Thu, 12 Aug 2021 13:52:05 +0200}, biburl = {https://dblp.org/rec/conf/incos/AmpriritQBIMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakayamaI21, author = {Ryohei Nakayama and Makoto Ikeda}, title = {{BN-254} Based Multi-Core, Multi-Pairing Crypto-Processor for Functional Encryption}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401283}, doi = {10.1109/ISCAS51556.2021.9401283}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakayamaI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimadaI21, author = {Taishin Shimada and Makoto Ikeda}, title = {High-Throughput Polynomial Multiplier Architecture for Lattice-Based Cryptography}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401755}, doi = {10.1109/ISCAS51556.2021.9401755}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimadaI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Ikeda21, author = {Makoto Ikeda}, title = {Foreword Integrated Intelligence is the Future of Systems}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366042}, doi = {10.1109/ISSCC42613.2021.9366042}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/Ikeda21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhangI21, author = {Kevin Zhang and Makoto Ikeda}, title = {Session 1 Overview Plenary Session - Invited Papers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {7--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366043}, doi = {10.1109/ISSCC42613.2021.9366043}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ZhangI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/MatsuoIB21, author = {Kazuma Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Hsing{-}Chung Chen and Tomoya Enokido}, title = {A Machine Learning Approach for Predicting 2D Aircraft Position Coordinates}, booktitle = {Advances in Networked-Based Information Systems - The 24th International Conference on Network-Based Information Systems (NBiS-2021), Taichung, Taiwan, 1-3 September, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {313}, pages = {306--311}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-84913-9\_30}, doi = {10.1007/978-3-030-84913-9\_30}, timestamp = {Thu, 12 Aug 2021 13:43:08 +0200}, biburl = {https://dblp.org/rec/conf/nbis/MatsuoIB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/3pgcic/2020, editor = {Leonard Barolli and Makoto Takizawa and Tomoki Yoshihisa and Flora Amato and Makoto Ikeda}, title = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 15th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2020), Yonago City, Tottori Prefecture, Japan, October 28-30, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {158}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-61105-7}, doi = {10.1007/978-3-030-61105-7}, isbn = {978-3-030-61104-0}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AbeI21, author = {Kotaro Abe and Makoto Ikeda}, title = {Estimating the Effectiveness of Lattice Attacks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1489}, year = {2021}, url = {https://eprint.iacr.org/2021/1489}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/AbeI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ElmaziCIB20, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli}, title = {Effect of Size of Giant Component for actor node selection in WSANs: {A} comparison study}, journal = {Concurr. Comput. Pract. Exp.}, volume = {32}, number = {8}, year = {2020}, url = {https://doi.org/10.1002/cpe.5106}, doi = {10.1002/CPE.5106}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ElmaziCIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/BylykbashiQIMB20, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Fuzzy-based Driver Monitoring System {(FDMS):} Implementation of two intelligent FDMSs and a testbed for safe driving in VANETs}, journal = {Future Gener. Comput. Syst.}, volume = {105}, pages = {665--674}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.12.030}, doi = {10.1016/J.FUTURE.2019.12.030}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/BylykbashiQIMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ArakawaI20, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {103-C}, number = {3}, pages = {66--67}, year = {2020}, url = {http://search.ieice.org/bin/summary.php?id=e103-c\_3\_66}, doi = {10.1587/TRANSELE.2019LHF0001}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ArakawaI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/OgasaharaHKIAIK20, author = {Yasuhiro Ogasahara and Yohei Hori and Toshihiro Katashita and Tomoki Iizuka and Hiromitsu Awano and Makoto Ikeda and Hanpei Koike}, title = {Implementation of pseudo-linear feedback shift register-based physical unclonable functions on silicon and sufficient Challenge-Response pair acquisition using Built-In Self-Test before shipping}, journal = {Integr.}, volume = {71}, pages = {144--153}, year = {2020}, url = {https://doi.org/10.1016/j.vlsi.2019.12.002}, doi = {10.1016/J.VLSI.2019.12.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/OgasaharaHKIAIK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/QafzeziBIMB20, author = {Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Coordination and management of cloud, fog and edge resources in SDN-VANETs using fuzzy logic: {A} comparison study for two fuzzy-based systems}, journal = {Internet Things}, volume = {11}, pages = {100169}, year = {2020}, url = {https://doi.org/10.1016/j.iot.2020.100169}, doi = {10.1016/J.IOT.2020.100169}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/QafzeziBIMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/ElmaziCIMB20, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {A fuzzy-based approach for event evaluation and actor selection in WSANs}, journal = {Internet Things}, volume = {11}, pages = {100252}, year = {2020}, url = {https://doi.org/10.1016/j.iot.2020.100252}, doi = {10.1016/J.IOT.2020.100252}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iot/ElmaziCIMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/AmpriritLIMBT20, author = {Phudit Ampririt and Yi Liu and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, title = {Effect of Slice Priority for admission control in 5G Wireless Networks: {A} comparison study for two Fuzzy-based systems considering Software-Defined-Networks}, journal = {J. High Speed Networks}, volume = {26}, number = {3}, pages = {169--183}, year = {2020}, url = {https://doi.org/10.3233/JHS-200637}, doi = {10.3233/JHS-200637}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/AmpriritLIMBT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BylykbashiQAIMB20, author = {Kevin Bylykbashi and Ermioni Qafzezi and Phudit Ampririt and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Performance Evaluation of an Integrated Fuzzy-Based Driving-Support System for Real-Time Risk Management in VANETs}, journal = {Sensors}, volume = {20}, number = {22}, pages = {6537}, year = {2020}, url = {https://doi.org/10.3390/s20226537}, doi = {10.3390/S20226537}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BylykbashiQAIMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/RuedeeniramanIB20, author = {Natwadee Ruedeeniraman and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoki Yoshihisa and Flora Amato and Makoto Ikeda}, title = {An Intelligent VegeCare Tool for Corn Disease Classification}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 15th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2020), Yonago City, Tottori Prefecture, Japan, October 28-30, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {158}, pages = {1--8}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61105-7\_1}, doi = {10.1007/978-3-030-61105-7\_1}, timestamp = {Thu, 12 Aug 2021 13:56:23 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/RuedeeniramanIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/QafzeziBAIB020, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoki Yoshihisa and Flora Amato and Makoto Ikeda}, title = {Assessment of Available Edge Computing Resources in SDN-VANETs by a Fuzzy-Based System Considering Trustworthiness as a New Parameter}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 15th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2020), Yonago City, Tottori Prefecture, Japan, October 28-30, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {158}, pages = {102--112}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61105-7\_11}, doi = {10.1007/978-3-030-61105-7\_11}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/QafzeziBAIB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaNTB20, author = {Makoto Ikeda and Shogo Nakasaki and Yoshiki Tada and Leonard Barolli}, editor = {Leonard Barolli and Flora Amato and Francesco Moscato and Tomoya Enokido and Makoto Takizawa}, title = {Performance Evaluation of a Message Relaying Method with Enhanced Dynamic Timer in Vehicular {DTN}}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 34th International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2020, Caserta, Italy, 15-17 April}, series = {Advances in Intelligent Systems and Computing}, volume = {1150}, pages = {332--340}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44038-1\_30}, doi = {10.1007/978-3-030-44038-1\_30}, timestamp = {Thu, 04 Apr 2024 17:08:34 +0200}, biburl = {https://dblp.org/rec/conf/aina/IkedaNTB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ElmaziCIMB020, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Flora Amato and Francesco Moscato and Tomoya Enokido and Makoto Takizawa}, title = {Effect of Task Accomplishment for Actor Node Selection in WSANs: Performance Evaluation and a Comparison Study}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 34th International Conference on Advanced Information Networking and Applications, AINA-2020, Caserta, Italy, 15-17 April}, series = {Advances in Intelligent Systems and Computing}, volume = {1151}, pages = {476--487}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44041-1\_43}, doi = {10.1007/978-3-030-44041-1\_43}, timestamp = {Thu, 04 Apr 2024 17:08:28 +0200}, biburl = {https://dblp.org/rec/conf/aina/ElmaziCIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/CukaEMI0B20, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Makoto Takizawa and Leonard Barolli}, editor = {Leonard Barolli and Flora Amato and Francesco Moscato and Tomoya Enokido and Makoto Takizawa}, title = {A Fuzzy Based Simulation System for IoT Node Selection in an Opportunistic Network Considering IoT Node's Unique Encounters as a New Parameter}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 34th International Conference on Advanced Information Networking and Applications, AINA-2020, Caserta, Italy, 15-17 April}, series = {Advances in Intelligent Systems and Computing}, volume = {1151}, pages = {488--498}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44041-1\_44}, doi = {10.1007/978-3-030-44041-1\_44}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/CukaEMI0B20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TadaIB20, author = {Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {Performance Evaluation of a Message Relaying Method for Resilient Disaster Networks}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {1--10}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_1}, doi = {10.1007/978-3-030-61108-8\_1}, timestamp = {Thu, 12 Aug 2021 13:48:35 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/TadaIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/BylykbashiQIMB020, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {An Integrated Fuzzy-Based Simulation System for Driver Risk Management in VANETs Considering Relative Humidity as a New Parameter}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {233--243}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_23}, doi = {10.1007/978-3-030-61108-8\_23}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/BylykbashiQIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/NishiiIB20, author = {Daisuke Nishii and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {A Fuzzy-Based Approach for Transmission Control of Sensory Data in Resilient Wireless Sensor Networks During Disaster Situation}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {296--303}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_29}, doi = {10.1007/978-3-030-61108-8\_29}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/NishiiIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/AmpriritOQIB020, author = {Phudit Ampririt and Seiji Ohara and Ermioni Qafzezi and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {Integration of Software-Defined Network and Fuzzy Logic Approaches for Admission Control in 5G Wireless Networks: {A} Fuzzy-Based Scheme for QoS Evaluation}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {386--396}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_38}, doi = {10.1007/978-3-030-61108-8\_38}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/AmpriritOQIB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ElmaziCIMB020, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Tomoya Enokido}, title = {An Event Response Fuzzy-Based System for Actor Node Selection in WSANs}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 14th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2020), Lodz, Poland, 1-3 July 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1194}, pages = {54--62}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50454-0\_6}, doi = {10.1007/978-3-030-50454-0\_6}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/ElmaziCIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/Ampririt0IMB020, author = {Phudit Ampririt and Yi Liu and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Tomoya Enokido}, title = {An Admission Control System for 5G Wireless Networks Considering Fuzzy Logic and Software-Defined Network Approaches}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 14th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2020), Lodz, Poland, 1-3 July 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1194}, pages = {63--72}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50454-0\_7}, doi = {10.1007/978-3-030-50454-0\_7}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/Ampririt0IMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/NishiiSIB20, author = {Daisuke Nishii and Shunsuke Sakamoto and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Tomoya Enokido}, title = {A Fuzzy-Based Adiantum Cultivation Support System Design}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 14th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2020), Lodz, Poland, 1-3 July 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1194}, pages = {73--82}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50454-0\_8}, doi = {10.1007/978-3-030-50454-0\_8}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/NishiiSIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/QafzeziBIMB020, author = {Ermioni Qafzezi and Kevin Bylykbashi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Tomoya Enokido}, title = {Resource Management in SDN-VANETs Using Fuzzy Logic: Effect of Data Complexity on Coordination of Cloud-Fog-Edge Resources}, booktitle = {Complex, Intelligent and Software Intensive Systems - Proceedings of the 14th International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2020), Lodz, Poland, 1-3 July 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1194}, pages = {498--509}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50454-0\_52}, doi = {10.1007/978-3-030-50454-0\_52}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/QafzeziBIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/ElmaziCIMB19, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {A Fuzzy-Based System for Actor Node Selection in WSANs Considering Task Accomplishment Time as a New Parameter}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {53--63}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_7}, doi = {10.1007/978-3-030-39746-3\_7}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/ElmaziCIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/CukaEIMB19, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {IoT Node Selection in Opportunistic Networks: {A} Fuzzy-Based Approach Considering Node's Successful Delivery Ratio {(NSDR)} as a New Parameter}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {64--72}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_8}, doi = {10.1007/978-3-030-39746-3\_8}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/CukaEIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/AmpriritO0IMB19, author = {Phudit Ampririt and Seiji Ohara and Yi Liu and Makoto Ikeda and Hiroshi Maeda and Leonard Barolli}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {A Fuzzy-Based System for Admission Control in 5G Wireless Networks Considering Software-Defined Network Approach}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {73--81}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_9}, doi = {10.1007/978-3-030-39746-3\_9}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/AmpriritO0IMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/BylykbashiQIMB19, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {Effect of Driver's Condition for Driving Risk Measurement in VANETs: {A} Comparison Study of Simulation and Experimental Results}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {102--113}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_12}, doi = {10.1007/978-3-030-39746-3\_12}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/BylykbashiQIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/RuedeeniramanIB19, author = {Natwadee Ruedeeniraman and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {Performance Evaluation of VegeCare Tool for Insect Pest Classification with Different Life Cycles}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {171--180}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_18}, doi = {10.1007/978-3-030-39746-3\_18}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/RuedeeniramanIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/CukaEMIB020, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Hyunhee Park}, title = {IoT Node Elimination and Selection for Completing Tasks in Opportunistic Networks: {A} Fuzzy Logic Approach}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1195}, pages = {11--22}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50399-4\_2}, doi = {10.1007/978-3-030-50399-4\_2}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/CukaEMIB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/BylykbashiQIMB020, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Hyunhee Park}, title = {A Fuzzy-Based Simulation System for Driving Risk Management in VANETs Considering Weather Condition as a New Parameter}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1195}, pages = {23--32}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50399-4\_3}, doi = {10.1007/978-3-030-50399-4\_3}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/BylykbashiQIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/CukaEIMB020, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Kin Fun Li and Hiroyoshi Miwa}, title = {A Decision-Making System Based on Fuzzy Logic for IoT Node Selection in Opportunistic Networks Considering Node Betweenness Centrality as a New Parameter}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 12th International Conference on Intelligent Networking and Collaborative Systems (INCoS-2020), Victoria, BC, Canada, 31 August - 2 September 2010}, series = {Advances in Intelligent Systems and Computing}, volume = {1263}, pages = {36--43}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57796-4\_4}, doi = {10.1007/978-3-030-57796-4\_4}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/CukaEIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/TadaIB20, author = {Yoshiki Tada and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Kin Fun Li and Hiroyoshi Miwa}, title = {Performance Evaluation of a Recovery Method for Vehicular {DTN} Considering Different Reset Thresholds}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 12th International Conference on Intelligent Networking and Collaborative Systems (INCoS-2020), Victoria, BC, Canada, 31 August - 2 September 2010}, series = {Advances in Intelligent Systems and Computing}, volume = {1263}, pages = {291--299}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57796-4\_28}, doi = {10.1007/978-3-030-57796-4\_28}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/incos/TadaIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/QafzeziBAIB020, author = {Ermioni Qafzezi and Kevin Bylykbashi and Phudit Ampririt and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Makoto Takizawa}, title = {A Fuzzy-Based System for Assessment of Available Edge Computing Resources in a Cloud-Fog-Edge SDN-VANETs Architecture}, booktitle = {Advances in Networked-Based Information Systems - The 23rd International Conference on Network-Based Information Systems, NBiS 2020, Victoria, BC, Canada, 31 August - 2 September 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1264}, pages = {10--19}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57811-4\_2}, doi = {10.1007/978-3-030-57811-4\_2}, timestamp = {Fri, 21 Aug 2020 15:16:47 +0200}, biburl = {https://dblp.org/rec/conf/nbis/QafzeziBAIB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ElmaziCIMB020, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Makoto Takizawa}, title = {Application of Fuzzy Logic for Event Evaluation in WSANs}, booktitle = {Advances in Networked-Based Information Systems - The 23rd International Conference on Network-Based Information Systems, NBiS 2020, Victoria, BC, Canada, 31 August - 2 September 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1264}, pages = {461--469}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57811-4\_46}, doi = {10.1007/978-3-030-57811-4\_46}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/ElmaziCIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/RuedeeniramanIB20, author = {Natwadee Ruedeeniraman and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Makoto Takizawa}, title = {Performance Evaluation of VegeCare Tool for Potato Disease Classification}, booktitle = {Advances in Networked-Based Information Systems - The 23rd International Conference on Network-Based Information Systems, NBiS 2020, Victoria, BC, Canada, 31 August - 2 September 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1264}, pages = {470--478}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57811-4\_47}, doi = {10.1007/978-3-030-57811-4\_47}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/RuedeeniramanIB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/AmpriritOIMB020, author = {Phudit Ampririt and Seiji Ohara and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Makoto Takizawa}, title = {Effect of Network Slice Duration for 5G Wireless Networks: {A} Fuzzy-Based Admission Control System Considering Software-Defined Network Approach}, booktitle = {Advances in Networked-Based Information Systems - The 23rd International Conference on Network-Based Information Systems, NBiS 2020, Victoria, BC, Canada, 31 August - 2 September 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1264}, pages = {508--517}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57811-4\_51}, doi = {10.1007/978-3-030-57811-4\_51}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/AmpriritOIMB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cisis/2019, editor = {Leonard Barolli and Farookh Khadeer Hussain and Makoto Ikeda}, title = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 13th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2019, Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {993}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-22354-0}, doi = {10.1007/978-3-030-22354-0}, isbn = {978-3-030-22353-3}, timestamp = {Tue, 25 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/BylykbashiEMIB19, author = {Kevin Bylykbashi and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, title = {Effect of security and trustworthiness for a fuzzy cluster management system in VANETs}, journal = {Cogn. Syst. Res.}, volume = {55}, pages = {153--163}, year = {2019}, url = {https://doi.org/10.1016/j.cogsys.2019.01.008}, doi = {10.1016/J.COGSYS.2019.01.008}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsr/BylykbashiEMIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimI19, author = {Unghyun Kim and Makoto Ikeda}, title = {An image sensor with in-pixel selective-charge-subtraction circuits for selective light detection}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {4}, pages = {20181099}, year = {2019}, url = {https://doi.org/10.1587/elex.16.20181099}, doi = {10.1587/ELEX.16.20181099}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AwanoII19, author = {Hiromitsu Awano and Tadayuki Ichihashi and Makoto Ikeda}, title = {An {ASIC} Crypto Processor for 254-Bit Prime-Field Pairing Featuring Programmable Arithmetic Core Optimized for Quadratic Extension Field}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {102-A}, number = {1}, pages = {56--64}, year = {2019}, url = {https://doi.org/10.1587/transfun.E102.A.56}, doi = {10.1587/TRANSFUN.E102.A.56}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AwanoII19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/GaddeI19, author = {Vinod V. Gadde and Makoto Ikeda}, title = {Authenticated-Encrypted Analog-to-Digital Conversion Based on Non-Linearity and Redundancy Transformation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {102-A}, number = {12}, pages = {1731--1740}, year = {2019}, url = {https://doi.org/10.1587/transfun.E102.A.1731}, doi = {10.1587/TRANSFUN.E102.A.1731}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/GaddeI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijguc/OzeraIBSIB19, author = {Kosuke Ozera and Takaaki Inaba and Kevin Bylykbashi and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, title = {A {WLAN} triage testbed based on fuzzy logic and its performance evaluation for different number of clients and throughput parameter}, journal = {Int. J. Grid Util. Comput.}, volume = {10}, number = {2}, pages = {168--178}, year = {2019}, url = {https://doi.org/10.1504/IJGUC.2019.098220}, doi = {10.1504/IJGUC.2019.098220}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijguc/OzeraIBSIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/CukaEIMB19, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {IoT node selection in Opportunistic Networks: Implementation of fuzzy-based simulation systems and testbed}, journal = {Internet Things}, volume = {8}, year = {2019}, url = {https://doi.org/10.1016/j.iot.2019.100105}, doi = {10.1016/J.IOT.2019.100105}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iot/CukaEIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/CukaEBSIB19, author = {Miralda Cuka and Donald Elmazi and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, title = {Implementation and performance evaluation of two fuzzy-based systems for selection of IoT devices in opportunistic networks}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {10}, number = {2}, pages = {519--529}, year = {2019}, url = {https://doi.org/10.1007/s12652-017-0676-0}, doi = {10.1007/S12652-017-0676-0}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/CukaEBSIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ElmaziCIMB19, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Application of Fuzzy Logic for Selection of Actor Nodes in WSANs - Implementation of Two Fuzzy-Based Systems and a Testbed}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5573}, year = {2019}, url = {https://doi.org/10.3390/s19245573}, doi = {10.3390/S19245573}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ElmaziCIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/SakamotoOBIBT19, author = {Shinji Sakamoto and Kosuke Ozera and Admir Barolli and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, title = {Implementation of an intelligent hybrid simulation systems for WMNs based on particle swarm optimization and simulated annealing: performance evaluation for different replacement methods}, journal = {Soft Comput.}, volume = {23}, number = {9}, pages = {3029--3035}, year = {2019}, url = {https://doi.org/10.1007/s00500-017-2948-1}, doi = {10.1007/S00500-017-2948-1}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/SakamotoOBIBT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/IkedaOB19, author = {Makoto Ikeda and Tetsuya Oda and Leonard Barolli}, title = {A vegetable category recognition system: a comparison study for caffe and Chainer {DNN} frameworks}, journal = {Soft Comput.}, volume = {23}, number = {9}, pages = {3129--3136}, year = {2019}, url = {https://doi.org/10.1007/s00500-017-2959-y}, doi = {10.1007/S00500-017-2959-Y}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/IkedaOB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/BylykbashiQIMB19, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Peter Hellinckx and Juggapong Natwichai}, title = {A Fuzzy-Based System for Driving Risk Measurement {(FSDRM)} in VANETs: {A} Comparison Study of Simulation and Experimental Results}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 14th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {96}, pages = {14--25}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33509-0\_2}, doi = {10.1007/978-3-030-33509-0\_2}, timestamp = {Thu, 12 Aug 2021 13:56:30 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/BylykbashiQIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/ElmaziCIMB19, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Peter Hellinckx and Juggapong Natwichai}, title = {Effect of Degree of Centrality Parameter on Actor Selection in WSANs: {A} Fuzzy-Based Simulation System and Its Performance Evaluation}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 14th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {96}, pages = {35--46}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33509-0\_4}, doi = {10.1007/978-3-030-33509-0\_4}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/ElmaziCIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/Bylykbashi0EMIB19, author = {Kevin Bylykbashi and Yi Liu and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {A Secure and Trustworthy Intelligent System for Clustering in VANETs Using Fuzzy Logic}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {926}, pages = {156--165}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15032-7\_13}, doi = {10.1007/978-3-030-15032-7\_13}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/Bylykbashi0EMIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/NakasakiYIB19, author = {Shogo Nakasaki and Yu Yoshino and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {A Distance-Based Advertisement-Delivery Method for Vehicular {DTN}}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {215--223}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_20}, doi = {10.1007/978-3-030-15035-8\_20}, timestamp = {Fri, 29 Mar 2019 10:44:54 +0100}, biburl = {https://dblp.org/rec/conf/aina/NakasakiYIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ElmaziCIB019, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {A Fuzzy-Based System for Actor Node Selection in WSANs Considering Level of Received Signal}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {926}, pages = {238--250}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15032-7\_21}, doi = {10.1007/978-3-030-15032-7\_21}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/ElmaziCIB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/CukaEIMB019, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {Selection of IoT Devices in Opportunistic Networks: {A} Fuzzy-Based Approach Considering IoT Device's Selfish Behaviour}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {926}, pages = {251--264}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15032-7\_22}, doi = {10.1007/978-3-030-15032-7\_22}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/CukaEIMB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/0011IMB019, author = {Yi Liu and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {Improving Peer Reliability Considering Jitter Parameter: {A} Fuzzy-Based System for JXTA-Overlay {P2P} System}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {422--432}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_39}, doi = {10.1007/978-3-030-15035-8\_39}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/0011IMB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/CaiAI19, author = {Chun Cai and Hiromitsu Awano and Makoto Ikeda}, title = {High-Speed {ASIC} Implementation of Paillier Cryptosystem with Homomorphism}, booktitle = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing, China, October 29 - November 1, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASICON47005.2019.8983588}, doi = {10.1109/ASICON47005.2019.8983588}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/CaiAI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/IkedaIA19, author = {Makoto Ikeda and Tadayuki Ichihashi and Hiromitsu Awano}, title = {33us, 94uJ Optimal Ate Pairing Engine on {BN} Curve over 254b Prime Field in 65nm {CMOS} {FDSOI}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {263--266}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056951}, doi = {10.1109/A-SSCC47793.2019.9056951}, timestamp = {Sun, 19 Apr 2020 17:47:11 +0200}, biburl = {https://dblp.org/rec/conf/asscc/IkedaIA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/CukaEMIB19, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Peter Hellinckx and Tomoya Enokido}, title = {A Fuzzy-Based Simulation System for IoT Node Selection in Opportunistic Networks and Testbed Implementation}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 14th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {97}, pages = {32--43}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33506-9\_4}, doi = {10.1007/978-3-030-33506-9\_4}, timestamp = {Thu, 12 Aug 2021 13:48:41 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/CukaEMIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/NakasakiIB19, author = {Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Peter Hellinckx and Tomoya Enokido}, title = {A Message Relaying Method with Enhanced Dynamic Timer Considering Decrease Rate of Neighboring Nodes for Vehicular-DTN}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 14th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {97}, pages = {711--720}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33506-9\_65}, doi = {10.1007/978-3-030-33506-9\_65}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/NakasakiIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/BylykbashiEMIB19, author = {Kevin Bylykbashi and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Farookh Khadeer Hussain and Makoto Ikeda}, title = {Implementation of a Fuzzy-Based Simulation System and a Testbed for Improving Driving Conditions in VANETs}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 13th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2019, Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {993}, pages = {3--12}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22354-0\_1}, doi = {10.1007/978-3-030-22354-0\_1}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/BylykbashiEMIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/CukaEIMB19, author = {Miralda Cuka and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Farookh Khadeer Hussain and Makoto Ikeda}, title = {IoT Node Selection and Placement: {A} New Approach Based on Fuzzy Logic and Genetic Algorithm}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 13th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2019, Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {993}, pages = {22--35}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22354-0\_3}, doi = {10.1007/978-3-030-22354-0\_3}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/CukaEIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanoI19, author = {Hiromitsu Awano and Makoto Ikeda}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Four{\(\mathbb{Q}\)} on {ASIC:} Breaking Speed Records for Elliptic Curve Scalar Multiplication}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1733--1738}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715287}, doi = {10.23919/DATE.2019.8715287}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanoI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/Bylykbashi0MIB019, author = {Kevin Bylykbashi and Yi Liu and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Zahoor Ali Khan and Hamad Odhabi}, title = {A Fuzzy-Based System for Cloud-Fog-Edge Selection in VANETs}, booktitle = {Advances in Internet, Data and Web Technologies, The 7th International Conference on Emerging Internet, Data and Web Technologies, EIDWT-2019s, Fujairah Campus, United Arab Emirates, 26-28 February 2019}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {29}, pages = {1--12}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-12839-5\_1}, doi = {10.1007/978-3-030-12839-5\_1}, timestamp = {Thu, 19 Mar 2020 13:11:18 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/Bylykbashi0MIB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/OzeraB0IB019, author = {Kosuke Ozera and Kevin Bylykbashi and Yi Liu and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Zahoor Ali Khan and Hamad Odhabi}, title = {Group Speed Parameter Effect for Clustering of Vehicles in VANETs: {A} Fuzzy-Based Approach}, booktitle = {Advances in Internet, Data and Web Technologies, The 7th International Conference on Emerging Internet, Data and Web Technologies, EIDWT-2019s, Fujairah Campus, United Arab Emirates, 26-28 February 2019}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {29}, pages = {13--24}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-12839-5\_2}, doi = {10.1007/978-3-030-12839-5\_2}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/OzeraB0IB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/ElmaziCIB019, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Zahoor Ali Khan and Hamad Odhabi}, title = {A Fuzzy-Based System for Selection of Actor Nodes in WSANs Considering Actor Reliability and Load Distribution}, booktitle = {Advances in Internet, Data and Web Technologies, The 7th International Conference on Emerging Internet, Data and Web Technologies, EIDWT-2019s, Fujairah Campus, United Arab Emirates, 26-28 February 2019}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {29}, pages = {25--38}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-12839-5\_3}, doi = {10.1007/978-3-030-12839-5\_3}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/ElmaziCIB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/CukaEMIB019, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Zahoor Ali Khan and Hamad Odhabi}, title = {IoT Device Selection in Opportunistic Networks: {A} Fuzzy Approach Considering IoT Device Failure Rate}, booktitle = {Advances in Internet, Data and Web Technologies, The 7th International Conference on Emerging Internet, Data and Web Technologies, EIDWT-2019s, Fujairah Campus, United Arab Emirates, 26-28 February 2019}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {29}, pages = {39--52}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-12839-5\_4}, doi = {10.1007/978-3-030-12839-5\_4}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/CukaEMIB019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ElmaziCIMB19, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Omar Khadeer Hussain}, title = {A Fuzzy-Based System for Actor Node Selection in {WSANS:} Simulation and Experimental Results}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 13th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2019), Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {994}, pages = {11--24}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22263-5\_2}, doi = {10.1007/978-3-030-22263-5\_2}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/ElmaziCIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/RuedeeniramanIB19, author = {Natwadee Ruedeeniraman and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Omar Khadeer Hussain}, title = {TensorFlow: {A} Vegetable Classification System and Its Performance Evaluation}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 13th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2019), Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {994}, pages = {132--141}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22263-5\_13}, doi = {10.1007/978-3-030-22263-5\_13}, timestamp = {Tue, 25 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/RuedeeniramanIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/NakasakiIB19, author = {Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Hiroaki Nishino and Hiroyoshi Miwa}, title = {A Message Relaying Method with a Dynamic Timer Considering Non-signal Duration from Neighboring Nodes for Vehicular {DTN}}, booktitle = {Advances in Intelligent Networking and Collaborative Systems - The 11th International Conference on Intelligent Networking and Collaborative Systems, INCoS 2019, Oita, Japan, September 5-7, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1035}, pages = {133--142}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29035-1\_13}, doi = {10.1007/978-3-030-29035-1\_13}, timestamp = {Tue, 20 Aug 2019 08:51:06 +0200}, biburl = {https://dblp.org/rec/conf/incos/NakasakiIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AwanoII19, author = {Hiromitsu Awano and Tomoki Iizuka and Makoto Ikeda}, title = {PUFNet: {A} Deep Neural Network Based Modeling Attack for Physically Unclonable Function}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702431}, doi = {10.1109/ISCAS.2019.8702431}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AwanoII19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/InoueAAHTNSYTFI19, author = {Hiroki Inoue and Takeshi Aoki and Fumika Akasawa and Toshiki Hamada and Toshihiko Takeuchi and Kousei Nei and Takako Seki and Yuto Yakubo and Kei Takahashi and Shuji Fukai and Takahiko Ishizu and Munehiro Kozuma and Ryota Tajima and Takanori Matsuzaki and Takayuki Ikeda and Makoto Ikeda and Shunpei Yamazaki}, title = {Micro Short-Circuit Detector Including {S/H} Circuit for 1hr Retention and 52dB Comparator Composed of C-Axis Aligned Crystalline {IGZO} FETs for Li-Ion Battery Protection {IC}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {204--206}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662541}, doi = {10.1109/ISSCC.2019.8662541}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/InoueAAHTNSYTFI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/BylykbashiQIMB19, author = {Kevin Bylykbashi and Ermioni Qafzezi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, editor = {Leonard Barolli and Hiroaki Nishino and Tomoya Enokido and Makoto Takizawa}, title = {Implementation of a Fuzzy-Based Simulation System and a Testbed for Improving Driving Conditions in VANETs Considering Drivers's Vital Signs}, booktitle = {Advances in Networked-based Information Systems - The 22nd International Conference on Network-Based Information Systems, NBiS 2019, Oita, Japan, September 5-7, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1036}, pages = {37--48}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29029-0\_4}, doi = {10.1007/978-3-030-29029-0\_4}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/BylykbashiQIMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/RuedeeniramanIB19, author = {Natwadee Ruedeeniraman and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Hiroaki Nishino and Tomoya Enokido and Makoto Takizawa}, title = {Performance Evaluation of VegeCare Tool for Tomato Disease Classification}, booktitle = {Advances in Networked-based Information Systems - The 22nd International Conference on Network-Based Information Systems, NBiS 2019, Oita, Japan, September 5-7, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1036}, pages = {595--603}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29029-0\_59}, doi = {10.1007/978-3-030-29029-0\_59}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/RuedeeniramanIB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cisis/2018, editor = {Leonard Barolli and Nadeem Javaid and Makoto Ikeda and Makoto Takizawa}, title = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 12th International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS-2018, Matsue, Japan, 4-6 July 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {772}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-93659-8}, doi = {10.1007/978-3-319-93659-8}, isbn = {978-3-319-93658-1}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/CukaEBSIB18, author = {Miralda Cuka and Donald Elmazi and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, title = {Effect of node centrality for IoT device selection in opportunistic networks: {A} comparison study}, journal = {Concurr. Comput. Pract. Exp.}, volume = {30}, number = {21}, year = {2018}, url = {https://doi.org/10.1002/cpe.4790}, doi = {10.1002/CPE.4790}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/CukaEBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsadaNII18, author = {Kunihiro Asada and Toru Nakura and Tetsuya Iizuka and Makoto Ikeda}, title = {Time-domain approach for analog circuits in deep sub-micron {LSI}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20182001}, doi = {10.1587/ELEX.15.20182001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsadaNII18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SugiyamaAI18, author = {Shotaro Sugiyama and Hiromitsu Awano and Makoto Ikeda}, title = {Low Latency 256-bit {\textdollar}mathbb\{F\}{\_}p{\textdollar} {ECDSA} Signature Generation Crypto Processor}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {12}, pages = {2290--2296}, year = {2018}, url = {https://doi.org/10.1587/transfun.E101.A.2290}, doi = {10.1587/TRANSFUN.E101.A.2290}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SugiyamaAI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/MatsuoSOBIB18, author = {Keita Matsuo and Shinji Sakamoto and Tetsuya Oda and Admir Barolli and Makoto Ikeda and Leonard Barolli}, title = {Performance analysis of WMNs by {WMN-GA} simulation system for two {WMN} architectures and different {TCP} congestion-avoidance algorithms and client distributions}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {20}, number = {3}, pages = {335--351}, year = {2018}, url = {https://doi.org/10.1504/IJCNDS.2018.10010703}, doi = {10.1504/IJCNDS.2018.10010703}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/MatsuoSOBIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/ElmaziIB18, author = {Donald Elmazi and Makoto Ikeda and Leonard Barolli}, title = {Implementation and performance evaluation of an intelligent fuzzy-based testbed for WSANs: a case study for object tracking}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {21}, number = {1}, pages = {80--94}, year = {2018}, url = {https://doi.org/10.1504/IJCNDS.2018.10013893}, doi = {10.1504/IJCNDS.2018.10013893}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcnds/ElmaziIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/CukaEKOIB18, author = {Miralda Cuka and Donald Elmazi and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Implementation of two fuzzy-based systems for IoT device selection in opportunistic networks: effect of storage parameter on IoT device selection}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {21}, number = {1}, pages = {95--114}, year = {2018}, url = {https://doi.org/10.1504/IJCNDS.2018.10013894}, doi = {10.1504/IJCNDS.2018.10013894}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcnds/CukaEKOIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/ElmaziCBSIB18, author = {Donald Elmazi and Miralda Cuka and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, title = {Implementation of intelligent fuzzy-based systems for actor node selection in WSANs: {A} comparison study considering effect of actor congestion situation}, journal = {J. High Speed Networks}, volume = {24}, number = {3}, pages = {187--199}, year = {2018}, url = {https://doi.org/10.3233/JHS-180590}, doi = {10.3233/JHS-180590}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/ElmaziCBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/LiuOMIB18, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, title = {Improving peer awareness and group synchronization in MobilePeerDroid system: {A} comparison study for two fuzzy-based systems}, journal = {J. High Speed Networks}, volume = {24}, number = {4}, pages = {327--343}, year = {2018}, url = {https://doi.org/10.3233/JHS-180598}, doi = {10.3233/JHS-180598}, timestamp = {Mon, 22 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/LiuOMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IkedaC18, author = {Makoto Ikeda and Ken Chang}, title = {Introduction to the Special Issue on the 2017 Symposium on {VLSI} Circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {4}, pages = {965--967}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2812478}, doi = {10.1109/JSSC.2018.2812478}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IkedaC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/SakamotoOIB18, author = {Shinji Sakamoto and Kosuke Ozera and Makoto Ikeda and Leonard Barolli}, title = {Implementation of Intelligent Hybrid Systems for Node Placement Problem in WMNs Considering Particle Swarm Optimization, Hill Climbing and Simulated Annealing}, journal = {Mob. Networks Appl.}, volume = {23}, number = {1}, pages = {27--33}, year = {2018}, url = {https://doi.org/10.1007/s11036-017-0897-7}, doi = {10.1007/S11036-017-0897-7}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/SakamotoOIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/0011OMIB18, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Fatos Xhafa and Fang{-}Yie Leu and Massimo Ficco and Chao{-}Tung Yang}, title = {A Fuzzy-based Approach for MobilePeerDroid System Considering of Peer Communication Cost}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing, Proceedings of the 13th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2018, Taichung, Taiwan, 27-29 October}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {24}, pages = {180--191}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02607-3\_17}, doi = {10.1007/978-3-030-02607-3\_17}, timestamp = {Fri, 12 Apr 2019 14:48:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/0011OMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/CukaEBMIB18, author = {Miralda Cuka and Donald Elmazi and Kevin Bylykbashi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Fatos Xhafa and Fang{-}Yie Leu and Massimo Ficco and Chao{-}Tung Yang}, title = {A Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks Considering Number of Past Encounters}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing, Proceedings of the 13th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2018, Taichung, Taiwan, 27-29 October}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {24}, pages = {223--237}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02607-3\_21}, doi = {10.1007/978-3-030-02607-3\_21}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/CukaEBMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/NakasakiYIB18, author = {Shogo Nakasaki and Yu Yoshino and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Marek R. Ogiela and Lidia Ogiela and Nadeem Javaid}, title = {A Recovery Method for Reducing Storage Usage Considering Number of Neighboring Nodes in VANETs}, booktitle = {32nd International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2018 workshops, Krakow, Poland, May 16-18, 2018}, pages = {130--135}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/WAINA.2018.00075}, doi = {10.1109/WAINA.2018.00075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/NakasakiYIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YoshinoNIB18, author = {Yu Yoshino and Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Marek R. Ogiela and Lidia Ogiela and Nadeem Javaid}, title = {An Integrated Message Suppression Controller with Epidemic and MaxProp Protocols: Performance Evaluation for VDTNs}, booktitle = {32nd International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2018 workshops, Krakow, Poland, May 16-18, 2018}, pages = {159--163}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/WAINA.2018.00080}, doi = {10.1109/WAINA.2018.00080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/YoshinoNIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ElmaziCBSIB18, author = {Donald Elmazi and Miralda Cuka and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Marek R. Ogiela and Lidia Ogiela and Nadeem Javaid}, title = {Selection of Actor Nodes in Wireless Sensor and Actor Networks: {A} Fuzzy-Based Approach Considering Number of Obstacles as New Parameter}, booktitle = {32nd {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2018, Krakow, Poland, May 16-18, 2018}, pages = {658--666}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/AINA.2018.00101}, doi = {10.1109/AINA.2018.00101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ElmaziCBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/CukaEBSIB18, author = {Miralda Cuka and Donald Elmazi and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Marek R. Ogiela and Lidia Ogiela and Nadeem Javaid}, title = {A Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks Considering IoT Device Storage, Waiting Time and Node Centrality Parameters}, booktitle = {32nd {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2018, Krakow, Poland, May 16-18, 2018}, pages = {710--716}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/AINA.2018.00107}, doi = {10.1109/AINA.2018.00107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/CukaEBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/GaddeAI18, author = {Vinod V. Gadde and Hiromitsu Awano and Makoto Ikeda}, title = {An Encryption-Authentication Unified {A/D} Conversion Scheme for IoT Sensor Nodes}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {123--126}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579273}, doi = {10.1109/ASSCC.2018.8579273}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/GaddeAI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SugiyamaAI18, author = {Shotaro Sugiyama and Hiromitsu Awano and Makoto Ikeda}, title = {31.3 {\(\mu\)}s/Signature-Generation 256-bit {\unicode{54589}}p {ECDSA} Cryptoprocessor}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {153--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579287}, doi = {10.1109/ASSCC.2018.8579287}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/SugiyamaAI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ElmaziCIB18, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fang{-}Yie Leu and Tomoya Enokido and Hsing{-}Chung Chen}, title = {A Fuzzy-Based System for Actor Node Selection in WSANs Considering Load Balancing of Actors}, booktitle = {Advances on Broadband and Wireless Computing, Communication and Applications, Proceedings of the 13th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2018, Taichung, Taiwan, October 27-29, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {25}, pages = {97--109}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02613-4\_9}, doi = {10.1007/978-3-030-02613-4\_9}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/ElmaziCIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/YoshinoNIB18, author = {Yu Yoshino and Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fang{-}Yie Leu and Tomoya Enokido and Hsing{-}Chung Chen}, title = {A Threshold-Based Adaptive Method for Message Suppression Controller in Vehicular DTNs}, booktitle = {Advances on Broadband and Wireless Computing, Communication and Applications, Proceedings of the 13th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2018, Taichung, Taiwan, October 27-29, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {25}, pages = {515--524}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02613-4\_46}, doi = {10.1007/978-3-030-02613-4\_46}, timestamp = {Mon, 15 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/YoshinoNIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/OzeraBLIB18, author = {Kosuke Ozera and Kevin Bylykbashi and Yi Liu and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fang{-}Yie Leu and Tomoya Enokido and Hsing{-}Chung Chen}, title = {Performance Evaluation of a Fuzzy-Based Cluster-Management System for VANETs}, booktitle = {Advances on Broadband and Wireless Computing, Communication and Applications, Proceedings of the 13th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2018, Taichung, Taiwan, October 27-29, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {25}, pages = {623--636}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02613-4\_56}, doi = {10.1007/978-3-030-02613-4\_56}, timestamp = {Mon, 15 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/OzeraBLIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ElmaziCIB18, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Nadeem Javaid and Makoto Ikeda and Makoto Takizawa}, title = {A Fuzzy-Based Approach for Selection of Actor Nodes in WSANs Considering Size of Giant Component as New Parameter}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 12th International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS-2018, Matsue, Japan, 4-6 July 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {772}, pages = {89--101}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93659-8\_8}, doi = {10.1007/978-3-319-93659-8\_8}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/ElmaziCIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/TsuchiyaTIB18, author = {Gaku Tsuchiya and Eri Takebayashi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Nadeem Javaid and Makoto Ikeda and Makoto Takizawa}, title = {A Fuzzy-Based Plant Cultivation Support System}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 12th International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS-2018, Matsue, Japan, 4-6 July 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {772}, pages = {127--135}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93659-8\_11}, doi = {10.1007/978-3-319-93659-8\_11}, timestamp = {Sun, 24 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/TsuchiyaTIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/OdaKKIB18, author = {Tetsuya Oda and Elis Kulla and Kengo Katayama and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Nadeem Javaid and Makoto Ikeda and Makoto Takizawa}, title = {A Deep Q-Network Based Simulation System for Actor Node Mobility Control in WSANs Considering Three-Dimensional Environment: {A} Comparison Study for Normal and Uniform Distributions}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 12th International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS-2018, Matsue, Japan, 4-6 July 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {772}, pages = {842--852}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93659-8\_77}, doi = {10.1007/978-3-319-93659-8\_77}, timestamp = {Sun, 24 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/OdaKKIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/0011OMIBK18, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Vladi Kolici}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Evjola Spaho and Vladi Kolici}, title = {Improving Team Collaboration in MobilePeerDroid Mobile System: {A} Fuzzy-Based Approach Considering Four Input Parameters}, booktitle = {Advances in Internet, Data {\&} Web Technologies, The 6th International Conference on Emerging Internet, Data {\&} Web Technologies, EIDWT-2018, Tirana, Albania, March 15-17, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {17}, pages = {65--78}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75928-9\_6}, doi = {10.1007/978-3-319-75928-9\_6}, timestamp = {Tue, 26 Jun 2018 18:05:25 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/0011OMIBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/CukaEBSIB18, author = {Miralda Cuka and Donald Elmazi and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Evjola Spaho and Vladi Kolici}, title = {A Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks Considering IoT Device Storage, Waiting Time and Security Parameters}, booktitle = {Advances in Internet, Data {\&} Web Technologies, The 6th International Conference on Emerging Internet, Data {\&} Web Technologies, EIDWT-2018, Tirana, Albania, March 15-17, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {17}, pages = {94--105}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75928-9\_8}, doi = {10.1007/978-3-319-75928-9\_8}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/CukaEBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/ElmaziCBSIB18, author = {Donald Elmazi and Miralda Cuka and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Evjola Spaho and Vladi Kolici}, title = {Selection of Actor Nodes in Wireless Sensor and Actor Networks Considering Failure of Assigned Task as New Parameter}, booktitle = {Advances in Internet, Data {\&} Web Technologies, The 6th International Conference on Emerging Internet, Data {\&} Web Technologies, EIDWT-2018, Tirana, Albania, March 15-17, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {17}, pages = {106--118}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75928-9\_9}, doi = {10.1007/978-3-319-75928-9\_9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/ElmaziCBSIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/KogaYNIB18, author = {Daichi Koga and Yu Yoshino and Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Evjola Spaho and Vladi Kolici}, title = {Performance Evaluation of an Enhanced Message Suppression Controller Considering Delayed Ack Using Different Road Traffic Conditions}, booktitle = {Advances in Internet, Data {\&} Web Technologies, The 6th International Conference on Emerging Internet, Data {\&} Web Technologies, EIDWT-2018, Tirana, Albania, March 15-17, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {17}, pages = {161--170}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75928-9\_14}, doi = {10.1007/978-3-319-75928-9\_14}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/KogaYNIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KimI18, author = {Unghyun Kim and Makoto Ikeda}, title = {A Study on Pixel Circuit with Compensation of Background Light Using Current Mirror}, booktitle = {2018 {IEEE} SENSORS, New Delhi, India, October 28-31, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSENS.2018.8589850}, doi = {10.1109/ICSENS.2018.8589850}, timestamp = {Mon, 19 Dec 2022 11:25:47 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/KimI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/0011OMIB18, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Tomoya Enokido}, title = {A Fuzzy-Based Approach for Improving Peer Coordination Quality in MobilePeerDroid Mobile System}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {773}, pages = {60--73}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_5}, doi = {10.1007/978-3-319-93554-6\_5}, timestamp = {Mon, 11 Jun 2018 16:04:18 +0200}, biburl = {https://dblp.org/rec/conf/imis/0011OMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/CukaEMIB18, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Tomoya Enokido}, title = {A Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks Considering IoT Device Contact Duration, Storage and Remaining Energy}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {773}, pages = {74--85}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_6}, doi = {10.1007/978-3-319-93554-6\_6}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/CukaEMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/NakasakiYIB18, author = {Shogo Nakasaki and Yu Yoshino and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Tomoya Enokido}, title = {Design and Implementation of a {VANET} Testbed: Performance Evaluation Considering {DTN} Transmission over VANETs}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {773}, pages = {748--755}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_73}, doi = {10.1007/978-3-319-93554-6\_73}, timestamp = {Mon, 11 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/NakasakiYIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WakabayashiII18, author = {Hayato Wakabayashi and Makoto Ikeda}, title = {Session 5 overview: Image sensors: {IMMD} subcommittee}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {78--79}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310192}, doi = {10.1109/ISSCC.2018.8310192}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WakabayashiII18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KraftMI18, author = {Michael Kraft and Masayuki Miyamoto and Makoto Ikeda}, title = {Session 10 overview: Sensor systems: {IMMD} subcommittee}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {176--177}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310241}, doi = {10.1109/ISSCC.2018.8310241}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KraftMI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MohseniHI18, author = {Pedram Mohseni and Nick Van Helleputte and Makoto Ikeda}, title = {Session 29 overview: Advanced biomedical systems: {IMMD} subcommittee}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {458--459}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310382}, doi = {10.1109/ISSCC.2018.8310382}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MohseniHI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ElmaziCIB18, author = {Donald Elmazi and Miralda Cuka and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Natalia Kryvinska and Tomoya Enokido and Makoto Takizawa}, title = {A Fuzzy-Based System for Actor Node Selection in WSANs for Improving Network Connectivity and Increasing Number of Covered Sensors}, booktitle = {Advances in Network-Based Information Systems, The 21st International Conference on Network-Based Information Systems, NBiS-2018, Bratislava, Slovakia, 5-7 September 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {22}, pages = {3--15}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98530-5\_1}, doi = {10.1007/978-3-319-98530-5\_1}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/ElmaziCIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/CukaEMIB18, author = {Miralda Cuka and Donald Elmazi and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Natalia Kryvinska and Tomoya Enokido and Makoto Takizawa}, title = {A Delay-Aware Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks}, booktitle = {Advances in Network-Based Information Systems, The 21st International Conference on Network-Based Information Systems, NBiS-2018, Bratislava, Slovakia, 5-7 September 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {22}, pages = {16--29}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98530-5\_2}, doi = {10.1007/978-3-319-98530-5\_2}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/CukaEMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/0011OMIB18, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Natalia Kryvinska and Tomoya Enokido and Makoto Takizawa}, title = {A Fuzzy-Based Approach for Improving Peer Awareness and Group Synchronization in MobilePeerDroid System}, booktitle = {Advances in Network-Based Information Systems, The 21st International Conference on Network-Based Information Systems, NBiS-2018, Bratislava, Slovakia, 5-7 September 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {22}, pages = {30--41}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98530-5\_3}, doi = {10.1007/978-3-319-98530-5\_3}, timestamp = {Mon, 07 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/0011OMIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/NakasakiYIB18, author = {Shogo Nakasaki and Yu Yoshino and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Natalia Kryvinska and Tomoya Enokido and Makoto Takizawa}, title = {A Recovery Method for Reducing Storage Usage Considering Different Thresholds in VANETs}, booktitle = {Advances in Network-Based Information Systems, The 21st International Conference on Network-Based Information Systems, NBiS-2018, Bratislava, Slovakia, 5-7 September 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {22}, pages = {793--802}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98530-5\_70}, doi = {10.1007/978-3-319-98530-5\_70}, timestamp = {Mon, 07 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/NakasakiYIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/OzeraBLIB18, author = {Kosuke Ozera and Kevin Bylykbashi and Yi Liu and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Natalia Kryvinska and Tomoya Enokido and Makoto Takizawa}, title = {Clustering in VANETs: {A} Fuzzy-Based System for Clustering of Vehicles}, booktitle = {Advances in Network-Based Information Systems, The 21st International Conference on Network-Based Information Systems, NBiS-2018, Bratislava, Slovakia, 5-7 September 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {22}, pages = {810--821}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98530-5\_72}, doi = {10.1007/978-3-319-98530-5\_72}, timestamp = {Tue, 08 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/OzeraBLIB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ArakawaI17, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {100-C}, number = {3}, pages = {221--222}, year = {2017}, url = {https://doi.org/10.1587/transele.E100.C.221}, doi = {10.1587/TRANSELE.E100.C.221}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ArakawaI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/Ikeda17, author = {Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {7}, pages = {1362}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.1362}, doi = {10.1587/TRANSFUN.E100.A.1362}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/Ikeda17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/CukaEIOIB17, author = {Miralda Cuka and Donald Elmazi and Takaaki Inaba and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {An Integrated Fuzzy-Based System for Cluster-Head Selection and Sensor Speed Control in Wireless Sensor Networks}, journal = {Int. J. Distributed Syst. Technol.}, volume = {8}, number = {2}, pages = {1--14}, year = {2017}, url = {https://doi.org/10.4018/IJDST.2017040101}, doi = {10.4018/IJDST.2017040101}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/CukaEIOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/ObukataCEOIB17, author = {Ryoichiro Obukata and Miralda Cuka and Donald Elmazi and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Design and evaluation of an ambient intelligence testbed for improving quality of life}, journal = {Int. J. Space Based Situated Comput.}, volume = {7}, number = {1}, pages = {8--15}, year = {2017}, url = {https://doi.org/10.1504/IJSSC.2017.10004982}, doi = {10.1504/IJSSC.2017.10004982}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijssc/ObukataCEOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/OzeraSEBIB17, author = {Kosuke Ozera and Shinji Sakamoto and Donald Elmazi and Kevin Bylykbashi and Makoto Ikeda and Leonard Barolli}, title = {A fuzzy approach for clustering in MANETs: performance evaluation for different parameters}, journal = {Int. J. Space Based Situated Comput.}, volume = {7}, number = {3}, pages = {166--176}, year = {2017}, url = {https://doi.org/10.1504/IJSSC.2017.10010064}, doi = {10.1504/IJSSC.2017.10010064}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijssc/OzeraSEBIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/ElmaziCKOIB17, author = {Donald Elmazi and Miralda Cuka and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Implementation and comparison of two intelligent systems based on fuzzy logic for actor selection in WSANs: effect of node density on actor selection}, journal = {Int. J. Space Based Situated Comput.}, volume = {7}, number = {4}, pages = {229--238}, year = {2017}, url = {https://doi.org/10.1504/IJSSC.2017.10010832}, doi = {10.1504/IJSSC.2017.10010832}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijssc/ElmaziCKOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwgs/BarolliEOOIB17, author = {Admir Barolli and Donald Elmazi and Ryoichiro Obukata and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Experimental results of a Raspberry Pi and {OLSR} based wireless content centric network testbed: comparison of different platforms}, journal = {Int. J. Web Grid Serv.}, volume = {13}, number = {1}, pages = {131--141}, year = {2017}, url = {https://doi.org/10.1504/IJWGS.2017.082064}, doi = {10.1504/IJWGS.2017.082064}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwgs/BarolliEOOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/CukaSSOIB17, author = {Miralda Cuka and Ilir Shinko and Evjola Spaho and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {A simulation system based on {ONE} and {SUMO} simulators: Performance evaluation of different vehicular {DTN} routing protocols}, journal = {J. High Speed Networks}, volume = {23}, number = {1}, pages = {59--66}, year = {2017}, url = {https://doi.org/10.3233/JHS-170557}, doi = {10.3233/JHS-170557}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/CukaSSOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/SakamotoOOBIB17, author = {Shinji Sakamoto and Ryoichiro Obukata and Tetsuya Oda and Leonard Barolli and Makoto Ikeda and Admir Barolli}, title = {Performance analysis of two Wireless Mesh Network architectures by {WMN-SA} and {WMN-TS} simulation systems}, journal = {J. High Speed Networks}, volume = {23}, number = {4}, pages = {311--322}, year = {2017}, url = {https://doi.org/10.3233/JHS-170573}, doi = {10.3233/JHS-170573}, timestamp = {Tue, 28 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/SakamotoOOBIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GinsburgI17, author = {Brian P. Ginsburg and Makoto Ikeda}, title = {Introduction to the Special Issue on the 2016 Symposium on {VLSI} Circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {4}, pages = {888--890}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2679618}, doi = {10.1109/JSSC.2017.2679618}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GinsburgI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KozumaONAKIIYMI17, author = {Munehiro Kozuma and Yuki Okamoto and Takashi Nakagawa and Takeshi Aoki and Yoshiyuki Kurokawa and Takayuki Ikeda and Yoshinori Ieda and Naoto Yamade and Hidekazu Miyairi and Makoto Ikeda and Masahiro Fujita and Shunpei Yamazaki}, title = {Subthreshold Operation of {CAAC-IGZO} {FPGA} by Overdriving of Programmable Routing Switch and Programmable Power Switch}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {125--138}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2571744}, doi = {10.1109/TVLSI.2016.2571744}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KozumaONAKIIYMI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/BarolliSOIB017, author = {Admir Barolli and Shinji Sakamoto and Kosuke Ozera and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Fatos Xhafa and Santi Caball{\'{e}} and Leonard Barolli}, title = {Performance Evaluation of WMNs by {WMN-PSOSA} Simulation System Considering Constriction and Linearly Decreasing Vmax Methods}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing, Proceedings of the 12th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2017, Barcelona, Spain, 8-10 November 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {13}, pages = {111--121}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69835-9\_10}, doi = {10.1007/978-3-319-69835-9\_10}, timestamp = {Tue, 08 Jan 2019 09:39:12 +0100}, biburl = {https://dblp.org/rec/conf/3pgcic/BarolliSOIB017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/OdaOIBT17, author = {Tetsuya Oda and Ryoichiro Obukata and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Design and Implementation of a Simulation System Based on Deep Q-Network for Mobile Actor Node Control in Wireless Sensor and Actor Networks}, booktitle = {31st International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2017 Workshops, Taipei, Taiwan, March 27-29, 2017}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/WAINA.2017.67}, doi = {10.1109/WAINA.2017.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/OdaOIBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/BarolliOOIBT17, author = {Admir Barolli and Tetsuya Oda and Ryoichiro Obukata and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {A GA-Based Simulation System for WMNs: Performance Analysis for Different {WMN} Architectures Considering Exponential Distribution, {HWMP} and {TCP} Protocols}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {462--467}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.90}, doi = {10.1109/AINA.2017.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/BarolliOOIBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/LiuSBI17, author = {Yi Liu and Shinji Sakamoto and Leonard Barolli and Makoto Ikeda}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {A Fuzzy-Based System for Qualified Voting in {P2P} Mobile Collaborative Team: Effects of Member Activity Failure}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {639--645}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.86}, doi = {10.1109/AINA.2017.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/LiuSBI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SakamotoOOBI17, author = {Shinji Sakamoto and Ryoichiro Obukata and Tetsuya Oda and Leonard Barolli and Makoto Ikeda}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Implementation of an Intelligent Hybrid Simulation System for Node Placement Problem in WMNs Considering Particle Swarm Optimization and Simulated Annealing}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {697--703}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.87}, doi = {10.1109/AINA.2017.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SakamotoOOBI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/InabaOSOIB17, author = {Takaaki Inaba and Kosuke Ozera and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {A Testbed for Admission Control in WLANs: Effects of {RSSI} on Connection Keep-Alive Time}, booktitle = {31st International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2017 Workshops, Taipei, Taiwan, March 27-29, 2017}, pages = {722--729}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/WAINA.2017.62}, doi = {10.1109/WAINA.2017.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/InabaOSOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KogaIB17, author = {Daichi Koga and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Performance Evaluation of Delayed {ACK} Method for Message Suppression in VANETs}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {743--748}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.137}, doi = {10.1109/AINA.2017.137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KogaIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ElmaziCOIB17, author = {Donald Elmazi and Miralda Cuka and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Effect of Node Density on Actor Selection in WSANs: {A} Comparison Study for Two Fuzzy-Based Systems}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {865--871}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.88}, doi = {10.1109/AINA.2017.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ElmaziCOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KogaAI17, author = {Keitaro Koga and Hiromitsu Awano and Makoto Ikeda}, title = {Yield Enhancement by Repair Circuits for Ultra-Fine Pitch Stacked-Chip Connections}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {201--205}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.46}, doi = {10.1109/ATS.2017.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KogaAI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ElmaziCBSIB17, author = {Donald Elmazi and Miralda Cuka and Kevin Bylykbashi and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {Selection of Actor Nodes in Wireless Sensor and Actor Networks Considering Actor-Sensor Coordination Quality Parameter}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {87--99}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_8}, doi = {10.1007/978-3-319-69811-3\_8}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ElmaziCBSIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/CukaEOKIB17, author = {Miralda Cuka and Donald Elmazi and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {Effect of Storage Size on IoT Device Selection in Opportunistic Networks: {A} Comparison Study of Two Fuzzy-Based Systems}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {100--113}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_9}, doi = {10.1007/978-3-319-69811-3\_9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/CukaEOKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakamotoOBIBT17, author = {Shinji Sakamoto and Kosuke Ozera and Admir Barolli and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {Performance Evaluation of WMNs by {WMN-PSOSA} Simulation System Considering Random Inertia Weight Method and Linearly Decreasing Vmax Method}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {114--124}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_10}, doi = {10.1007/978-3-319-69811-3\_10}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/SakamotoOBIBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/0011OMIB17, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {A Fuzzy-Based Approach for Task Accomplishment in MobilePeerDroid Mobile System}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {125--137}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_11}, doi = {10.1007/978-3-319-69811-3\_11}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/0011OMIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/YoshinoKNIB17, author = {Yu Yoshino and Daichi Koga and Shogo Nakasaki and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {A Message Suppression Method Considering Priority for Inter-vehicle Communications}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {754--763}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_67}, doi = {10.1007/978-3-319-69811-3\_67}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/YoshinoKNIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TsuchiyaIEBK17, author = {Gaku Tsuchiya and Makoto Ikeda and Donald Elmazi and Leonard Barolli and Elis Kulla}, editor = {Leonard Barolli and Fatos Xhafa and Jordi Conesa}, title = {A Disaster Information Gathering System Design Using Fuzzy Logic}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 12th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2017, Barcelona, Spain, November 8-10, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {12}, pages = {854--861}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-69811-3\_77}, doi = {10.1007/978-3-319-69811-3\_77}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/TsuchiyaIEBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/CukaEOKIB17, author = {Miralda Cuka and Donald Elmazi and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Olivier Terzo}, title = {A Delay-Aware Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 11th International Conference on Complex, Intelligent, and Software Intensive Systems (CISIS-2017), Torino, Italy, July 10-12, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {611}, pages = {3--13}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61566-0\_1}, doi = {10.1007/978-3-319-61566-0\_1}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/CukaEOKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/BarolliOIMBT17, author = {Admir Barolli and Tetsuya Oda and Makoto Ikeda and Keita Matsuo and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Olivier Terzo}, title = {A GA-Based Simulation System for WMNs: Performance Analysis for Different {WMN} Architectures Considering Weibull Distribution, {HWMP} and {TCP} Protocols}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 11th International Conference on Complex, Intelligent, and Software Intensive Systems (CISIS-2017), Torino, Italy, July 10-12, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {611}, pages = {14--23}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61566-0\_2}, doi = {10.1007/978-3-319-61566-0\_2}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/BarolliOIMBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaSOB17, author = {Makoto Ikeda and Yuki Sakai and Tetsuya Oda and Leonard Barolli}, editor = {Leonard Barolli and Olivier Terzo}, title = {Performance Evaluation of a Vegetable Recognition System Using Caffe and Chainer}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 11th International Conference on Complex, Intelligent, and Software Intensive Systems (CISIS-2017), Torino, Italy, July 10-12, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {611}, pages = {24--30}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61566-0\_3}, doi = {10.1007/978-3-319-61566-0\_3}, timestamp = {Wed, 05 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/IkedaSOB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ElmaziCOKIB17, author = {Donald Elmazi and Miralda Cuka and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Olivier Terzo}, title = {Selection of Actor Nodes in Wireless Sensor and Actor Networks: {A} Fuzzy-Based System Considering Packet Error Rate as a New Parameter}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 11th International Conference on Complex, Intelligent, and Software Intensive Systems (CISIS-2017), Torino, Italy, July 10-12, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {611}, pages = {43--55}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61566-0\_5}, doi = {10.1007/978-3-319-61566-0\_5}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisis/ElmaziCOKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LiuOMIB17, author = {Yi Liu and Kosuke Ozera and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Olivier Terzo}, title = {A Fuzzy-Based Approach for Improving Team Collaboration in MobilePeerDroid Mobile System}, booktitle = {Complex, Intelligent, and Software Intensive Systems - Proceedings of the 11th International Conference on Complex, Intelligent, and Software Intensive Systems (CISIS-2017), Torino, Italy, July 10-12, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {611}, pages = {56--69}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61566-0\_6}, doi = {10.1007/978-3-319-61566-0\_6}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LiuOMIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/SakamotoOOIB17, author = {Shinji Sakamoto and Kosuke Ozera and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {Performance Evaluation of {WMN-PSOHC} and {WMN-PSO} Simulation Systems for Node Placement in Wireless Mesh Networks: {A} Comparison Study}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {64--74}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_7}, doi = {10.1007/978-3-319-59463-7\_7}, timestamp = {Mon, 03 Apr 2023 12:49:11 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/SakamotoOOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/LiuMIB17, author = {Yi Liu and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {Effects of Number of Activities the Member Failures on Qualified Voting in {P2P} Mobile Collaborative Team: {A} Comparison Study for Two Fuzzy-Based Systems}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {75--88}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_8}, doi = {10.1007/978-3-319-59463-7\_8}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/LiuMIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/OdaCOIB17, author = {Tetsuya Oda and Miralda Cuka and Ryoichiro Obukata and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {A User Prediction and Identification System for Tor Networks Using {ARIMA} Model}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {89--97}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_9}, doi = {10.1007/978-3-319-59463-7\_9}, timestamp = {Tue, 05 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/OdaCOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KimI17, author = {Unghyun Kim and Makoto Ikeda}, title = {A 64{\texttimes}64 image sensor with the capability of selective light detection and background suppression}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8234364}, doi = {10.1109/ICSENS.2017.8234364}, timestamp = {Thu, 15 Dec 2022 12:09:14 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/KimI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/SakamotoOOIB17, author = {Shinji Sakamoto and Kosuke Ozera and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido}, title = {Performance Evaluation of Intelligent Hybrid Systems for Node Placement in Wireless Mesh Networks: {A} Comparison Study of {WMN-PSOHC} and {WMN-PSOSA}}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {16--26}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_2}, doi = {10.1007/978-3-319-61542-4\_2}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/SakamotoOOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/OdaKCEIB17, author = {Tetsuya Oda and Elis Kulla and Miralda Cuka and Donald Elmazi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido}, title = {Performance Evaluation of a Deep Q-Network Based Simulation System for Actor Node Mobility Control in Wireless Sensor and Actor Networks Considering Different Distributions of Events}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {36--49}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_4}, doi = {10.1007/978-3-319-61542-4\_4}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/OdaKCEIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/MatsuoSOBIB17, author = {Keita Matsuo and Shinji Sakamoto and Tetsuya Oda and Admir Barolli and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido}, title = {Performance Analysis of WMNs by {WMN-GA} Simulation System for Different {WMN} Architectures and {TCP} Congestion-Avoidance Algorithms Considering Exponential and Weibull Distributions}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {50--62}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_5}, doi = {10.1007/978-3-319-61542-4\_5}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/MatsuoSOBIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TsuchiyaEIEBK17, author = {Gaku Tsuchiya and Keisuke Ebisu and Makoto Ikeda and Donald Elmazi and Leonard Barolli and Elis Kulla}, editor = {Leonard Barolli and Tomoya Enokido}, title = {A Fuzzy-Based Testbed for Wireless Sensor and Actuator Networks: Performance Evaluation for Different Remaining Energy of Actuators}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {87--97}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_8}, doi = {10.1007/978-3-319-61542-4\_8}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/TsuchiyaEIEBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/CukaEOKIB17, author = {Miralda Cuka and Donald Elmazi and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Omar Khadeer Hussain}, title = {A Fuzzy-Based System for Selection of IoT Devices in Opportunistic Networks Considering IoT Device Speed, Storage and Remaining Energy Parameters}, booktitle = {Advances in Intelligent Networking and Collaborative Systems, The 9th International Conference on Intelligent Networking and Collaborative Systems, INCoS-2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {8}, pages = {16--27}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65636-6\_2}, doi = {10.1007/978-3-319-65636-6\_2}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/CukaEOKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/MatsuoSOBIB17, author = {Keita Matsuo and Shinji Sakamoto and Tetsuya Oda and Admir Barolli and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Omar Khadeer Hussain}, title = {Performance Analysis of WMNs by {WMN-GA} Simulation System for Different {WMN} Architectures and {TCP} Congestion-Avoidance Algorithms Considering Normal and Uniform Distributions}, booktitle = {Advances in Intelligent Networking and Collaborative Systems, The 9th International Conference on Intelligent Networking and Collaborative Systems, INCoS-2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {8}, pages = {28--40}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65636-6\_3}, doi = {10.1007/978-3-319-65636-6\_3}, timestamp = {Thu, 30 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/MatsuoSOBIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/OdaECKIB17, author = {Tetsuya Oda and Donald Elmazi and Miralda Cuka and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Isaac Woungang and Omar Khadeer Hussain}, title = {Performance Evaluation of a Deep Q-Network Based Simulation System for Actor Node Mobility Control in Wireless Sensor and Actor Networks Considering Three-Dimensional Environment}, booktitle = {Advances in Intelligent Networking and Collaborative Systems, The 9th International Conference on Intelligent Networking and Collaborative Systems, INCoS-2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {8}, pages = {41--52}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65636-6\_4}, doi = {10.1007/978-3-319-65636-6\_4}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/OdaECKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WakabayashiDI17, author = {Hayato Wakabayashi and Jun Deguchi and Makoto Ikeda}, title = {Session 4 overview: Imagers}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {64--65}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870262}, doi = {10.1109/ISSCC.2017.7870262}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WakabayashiDI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LajevardiMI17, author = {Pedram Lajevardi and Masayuki Miyamoto and Makoto Ikeda}, title = {Session 9 overview: Sensors}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {156--157}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870308}, doi = {10.1109/ISSCC.2017.7870308}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LajevardiMI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CauwenberghsPI17, author = {Gert Cauwenberghs and Michiel A. P. Pertijs and Makoto Ikeda}, title = {Session 27 overview: Biomedical circuits}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {446--447}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870453}, doi = {10.1109/ISSCC.2017.7870453}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/CauwenberghsPI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SakamotoOIB17, author = {Shinji Sakamoto and Kosuke Ozera and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Makoto Takizawa}, title = {Performance Evaluation of WMNs by {WMN-PSOSA} Simulation System Considering Constriction and Linearly Decreasing Inertia Weight Methods}, booktitle = {Advances in Network-Based Information Systems, The 20th International Conference on Network-Based Information Systems, NBiS 2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {7}, pages = {3--13}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65521-5\_1}, doi = {10.1007/978-3-319-65521-5\_1}, timestamp = {Wed, 04 Jul 2018 16:30:46 +0200}, biburl = {https://dblp.org/rec/conf/nbis/SakamotoOIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/BarolliOIBT17, author = {Admir Barolli and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Tomoya Enokido and Makoto Takizawa}, title = {A GA-Based Simulation System for WMNs: {A} Comparison Study for Different {WMN} Architectures Considering Exponential and Weibull Distributions, {HWMP} and {TCP} Protocols}, booktitle = {Advances in Network-Based Information Systems, The 20th International Conference on Network-Based Information Systems, NBiS 2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {7}, pages = {91--101}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65521-5\_8}, doi = {10.1007/978-3-319-65521-5\_8}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/BarolliOIBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ElmaziCOKIB17, author = {Donald Elmazi and Miralda Cuka and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Makoto Takizawa}, title = {Effect of Packet Error Rate on Selection of Actor Nodes in WSANs: {A} Comparison Study of Two Fuzzy-Based Systems}, booktitle = {Advances in Network-Based Information Systems, The 20th International Conference on Network-Based Information Systems, NBiS 2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {7}, pages = {114--126}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65521-5\_10}, doi = {10.1007/978-3-319-65521-5\_10}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/ElmaziCOKIB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaKYB17, author = {Makoto Ikeda and Daichi Koga and Yu Yoshino and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Makoto Takizawa}, title = {A Message Suppression Method for Inter-Vehicle Communications}, booktitle = {Advances in Network-Based Information Systems, The 20th International Conference on Network-Based Information Systems, NBiS 2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {7}, pages = {975--982}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65521-5\_89}, doi = {10.1007/978-3-319-65521-5\_89}, timestamp = {Thu, 30 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaKYB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ArakawaI16, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {99-C}, number = {8}, pages = {899--900}, year = {2016}, url = {https://doi.org/10.1587/transele.E99.C.899}, doi = {10.1587/TRANSELE.E99.C.899}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ArakawaI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/Ikeda16, author = {Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {12}, pages = {2301}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.2301}, doi = {10.1587/TRANSFUN.E99.A.2301}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/Ikeda16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/TamuraI16, author = {Masato Tamura and Makoto Ikeda}, title = {Montgomery Multiplier Design for {ECDSA} Signature Generation Processor}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {12}, pages = {2444--2452}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.2444}, doi = {10.1587/TRANSFUN.E99.A.2444}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/TamuraI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/SakamotoOIBX16, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Implementation and evaluation of a simulation system based on particle swarm optimisation for node placement problem in wireless mesh networks}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {17}, number = {1}, pages = {1--13}, year = {2016}, url = {https://doi.org/10.1504/IJCNDS.2016.077935}, doi = {10.1504/IJCNDS.2016.077935}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/SakamotoOIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/InabaOSOIB16, author = {Takaaki Inaba and Ryoichiro Obukata and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Performance evaluation of a QoS-aware fuzzy-based {CAC} for {LAN} access}, journal = {Int. J. Space Based Situated Comput.}, volume = {6}, number = {4}, pages = {228--238}, year = {2016}, url = {https://doi.org/10.1504/IJSSC.2016.082768}, doi = {10.1504/IJSSC.2016.082768}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssc/InabaOSOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaLT/BarolliSOSIB16, author = {Admir Barolli and Shinji Sakamoto and Tetsuya Oda and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of {WMN-GA} System in Node Placement in WMNs for Different Distributions of Mesh Clients and Different Selection and Mutation Operators}, journal = {Informatica}, volume = {27}, number = {3}, pages = {489--502}, year = {2016}, url = {http://content.iospress.com/articles/informatica/inf1112}, timestamp = {Tue, 26 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informaticaLT/BarolliSOSIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhmaruNMOKYIKII16, author = {Takuro Ohmaru and Takashi Nakagawa and Shuhei Maeda and Yuki Okamoto and Munehiro Kozuma and Seiichi Yoneda and Hiroki Inoue and Yoshiyuki Kurokawa and Takayuki Ikeda and Yoshinori Ieda and Naoto Yamade and Hidekazu Miyairi and Makoto Ikeda and Shunpei Yamazaki}, title = {A 25 3 {\(\mu\)}W at 60 fps 240 {\texttimes} 160 Pixel Vision Sensor for Motion Capturing With In-Pixel Nonvolatile Analog Memory Using {CAAC-IGZO} {FET}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {9}, pages = {2168--2179}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2586501}, doi = {10.1109/JSSC.2016.2586501}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhmaruNMOKYIKII16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IkedaM16, author = {Makoto Ikeda and Noriyuki Miura}, title = {Introduction to the Special Section on the 2015 {IEEE} Asian Solid-State Circuits Conference {(A-SSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {10}, pages = {2207--2209}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2600767}, doi = {10.1109/JSSC.2016.2600767}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IkedaM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LiuSMIBX16, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {A comparison study for two fuzzy-based systems: improving reliability and security of JXTA-overlay {P2P} platform}, journal = {Soft Comput.}, volume = {20}, number = {7}, pages = {2677--2687}, year = {2016}, url = {https://doi.org/10.1007/s00500-015-1667-8}, doi = {10.1007/S00500-015-1667-8}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/LiuSMIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KullaSIB16, author = {Elis Kulla and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {Energy Concerns inWireless Sensor and Actor Networks: {A} Simulation Case}, booktitle = {30th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2016, Crans-Montana, Switzerland, 23-25 March, 2016}, pages = {198--205}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/AINA.2016.173}, doi = {10.1109/AINA.2016.173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KullaSIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SakamotoOIBX16, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {Implementation of a New Replacement Method in {WMN-PSO} Simulation System and Its Performance Evaluation}, booktitle = {30th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2016, Crans-Montana, Switzerland, 23-25 March, 2016}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/AINA.2016.42}, doi = {10.1109/AINA.2016.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SakamotoOIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/InabaSOIB16, author = {Takaaki Inaba and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {A QoS-Aware Admission Control System for {WLAN} Using Fuzzy Logic}, booktitle = {30th International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2016 Workshops, Crans-Montana, Switzerland, March 23-25, 2016}, pages = {499--505}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WAINA.2016.141}, doi = {10.1109/WAINA.2016.141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/InabaSOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaIB16, author = {Makoto Ikeda and Seiichiro Ishikawa and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {An Enhanced Message Suppression Controller for Vehicular-Delay Tolerant Networks}, booktitle = {30th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2016, Crans-Montana, Switzerland, 23-25 March, 2016}, pages = {573--579}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/AINA.2016.172}, doi = {10.1109/AINA.2016.172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/TamuraI16, author = {Masato Tamura and Makoto Ikeda}, title = {1.68{\(\mu\)}J/signature-generation 256-bit {ECDSA} over GF(p) signature generator for IoT devices}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {341--344}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844205}, doi = {10.1109/ASSCC.2016.7844205}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/TamuraI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/BarolliOIBXT16, author = {Admir Barolli and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {Comparison Analysis by {WMN-GA} Simulation System for Different {WMN} Architectures, Normal and Uniform Distributions, {DCF} and {EDCA} Functions}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {129--142}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_12}, doi = {10.1007/978-3-319-49106-6\_12}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/BarolliOIBXT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/MatsuoOBIBX16, author = {Keita Matsuo and Tetsuya Oda and Admir Barolli and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {A GA-Based Simulation System for WMNs: Performance Analysis for Different {WMN} Architectures Considering Uniform Distribution, Transmission Rate and {OLSR} Protocol}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {143--152}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_13}, doi = {10.1007/978-3-319-49106-6\_13}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/MatsuoOBIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ElmaziOSKIB16, author = {Donald Elmazi and Tetsuya Oda and Evjola Spaho and Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {A Fuzzy-Based Simulation System for Actor Selection in Wireless Sensor and Actor Networks Considering as a New Parameter Density of Actor Nodes}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {163--174}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_15}, doi = {10.1007/978-3-319-49106-6\_15}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ElmaziOSKIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakamotoOIBX16, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {An Integrated Simulation System Considering {WMN-PSO} Simulation System and Network Simulator 3}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {187--198}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_17}, doi = {10.1007/978-3-319-49106-6\_17}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/SakamotoOIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KogaIB16, author = {Daichi Koga and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {Impact of Delayed Acknowledgment for Message Suppression in Vehicular-DTN}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {199--208}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_18}, doi = {10.1007/978-3-319-49106-6\_18}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/KogaIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/InabaSOIB16, author = {Takaaki Inaba and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {A Testbed for Admission Control in {WLAN:} {A} Fuzzy Approach and Its Performance Evaluation}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {559--571}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_55}, doi = {10.1007/978-3-319-49106-6\_55}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/InabaSOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ObukataOEIB16, author = {Ryoichiro Obukata and Tetsuya Oda and Donald Elmazi and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {Performance Evaluation of an AmI Testbed for Improving QoL: Evaluation Using Clustering Approach Considering Parallel Processing}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {623--630}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_61}, doi = {10.1007/978-3-319-49106-6\_61}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ObukataOEIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakaiOIB16, author = {Yuki Sakai and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {VegeShop Tool: {A} Tool for Vegetable Recognition Using {DNN}}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {683--691}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_68}, doi = {10.1007/978-3-319-49106-6\_68}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/SakaiOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/EbisuIEIBK16, author = {Keisuke Ebisu and Takaaki Inaba and Donald Elmazi and Makoto Ikeda and Leonard Barolli and Elis Kulla}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {A Fuzzy-Based Wireless Sensor and Actuator Network: Simulation and Experimental Results}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {693--701}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_69}, doi = {10.1007/978-3-319-49106-6\_69}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/EbisuIEIBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LiuSBSIX16, author = {Yi Liu and Shinji Sakamoto and Leonard Barolli and Evjola Spaho and Makoto Ikeda and Fatos Xhafa}, title = {Effects of Sustained Communication Time on Reliability of JXTA-Overlay {P2P} Platform: {A} Comparison Study for Two Fuzzy-Based Systems}, booktitle = {10th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {44--52}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CISIS.2016.56}, doi = {10.1109/CISIS.2016.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LiuSBSIX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/EbisuIEIKB16, author = {Keisuke Ebisu and Takaaki Inaba and Donald Elmazi and Makoto Ikeda and Elis Kulla and Leonard Barolli}, title = {Performance Evaluation of a Fuzzy-Based Wireless Sensor and Actuator Network Testbed Considering Depth and {RGB} Sensors}, booktitle = {10th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {69--75}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CISIS.2016.81}, doi = {10.1109/CISIS.2016.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/EbisuIEIKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SakamotoOIBXW16, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Isaac Woungang}, title = {Investigation of Fitness Function Weight-Coefficients for Optimization in {WMN-PSO} Simulation System}, booktitle = {10th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {224--229}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CISIS.2016.55}, doi = {10.1109/CISIS.2016.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SakamotoOIBXW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/InabaESOIB16, author = {Takaaki Inaba and Donald Elmazi and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Application of Fuzzy Logic for Secure Handover in Wireless Cellular Networks}, booktitle = {10th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {509--515}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CISIS.2016.72}, doi = {10.1109/CISIS.2016.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/InabaESOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/IkedaA16, author = {Makoto Ikeda and Fumio Arakawa}, title = {Message from the program committee chairs}, booktitle = {2016 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} XIX, Yokohama, Japan, April 20-22, 2016}, pages = {iv--v}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CoolChips.2016.7503665}, doi = {10.1109/COOLCHIPS.2016.7503665}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/IkedaA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ElmaziSKOIB16, author = {Donald Elmazi and Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {A QoS-aware Actor Node Selection System for Wireless Sensor and Actor Networks Using Fuzzy Logic}, booktitle = {10th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {42--48}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IMIS.2016.53}, doi = {10.1109/IMIS.2016.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ElmaziSKOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/SakaiOIB16, author = {Yuki Sakai and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {A Vegetable Category Recognition System Using Deep Neural Network}, booktitle = {10th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {189--192}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IMIS.2016.84}, doi = {10.1109/IMIS.2016.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/SakaiOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IkedaSPOOIH16, author = {Makoto Ikeda and David Stoppa and Michiel A. P. Pertijs and Yusuke Oike and Maurits Ortmanns and Vadim Ivanov and Fu{-}Lung Hsueh}, title = {{F5:} Advanced {IC} design for ultra-low-noise sensing}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {506--509}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418125}, doi = {10.1109/ISSCC.2016.7418125}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/IkedaSPOOIH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SakamotoOIBXW16, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Isaac Woungang}, title = {Node Placement in Wireless Mesh Networks: {A} Comparison Study of {WMN-SA} and {WMN-PSO} Simulation Systems}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.31}, doi = {10.1109/NBIS.2016.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/SakamotoOIBXW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ElmaziSKOIB16, author = {Donald Elmazi and Evjola Spaho and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Selection of Actor Nodes in Wireless Sensor and Actor Networks Considering as a New Parameter Actor Congestion Situation}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {29--36}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.32}, doi = {10.1109/NBIS.2016.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/ElmaziSKOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/MatsuoOBIBX16, author = {Keita Matsuo and Tetsuya Oda and Admir Barolli and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {A GA-based Simulation System for WMNs: Performance Analysis for Different {WMN} Architectures Considering Transmission Rate and {OLSR} Protocol}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {37--41}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.19}, doi = {10.1109/NBIS.2016.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/MatsuoOBIBX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/InabaESOIB16, author = {Takaaki Inaba and Donald Elmazi and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of a Fuzzy-Based Connection Admission Control System for Wireless Cellular Networks Considering Security and Priority Parameters}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {414--419}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.39}, doi = {10.1109/NBIS.2016.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/InabaESOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KogaIB16, author = {Daichi Koga and Makoto Ikeda and Leonard Barolli}, title = {An Improved Message Suppression Controller Considering Delayed Acknowledgment for VANETs}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {420--424}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.50}, doi = {10.1109/NBIS.2016.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KogaIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SakaiOIB16, author = {Yuki Sakai and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of an Accessory Category Recognition System Using Deep Neural Network}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {437--441}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.51}, doi = {10.1109/NBIS.2016.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/SakaiOIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ObukataOEIMB16, author = {Ryoichiro Obukata and Tetsuya Oda and Donald Elmazi and Makoto Ikeda and Keita Matsuo and Leonard Barolli}, title = {Performance Evaluation of an Ambient Intelligence Testbed for Improving Quality of Life: Evaluation Using Mean Shift Clustering Algorithm}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {447--450}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.21}, doi = {10.1109/NBIS.2016.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/ObukataOEIMB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/YamadaOLMIB16, author = {Masafumi Yamada and Tetsuya Oda and Yi Liu and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of an IoT-based e-Learning Testbed Considering {OLSR} Protocol in a NLoS Environment}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {451--457}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.22}, doi = {10.1109/NBIS.2016.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/YamadaOLMIB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/IkedaHB15, author = {Makoto Ikeda and Taiki Honda and Leonard Barolli}, title = {Performance of optimized link state routing protocol for video streaming application in vehicular ad-hoc networks cloud computing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {27}, number = {8}, pages = {2054--2063}, year = {2015}, url = {https://doi.org/10.1002/cpe.3408}, doi = {10.1002/CPE.3408}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/IkedaHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ArakawaI15, author = {Fumio Arakawa and Makoto Ikeda}, title = {Foreword}, journal = {{IEICE} Trans. Electron.}, volume = {98-C}, number = {7}, pages = {534--535}, year = {2015}, url = {https://doi.org/10.1587/transele.E98.C.534}, doi = {10.1587/TRANSELE.E98.C.534}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ArakawaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/ElmaziSMOIB15, author = {Donald Elmazi and Evjola Spaho and Keita Matsuo and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {{F3N:} An Intelligent Fuzzy-Based Cluster Head Selection System for WSNs and Its Performance Evaluation}, journal = {Int. J. Distributed Syst. Technol.}, volume = {6}, number = {2}, pages = {28--44}, year = {2015}, url = {https://doi.org/10.4018/ijdst.2015040103}, doi = {10.4018/IJDST.2015040103}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdst/ElmaziSMOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/LiuSMIBX15, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Improvement of JXTA-Overlay {P2P} Platform: Evaluation for Medical Application and Reliability}, journal = {Int. J. Distributed Syst. Technol.}, volume = {6}, number = {2}, pages = {45--62}, year = {2015}, url = {https://doi.org/10.4018/IJDST.2015040104}, doi = {10.4018/IJDST.2015040104}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/LiuSMIBX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/BarolliOIBXL15, author = {Admir Barolli and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Vincenzo Loia}, title = {Node placement for wireless mesh networks: Analysis of {WMN-GA} system simulation results for different parameters and distributions}, journal = {J. Comput. Syst. Sci.}, volume = {81}, number = {8}, pages = {1496--1507}, year = {2015}, url = {https://doi.org/10.1016/j.jcss.2014.12.024}, doi = {10.1016/J.JCSS.2014.12.024}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/BarolliOIBXL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/LiuSMIBX15, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Improving reliability of JXTA-Overlay {P2P} platform: {A} comparison study for two fuzzy-based systems}, journal = {J. High Speed Networks}, volume = {21}, number = {1}, pages = {27--42}, year = {2015}, url = {https://doi.org/10.3233/JHS-150506}, doi = {10.3233/JHS-150506}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/LiuSMIBX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/ElmaziMOIB15, author = {Donald Elmazi and Keita Matsuo and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Implementation and Evaluation of {A} Fuzzy-based Cluster-Head Selection System for Wireless Sensor Networks Considering Network Traffic}, journal = {J. Mobile Multimedia}, volume = {11}, number = {1{\&}2}, pages = {10--20}, year = {2015}, url = {http://www.rintonpress.com/xjmm11/jmm-11-12/010-020.pdf}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmm/ElmaziMOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/LiuSMIB15, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, title = {Overlay upper Clothing Textures to Still Images based on Human Pose Estimation}, journal = {J. Mobile Multimedia}, volume = {11}, number = {1{\&}2}, pages = {34--49}, year = {2015}, url = {http://www.rintonpress.com/xjmm11/jmm-11-12/034-049.pdf}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmm/LiuSMIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/InabaESOIB15, author = {Takaaki Inaba and Donald Elmazi and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {A Secure-Aware Call Admission Control Scheme for Wireless Cellular Networks Using Fuzzy Logic and Its Performance Evaluation}, journal = {J. Mobile Multimedia}, volume = {11}, number = {3{\&}4}, pages = {213--222}, year = {2015}, url = {http://www.rintonpress.com/xjmm11/jmm-11-34/213-222.pdf}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmm/InabaESOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/OdaBSBIU15, author = {Tetsuya Oda and Admir Barolli and Shinji Sakamoto and Leonard Barolli and Makoto Ikeda and Kazunori Uchida}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Implementation and Experimental Results of a {WMN} Testbed in Indoor Environment Considering LoS Scenario}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2015, Gwangju, South Korea, March 24-27, 2015}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AINA.2015.163}, doi = {10.1109/AINA.2015.163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/OdaBSBIU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SakamotoOBIXU15, author = {Shinji Sakamoto and Tetsuya Oda and Leonard Barolli and Makoto Ikeda and Fatos Xhafa and Kazunori Uchida}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Application of {WMN-SA} Simulation System for {WMN} Node Placement in a Realistic Scenario}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2015, Gwangju, South Korea, March 24-27, 2015}, pages = {43--47}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AINA.2015.164}, doi = {10.1109/AINA.2015.164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SakamotoOBIXU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KoliciOSBIU15, author = {Vladi Kolici and Tetsuya Oda and Evjola Spaho and Leonard Barolli and Makoto Ikeda and Kazunori Uchida}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Performance Evaluation of a {VANET} Simulation System Using {NS-3} and {SUMO}}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2015 Workshops, Gwangju, South Korea, March 24-27, 2015}, pages = {348--353}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WAINA.2015.121}, doi = {10.1109/WAINA.2015.121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KoliciOSBIU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IshikawaHIB15, author = {Seiichiro Ishikawa and Taiki Honda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Investigation of Message Suppression Method Considering {TCP} in Vehicular-DTN}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2015 Workshops, Gwangju, South Korea, March 24-27, 2015}, pages = {370--375}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WAINA.2015.60}, doi = {10.1109/WAINA.2015.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IshikawaHIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HondaIIB15, author = {Taiki Honda and Makoto Ikeda and Seiichiro Ishikawa and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {A Message Suppression Controller for Vehicular Delay Tolerant Networking}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2015, Gwangju, South Korea, March 24-27, 2015}, pages = {754--760}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AINA.2015.264}, doi = {10.1109/AINA.2015.264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HondaIIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ikeda15, author = {Makoto Ikeda}, title = {Design and optimization of asynchronous circuits with gate-level pipelining}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516956}, doi = {10.1109/ASICON.2015.7516956}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Ikeda15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/IkedaI15, author = {Tukasa Ikeda and Makoto Ikeda}, title = {Comprehensive study on higher order radix {RSA} cryptography engine}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517210}, doi = {10.1109/ASICON.2015.7517210}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/IkedaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TamuraII15, author = {Masato Tamura and Atsushi Ito and Makoto Ikeda}, title = {Optimal design on asynchronous system with gate-level pipelining}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516904}, doi = {10.1109/ASICON.2015.7516904}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/TamuraII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KullaIB15, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Energy-Aware Actor Selection Methods in {WSAN}}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.100}, doi = {10.1109/BWCCA.2015.100}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/KullaIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ElmaziISOIB15, author = {Donald Elmazi and Takaaki Inaba and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Selection of Secure Actors in Wireless Sensor and Actor Networks Using Fuzzy Logic}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {125--131}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.51}, doi = {10.1109/BWCCA.2015.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ElmaziISOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ShinkoOSKIB15, author = {Ilir Shinko and Tetsuya Oda and Evjola Spaho and Vladi Kolici and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {A Simulation System Based on {ONE} and {SUMO} Simulators: Performance Evaluation of First Contact, Prophet and Spray-and-Wait {DTN} Protocols}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.60}, doi = {10.1109/BWCCA.2015.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ShinkoOSKIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/LiuSBSIX15, author = {Yi Liu and Shinji Sakamoto and Leonard Barolli and Evjola Spaho and Makoto Ikeda and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Effects of Security on Reliability of JXTA-Overlay {P2P} Platform a Comparison Study for Two Fuzzy-Based Systems}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {193--200}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.50}, doi = {10.1109/BWCCA.2015.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/LiuSBSIX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/BarolliOMIBT15, author = {Admir Barolli and Tetsuya Oda and Keita Matsuo and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Experimental Results of a Raspberry Pi Based {WMN} Testbed for Different OSs in Indoor Environment Considering LoS Scenario}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.58}, doi = {10.1109/BWCCA.2015.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/BarolliOMIBT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakamotoOIBX15, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {A PSO-based Simulation System for Node Placement in Wireless Mesh Networks: Evaluation Results for Different Replacement Methods}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {213--219}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.53}, doi = {10.1109/BWCCA.2015.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/SakamotoOIBX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/OdaEIMIB15, author = {Tetsuya Oda and Donald Elmazi and Taro Ishitaki and Keita Matsuo and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Implementation and Experimental Results of a Raspberry Pi and {OLSR} Based Wireless Content-Centric Network Testbed}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {263--268}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.89}, doi = {10.1109/BWCCA.2015.89}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/OdaEIMIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaESEBK15, author = {Makoto Ikeda and Keisuke Ebisu and Yuki Sakai and Donald Elmazi and Leonard Barolli and Elis Kulla}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Performance Evaluation of a Fuzzy-Based Wireless Sensor and Actuator Network Testbed for Object Tracking}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {442--447}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.74}, doi = {10.1109/BWCCA.2015.74}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaESEBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LiuSMBIX15, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, title = {A Fuzzy-Based Reliability System for JXTA-Overlay {P2P} Platform Considering Number of Authentic Files, Local Score, Number of Interactions and Security Parameters}, booktitle = {Ninth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2015, Santa Catarina, Brazil, July 8-10, 2015}, pages = {50--56}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CISIS.2015.28}, doi = {10.1109/CISIS.2015.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LiuSMBIX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaIHB15, author = {Makoto Ikeda and Seiichiro Ishikawa and Taiki Honda and Leonard Barolli}, title = {Performance Evaluation of Message Suppression Method for {DTN} Routing Protocols}, booktitle = {Ninth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2015, Santa Catarina, Brazil, July 8-10, 2015}, pages = {225--230}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CISIS.2015.82}, doi = {10.1109/CISIS.2015.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaIHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/OdaBMSIB15, author = {Tetsuya Oda and Admir Barolli and Keita Matsuo and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, title = {Implementation and Experimental Results of a {WMN} Testbed in Indoor Environment Considering NLoS Scenario}, booktitle = {Ninth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2015, Santa Catarina, Brazil, July 8-10, 2015}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CISIS.2015.87}, doi = {10.1109/CISIS.2015.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/OdaBMSIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/OdaLMSIB15, author = {Tetsuya Oda and Yi Liu and Keita Matsuo and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Francesco Palmieri and H{\'{e}}lio dos Santos Silva and Hsing{-}Chung Chen}, title = {Experimental Results of a CentOS-Based Ad-Hoc Network Testbed Considering LoS Scenario}, booktitle = {9th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2015, Santa Cantarina, Brazil, July 8-10, 2015}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IMIS.2015.6}, doi = {10.1109/IMIS.2015.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/OdaLMSIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/KoliciOSSIB15, author = {Vladi Kolici and Tetsuya Oda and Yuki Sugihara and Evjola Spaho and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Francesco Palmieri and H{\'{e}}lio dos Santos Silva and Hsing{-}Chung Chen}, title = {Performance Evaluation of a {VANET} Simulation System Using {NS-3} and {SUMO} Considering Number of Vehicles and Crossroad Scenario}, booktitle = {9th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2015, Santa Cantarina, Brazil, July 8-10, 2015}, pages = {22--27}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IMIS.2015.8}, doi = {10.1109/IMIS.2015.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/KoliciOSSIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/IkedaIHB15, author = {Makoto Ikeda and Seiichiro Ishikawa and Taiki Honda and Leonard Barolli}, editor = {Leonard Barolli and Francesco Palmieri and H{\'{e}}lio dos Santos Silva and Hsing{-}Chung Chen}, title = {Impact of Location of Road-Side Units Considering Message Suppression Method for Vehicular-DTN}, booktitle = {9th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2015, Santa Cantarina, Brazil, July 8-10, 2015}, pages = {153--159}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IMIS.2015.25}, doi = {10.1109/IMIS.2015.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/IkedaIHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/LiuSMBIX15, author = {Yi Liu and Shinji Sakamoto and Keita Matsuo and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, editor = {Leonard Barolli and Francesco Palmieri and H{\'{e}}lio dos Santos Silva and Hsing{-}Chung Chen}, title = {A Fuzzy-Based Reliability System for JXTA-Overlay {P2P} Platform Considering Number of Interaction, Security, Packet Loss and Local Score Parameters}, booktitle = {9th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2015, Santa Cantarina, Brazil, July 8-10, 2015}, pages = {245--251}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IMIS.2015.38}, doi = {10.1109/IMIS.2015.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/LiuSMBIX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/SakamotoOIB15, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Fatos Xhafa and Leonard Barolli}, title = {Design and Implementation of a Simulation System Based on Particle Swarm Optimization for Node Placement Problem in Wireless Mesh Networks}, booktitle = {2015 International Conference on Intelligent Networking and Collaborative Systems, INCoS 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {164--168}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INCoS.2015.18}, doi = {10.1109/INCOS.2015.18}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/incos/SakamotoOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/OdaIBXT15, author = {Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, editor = {Fatos Xhafa and Leonard Barolli}, title = {A GA-Based Simulation System for WMNs: Performance Analysis of {WMN-GA} System for Different {WMN} Architectures Considering {DCF} and {EDCA}}, booktitle = {2015 International Conference on Intelligent Networking and Collaborative Systems, INCoS 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {232--238}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INCoS.2015.81}, doi = {10.1109/INCOS.2015.81}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/incos/OdaIBXT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhmaruNMOKYIKII15, author = {Takuro Ohmaru and Takashi Nakagawa and Shuhei Maeda and Yuki Okamoto and Munehiro Kozuma and Seiichi Yoneda and Hiroki Inoue and Yoshiyuki Kurokawa and Takayuki Ikeda and Yoshinori Ieda and Naoto Yamade and Hidekazu Miyairi and Makoto Ikeda and Shunpei Yamazaki}, title = {6.5 25.3{\(\mu\)}W at 60fps 240{\texttimes}160-pixel vision sensor for motion capturing with in-pixel non-volatile analog memory using crystalline oxide semiconductor {FET}}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062954}, doi = {10.1109/ISSCC.2015.7062954}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhmaruNMOKYIKII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KavusiI15, author = {Sam Kavusi and Makoto Ikeda}, title = {Session 11 overview: Sensors and imagers for life sciences: {IMMD} subcommittee}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {194--195}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062992}, doi = {10.1109/ISSCC.2015.7062992}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KavusiI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/OdaMBIT15, author = {Tetsuya Oda and Keita Matsuo and Leonard Barolli and Makoto Ikeda and Makoto Takizawa}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {Performance Evaluation of a {WMN} Testbed in Indoor Environment Considering Mobile Mesh Node Scenario}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {93--98}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.18}, doi = {10.1109/NBIS.2015.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/OdaMBIT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IshikawaHIB15, author = {Seiichiro Ishikawa and Taiki Honda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {Performance Evaluation of a Message Suppression Controller in Vehicular-DTN without Road-Side Units}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {483--488}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.112}, doi = {10.1109/NBIS.2015.112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IshikawaHIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/EbisuIEIBK15, author = {Keisuke Ebisu and Takaaki Inaba and Donald Elmazi and Makoto Ikeda and Leonard Barolli and Elis Kulla}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {A Fuzzy-Based Testbed Design for Wireless Sensor and Actuator Networks}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {548--553}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.115}, doi = {10.1109/NBIS.2015.115}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/EbisuIEIBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaOIB15, author = {Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {{SAMI:} {A} Sensor Actor Network Matlab Implementation}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {554--560}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.123}, doi = {10.1109/NBIS.2015.123}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SakaiOIB15, author = {Yuki Sakai and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {An Object Tracking System Based on {SIFT} and {SURF} Feature Extraction Methods}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {561--565}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.121}, doi = {10.1109/NBIS.2015.121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/SakaiOIB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/LiuSBIX15, author = {Yi Liu and Shinji Sakamoto and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {Evaluation of Peers Trustworthiness for JXTA-overlay Considering Data Download Speed, Local Score and Security Parameters}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {658--664}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.98}, doi = {10.1109/NBIS.2015.98}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/LiuSBIX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IkenoMKIIA14, author = {Rimon Ikeno and Takashi Maruyama and Satoshi Komatsu and Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {A Structured Routing Architecture for Practical Application of Character Projection Method in Electron-Beam Direct Writing}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {8}, pages = {1688--1698}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.1688}, doi = {10.1587/TRANSFUN.E97.A.1688}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IkenoMKIIA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/SakamotoKOIBX14, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {A simulation system for {WMN} based on {SA:} performance evaluation for different instances and starting temperature values}, journal = {Int. J. Space Based Situated Comput.}, volume = {4}, number = {3/4}, pages = {209--216}, year = {2014}, url = {https://doi.org/10.1504/IJSSC.2014.065989}, doi = {10.1504/IJSSC.2014.065989}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssc/SakamotoKOIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwmc/KullaOIBBS14, author = {Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Aleksander Biberaj and Olimpjon Shurdi}, title = {Source and destination mobility effects on a bridge environment: results from a {MANET} testbed}, journal = {Int. J. Wirel. Mob. Comput.}, volume = {7}, number = {4}, pages = {318--326}, year = {2014}, url = {https://doi.org/10.1504/IJWMC.2014.063058}, doi = {10.1504/IJWMC.2014.063058}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwmc/KullaOIBBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/SakamotoKOIBX14, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {A comparison study of Hill Climbing, Simulated Annealing and Genetic Algorithm for node placement problem in WMNs}, journal = {J. High Speed Networks}, volume = {20}, number = {1}, pages = {55--66}, year = {2014}, url = {https://doi.org/10.3233/JHS-140487}, doi = {10.3233/JHS-140487}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jhsn/SakamotoKOIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/SakamotoKOIBX14, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Performance evaluation considering iterations per phase and {SA} temperature in {WMN-SA} system}, journal = {Mob. Inf. Syst.}, volume = {10}, number = {3}, pages = {321--330}, year = {2014}, url = {https://doi.org/10.3233/MIS-130187}, doi = {10.3233/MIS-130187}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/SakamotoKOIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/SpahoSBXI14, author = {Evjola Spaho and Shinji Sakamoto and Leonard Barolli and Fatos Xhafa and Makoto Ikeda}, title = {Trustworthiness in {P2P:} performance behaviour of two fuzzy-based systems for JXTA-overlay platform}, journal = {Soft Comput.}, volume = {18}, number = {9}, pages = {1783--1793}, year = {2014}, url = {https://doi.org/10.1007/s00500-013-1206-4}, doi = {10.1007/S00500-013-1206-4}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/SpahoSBXI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KullaMSICB14, author = {Elis Kulla and Gjergji Mino and Shinji Sakamoto and Makoto Ikeda and Santi Caball{\'{e}} and Leonard Barolli}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {{FBMIS:} {A} Fuzzy-Based Multi-interface System for Cellular and Ad Hoc Networks}, booktitle = {28th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2014, Victoria, BC, Canada, May 13-16, 2014}, pages = {180--185}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AINA.2014.154}, doi = {10.1109/AINA.2014.154}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KullaMSICB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SakamotoOBBIX14, author = {Shinji Sakamoto and Tetsuya Oda and Albert Bravo and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {{WMN-SA} System for Node Placement in WMNs: Evaluation for Different Realistic Distributions of Mesh Clients}, booktitle = {28th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2014, Victoria, BC, Canada, May 13-16, 2014}, pages = {282--288}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AINA.2014.155}, doi = {10.1109/AINA.2014.155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SakamotoOBBIX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaHOSB14, author = {Makoto Ikeda and Taiki Honda and Tetsuya Oda and Shinji Sakamoto and Leonard Barolli}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Analysis of {WMN-GA} Simulation Results: {WMN} Performance Considering Stationary and Mobile Scenarios}, booktitle = {28th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2014, Victoria, BC, Canada, May 13-16, 2014}, pages = {337--342}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AINA.2014.43}, doi = {10.1109/AINA.2014.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaHOSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChangOSIBX14, author = {Xinyue Chang and Tetsuya Oda and Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Node Placement in WMNs Using {WMN-HC} System and Different Movement Methods}, booktitle = {28th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2014, Victoria, BC, Canada, May 13-16, 2014}, pages = {1148--1153}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AINA.2014.159}, doi = {10.1109/AINA.2014.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChangOSIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/OdaSBIBX14, author = {Tetsuya Oda and Shinji Sakamoto and Admir Barolli and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {A GA-Based Simulation System for WMNs: Performance Analysis for Different {WMN} Architectures Considering {TCP}}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {120--126}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.143}, doi = {10.1109/BWCCA.2014.143}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/OdaSBIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakamotoOIBCX14, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Santi Caball{\'{e}} and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {Optimization of Number of Mesh Routers in WMNs Using {WMN-SA} System Considering Uniform Distribution for Different Number of Mesh Clients}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.141}, doi = {10.1109/BWCCA.2014.141}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/SakamotoOIBCX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaHIB14, author = {Makoto Ikeda and Taiki Honda and Seiichiro Ishikawa and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {Performance Comparison of {DTN} Routing Protocols in Vehicular-DTN Environment}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {247--252}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.71}, doi = {10.1109/BWCCA.2014.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaHIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HondaIIB14, author = {Taiki Honda and Seiichiro Ishikawa and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {A Message Suppression Method for Vehicular Delay Tolerant Networking}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {351--356}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.146}, doi = {10.1109/BWCCA.2014.146}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/HondaIIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/LiuSBIX14, author = {Yi Liu and Shinji Sakamoto and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {A Fuzzy-Based Reliability System for JXTA-Overlay {P2P} Platform Considering Number of Interaction, Security, and Packet Loss Parameters}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.121}, doi = {10.1109/BWCCA.2014.121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/LiuSBIX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ChangSOIBX14, author = {Xinyue Chang and Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {Node Placement in WMNs for Different Movement Methods: {A} Hill Climbing System Considering Exponential and Weibull Distributions}, booktitle = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, pages = {440--445}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BWCCA.2014.53}, doi = {10.1109/BWCCA.2014.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/ChangSOIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SpahoCOIBX14, author = {Evjola Spaho and Xinyue Chang and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Performance Evaluation of {WMN-HC} System for Different Number of Mesh Clients and Mesh Routers}, booktitle = {Eighth International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2014, Birmingham, UK, July 2-4, 2014}, pages = {9--15}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CISIS.2014.2}, doi = {10.1109/CISIS.2014.2}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SpahoCOIBX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/HiyamaSKICB14, author = {Masahiro Hiyama and Shinji Sakamoto and Elis Kulla and Makoto Ikeda and Santi Caball{\'{e}} and Leonard Barolli}, title = {Performance Comparison of {OLSR} Protocol by Experiments and Simulations for Different {TC} Packet Intervals}, booktitle = {Eighth International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2014, Birmingham, UK, July 2-4, 2014}, pages = {38--43}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CISIS.2014.6}, doi = {10.1109/CISIS.2014.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/HiyamaSKICB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IshikawaHIB14, author = {Seiichiro Ishikawa and Taiki Honda and Makoto Ikeda and Leonard Barolli}, title = {Performance Analysis of Vehicular {DTN} Routing under Urban Environment}, booktitle = {Eighth International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2014, Birmingham, UK, July 2-4, 2014}, pages = {50--55}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CISIS.2014.8}, doi = {10.1109/CISIS.2014.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IshikawaHIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SakamotoOKXIB14, author = {Shinji Sakamoto and Tetsuya Oda and Elis Kulla and Fatos Xhafa and Makoto Ikeda and Leonard Barolli}, title = {Evaluation of Effects of Grid Shape in {WMN-SA} System for Solution of Node Placement Problem in WMNs}, booktitle = {Eighth International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2014, Birmingham, UK, July 2-4, 2014}, pages = {113--119}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CISIS.2014.19}, doi = {10.1109/CISIS.2014.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SakamotoOKXIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LiuSIXCB14, author = {Yi Liu and Evjola Spaho and Makoto Ikeda and Fatos Xhafa and Santi Caball{\'{e}} and Leonard Barolli}, title = {A Fuzzy-Based Reliability System for {P2P} Communication Considering Local Score, Number of Authentic Files, and Number of Interactions Parameters}, booktitle = {Eighth International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2014, Birmingham, UK, July 2-4, 2014}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CISIS.2014.29}, doi = {10.1109/CISIS.2014.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LiuSIXCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/IkedaA14, author = {Makoto Ikeda and Fumio Arakawa}, title = {Message from the program committee chairs}, booktitle = {2014 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XVII, Yokohama, Japan, April 14-16, 2014}, pages = {iv--v}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CoolChips.2014.6842941}, doi = {10.1109/COOLCHIPS.2014.6842941}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/IkedaA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/InabaSKCIB14, author = {Takaaki Inaba and Shinji Sakamoto and Elis Kulla and Santi Caball{\'{e}} and Makoto Ikeda and Leonard Barolli}, editor = {Fatos Xhafa and Leonard Barolli and Francesco Palmieri and Mario Koeppen and Vincenzo Loia}, title = {An Integrated System for Wireless Cellular and Ad-Hoc Networks Using Fuzzy Logic}, booktitle = {2014 International Conference on Intelligent Networking and Collaborative Systems, Salerno, Italy, September 10-12, 2014}, pages = {157--162}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/INCoS.2014.43}, doi = {10.1109/INCOS.2014.43}, timestamp = {Wed, 06 Jan 2021 11:52:38 +0100}, biburl = {https://dblp.org/rec/conf/incos/InabaSKCIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OikeOITSCK14, author = {Yusuke Oike and Makoto Ikeda and Albert Theuwissen and Johannes Solhusvik and Jonathan Chang and Tadahiro Kuroda}, title = {{F2:} 3D stacking technologies for image sensors and memories}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {512--513}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757541}, doi = {10.1109/ISSCC.2014.6757541}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OikeOITSCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/OdaSBIXB14, author = {Tetsuya Oda and Shinji Sakamoto and Admir Barolli and Makoto Ikeda and Fatos Xhafa and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {Analysis of Mesh Router Node Placement Using {WMN-GA} System Considering Different Architectures of WMNs}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.110}, doi = {10.1109/NBIS.2014.110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/OdaSBIXB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaOSHB14, author = {Makoto Ikeda and Tetsuya Oda and Shinji Sakamoto and Taiki Honda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {Analysis of {WMN-SA} andWMN-GA Simulation Results: {A} Comparison Performance for Wireless Mesh Networks}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {45--52}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.45}, doi = {10.1109/NBIS.2014.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaOSHB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SakamotoOIXBU14, author = {Shinji Sakamoto and Tetsuya Oda and Makoto Ikeda and Fatos Xhafa and Leonard Barolli and Kazunori Uchida}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {Performance Analysis of {WMN-SA:} Node Placement Problem Using Simulated Annealing Algorithm for Different Replacement Methods}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.34}, doi = {10.1109/NBIS.2014.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/SakamotoOIXBU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaIB14, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {A Fuzzy Approach to Actor Selection in Wireless Sensor and Actor Networks}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {244--248}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.99}, doi = {10.1109/NBIS.2014.99}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/LiuBSICX14, author = {Yi Liu and Leonard Barolli and Evjola Spaho and Makoto Ikeda and Santi Caball{\'{e}} and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {A Fuzzy-Based Reliability System for {P2P} Communication Considering Number of Interactions, Local Score and Security Parameters}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {484--489}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.33}, doi = {10.1109/NBIS.2014.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/LiuBSICX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HondaIIB14, author = {Taiki Honda and Seiichiro Ishikawa and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Aniello Castiglione and Alfredo De Santis}, title = {Performance Analysis of Advertisement Delivery Scenario for Vehicle-Infrastructure Cooperative Communications}, booktitle = {17th International Conference on Network-Based Information Systems, NBiS 2014, Salerno, Italy, September 10-12, 2014}, pages = {549--554}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NBiS.2014.73}, doi = {10.1109/NBIS.2014.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/HondaIIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bwcca/2014, editor = {Leonard Barolli and Fatos Xhafa and Xiaofeng Chen and Makoto Ikeda}, title = {Ninth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2014, Guangdong, China, November 8-10, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7002488/proceeding}, isbn = {978-1-4799-4173-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/KullaIOBXB13, author = {Elis Kulla and Makoto Ikeda and Tetsuya Oda and Leonard Barolli and Fatos Xhafa and Aleksander Biberaj}, title = {Experimental results from a {MANET} testbed in outdoor bridge environment considering {BATMAN} routing protocol}, journal = {Computing}, volume = {95}, number = {10-11}, pages = {1073--1086}, year = {2013}, url = {https://doi.org/10.1007/s00607-012-0225-9}, doi = {10.1007/S00607-012-0225-9}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/KullaIOBXB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/DevlinIA13, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {Self Synchronous Circuits for Robust Operation in Low Voltage and Soft Error Prone Environments}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {4}, pages = {518--527}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.518}, doi = {10.1587/TRANSELE.E96.C.518}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/DevlinIA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimNIIA13, author = {Jinmyoung Kim and Toru Nakura and Koichiro Ishibashi and Makoto Ikeda and Kunihiro Asada}, title = {On-Chip Switched Decoupling Capacitor for Fast Voltage Hopping of {DVS} Systems}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {4}, pages = {560--567}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.560}, doi = {10.1587/TRANSELE.E96.C.560}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimNIIA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IkenoMKIIA13, author = {Rimon Ikeno and Takashi Maruyama and Satoshi Komatsu and Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {High-Throughput Electron Beam Direct Writing of {VIA} Layers by Character Projection with One-Dimensional {VIA} Characters}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {12}, pages = {2458--2466}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.2458}, doi = {10.1587/TRANSFUN.E96.A.2458}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IkenoMKIIA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/OdaBXBIT13, author = {Tetsuya Oda and Admir Barolli and Fatos Xhafa and Leonard Barolli and Makoto Ikeda and Makoto Takizawa}, title = {{WMN-GA:} a simulation system for WMNs and its evaluation considering selection operators}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {4}, number = {3}, pages = {323--330}, year = {2013}, url = {https://doi.org/10.1007/s12652-011-0099-2}, doi = {10.1007/S12652-011-0099-2}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/OdaBXBIT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/IkedaKHBT13, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Makoto Takizawa}, title = {Investigation of {TCP} and {UDP} multiple-flow traffic in wireless mobile ad-hoc networks}, journal = {J. High Speed Networks}, volume = {19}, number = {2}, pages = {129--145}, year = {2013}, url = {https://doi.org/10.3233/JHS-130468}, doi = {10.3233/JHS-130468}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/IkedaKHBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/HiyamaSKIB13, author = {Masahiro Hiyama and Shinji Sakamoto and Elis Kulla and Makoto Ikeda and Leonard Barolli}, title = {Experimental Results of a {MANET} Testbed for Different Settings of {HELLO} Packets of {OLSR} Protocol}, journal = {J. Mobile Multimedia}, volume = {9}, number = {1{\&}2}, pages = {27--38}, year = {2013}, url = {http://www.rintonpress.com/journals/jmm/abstractsJmm9-12.html}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/HiyamaSKIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/SakamotoKOIBX13, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {A Comparison Study of Simulated Annealing and Genetic Algorithm for Node Placement Problem in Wireless Mesh Networks}, journal = {J. Mobile Multimedia}, volume = {9}, number = {1{\&}2}, pages = {101--110}, year = {2013}, url = {http://www.rintonpress.com/journals/jmm/abstractsJmm9-12.html}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/SakamotoKOIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/IkedaA13, author = {Makoto Ikeda and Fumio Arakawa}, title = {Cool Chips}, journal = {{IEEE} Micro}, volume = {33}, number = {6}, pages = {4--5}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.116}, doi = {10.1109/MM.2013.116}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/IkedaA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenI13, author = {Tse{-}Wei Chen and Makoto Ikeda}, title = {Design and Implementation of Low-Power Hardware Architecture With Single-Cycle Divider for On-Line Clustering Algorithm}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {8}, pages = {2165--2176}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2239098}, doi = {10.1109/TCSI.2013.2239098}, timestamp = {Wed, 14 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KullaIBXYT13, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Muhammad Younas and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {Investigation of {AODV} Throughput Considering RREQ, {RREP} and {RERR} Packets}, booktitle = {27th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AINA.2013.135}, doi = {10.1109/AINA.2013.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KullaIBXYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HiyamaKIBT13, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {Investigation of {OLSR} Behavior for Different Hello Packets Intervals in a {MANET} Testbed}, booktitle = {27th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AINA.2013.141}, doi = {10.1109/AINA.2013.141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HiyamaKIBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/UmezakiSBIXT13, author = {Kouhei Umezaki and Evjola Spaho and Leonard Barolli and Makoto Ikeda and Fatos Xhafa and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {A Fuzzy-Based Trustworthiness System for {P2P} Communications in JXTA-Overlay Considering Positive and Negative Effects}, booktitle = {27th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {267--272}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WAINA.2013.106}, doi = {10.1109/WAINA.2013.106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/UmezakiSBIXT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/WangBKMIT13, author = {Qi Wang and Leonard Barolli and Elis Kulla and Gjergji Mino and Makoto Ikeda and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {A Fuzzy-Based Simulation System for Controlling Sensor Speed in Wireless Sensor Networks}, booktitle = {27th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {278--284}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WAINA.2013.265}, doi = {10.1109/WAINA.2013.265}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/WangBKMIT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaOKHBX13, author = {Makoto Ikeda and Tetsuya Oda and Elis Kulla and Taiki Honda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {Analysis of {WMN-GA} Simulation Results: {WMN} Performance Considering Hot-Spot Scenario}, booktitle = {27th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {318--324}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AINA.2013.89}, doi = {10.1109/AINA.2013.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaOKHBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SpahoIBXYT13, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Muhammad Younas and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {Performance Evaluation of {OLSR} and {AODV} Protocols in a {VANET} Crossroad Scenario}, booktitle = {27th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {577--582}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AINA.2013.111}, doi = {10.1109/AINA.2013.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SpahoIBXYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Ikeda13, author = {Makoto Ikeda}, title = {Self-synchronous circuit designs, {SSFPGA} and {SSRSA} for low voltage autonomous control and tamper resistivity}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811907}, doi = {10.1109/ASICON.2013.6811907}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Ikeda13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/IkenoMIKIA13, author = {Rimon Ikeno and Takashi Maruyama and Tetsuya Iizuka and Satoshi Komatsu and Makoto Ikeda and Kunihiro Asada}, title = {High-throughput electron beam direct writing of {VIA} layers by character projection using character sets based on one-dimensional {VIA} arrays with area-efficient stencil design}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {255--260}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509605}, doi = {10.1109/ASPDAC.2013.6509605}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/IkenoMIKIA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SakamotoKOBIX13, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Leonard Barolli and Makoto Ikeda and Fatos Xhafa}, title = {Performance Comparison for Different Communication Distances of Mesh Routers in {WMN-SA} Simulation System}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {74--79}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.54}, doi = {10.1109/BWCCA.2013.54}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/SakamotoKOBIX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KullaSIBXK13, author = {Elis Kulla and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Bexhet Kamo}, title = {Evaluation of a {MANET} Testbed for Central Bridge and V-Shape Bridge Scenarios Using {BATMAN} Routing Protocol}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {199--205}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.21}, doi = {10.1109/BWCCA.2013.21}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/KullaSIBXK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HiyamaKSIB13, author = {Masahiro Hiyama and Elis Kulla and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, title = {Investigating the Effect of {HELLO} Validity Time of {OLSR} in a {MANET} Testbed}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {296--301}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.53}, doi = {10.1109/BWCCA.2013.53}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/HiyamaKSIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SpahoIBXKI13, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Vladi Kolici and Jiro Iwashige}, title = {Performance Evaluation of {AODV} Routing Protocol in VANETs Considering Multi-flows Traffic}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {302--307}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.141}, doi = {10.1109/BWCCA.2013.141}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/SpahoIBXKI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HondaISHB13, author = {Taiki Honda and Makoto Ikeda and Evjola Spaho and Masahiro Hiyama and Leonard Barolli}, title = {Effect of Buildings in VANETs Communication: Performance of {OLSR} Protocol for Video Streaming Application}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {323--327}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.143}, doi = {10.1109/BWCCA.2013.143}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/HondaISHB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaHSHB13, author = {Makoto Ikeda and Taiki Honda and Evjola Spaho and Masahiro Hiyama and Leonard Barolli}, title = {Effect of Roadside APs in VANETs: {A} Comparison Study}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {432--436}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.57}, doi = {10.1109/BWCCA.2013.57}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaHSHB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/HiyamaKIBT13, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Performance Comparison of {OLSR} with ETX{\_}Float and ETX{\_}ff in a {MANET} Testbed}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {8--14}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.12}, doi = {10.1109/CISIS.2013.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/HiyamaKIBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/KullaHIBXT13, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Effect of {AODV} {HELLO} Packets for Different Mobility Degrees}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.14}, doi = {10.1109/CISIS.2013.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/KullaHIBXT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SpahoIBXKT13, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Vladi Kolici and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Performance Evaluation of {OLSR} Protocol in a Grid Manhattan {VANET} Scenario for Different Applications}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {47--52}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.18}, doi = {10.1109/CISIS.2013.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SpahoIBXKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaHOSCB13, author = {Makoto Ikeda and Taiki Honda and Tetsuya Oda and Shinji Sakamoto and Xinyue Chang and Leonard Barolli}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Analysis of {WMN-GA} Simulation Results: {WMN} Performance Optimizing the Number of Mesh Routers}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {157--163}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.33}, doi = {10.1109/CISIS.2013.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaHOSCB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SakamotoOKIBX13, author = {Shinji Sakamoto and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Performance Analysis of WMNs Using Simulated Annealing Algorithm for Different Temperature Values}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {164--168}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.34}, doi = {10.1109/CISIS.2013.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SakamotoOKIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ChangOSIBX13, author = {Xinyue Chang and Tetsuya Oda and Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Performance Analysis of WMNs Using Hill Climbing Algorithm Considering Normal and Uniform Distribution of Mesh Clients}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {424--427}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.77}, doi = {10.1109/CISIS.2013.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/ChangOSIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/IkedaA13, author = {Makoto Ikeda and Fumio Arakawa}, title = {Message from the Program Committee Chairs}, booktitle = {2013 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XVI, Yokohama, Japan, April 17-19, 2013}, pages = {iv--v}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CoolChips.2013.6547908}, doi = {10.1109/COOLCHIPS.2013.6547908}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/IkedaA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/SpahoSIBXK13, author = {Evjola Spaho and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Vladi Kolici}, title = {Multiflow {TCP} Traffic in VANETs: Performance Comparison of {OLSR} and {AODV} Routing Protocols}, booktitle = {2013 Fourth International Conference on Emerging Intelligent Data and Web Technologies, Xi'an, Shaanxi, China, September 9-11, 2013}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EIDWT.2013.142}, doi = {10.1109/EIDWT.2013.142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/SpahoSIBXK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/KullaSIB13, author = {Elis Kulla and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, title = {Analyzing {AODV} Control Packets and Throughput for Different Mobility Degrees and Multiflow Traffic}, booktitle = {2013 Fourth International Conference on Emerging Intelligent Data and Web Technologies, Xi'an, Shaanxi, China, September 9-11, 2013}, pages = {39--45}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EIDWT.2013.11}, doi = {10.1109/EIDWT.2013.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/KullaSIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/SakamotoKOIBX13, author = {Shinji Sakamoto and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Performance Comparison of a {WMN-SA} System for Different Distributions of Mesh Clients}, booktitle = {2013 Fourth International Conference on Emerging Intelligent Data and Web Technologies, Xi'an, Shaanxi, China, September 9-11, 2013}, pages = {607--611}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EIDWT.2013.111}, doi = {10.1109/EIDWT.2013.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/SakamotoKOIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/ChangOSIBX13, author = {Xinyue Chang and Tetsuya Oda and Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, title = {Performance Analysis of WMNs Using Hill Climbing Algorithm Considering Different Iterations per Phase}, booktitle = {2013 Fourth International Conference on Emerging Intelligent Data and Web Technologies, Xi'an, Shaanxi, China, September 9-11, 2013}, pages = {612--616}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EIDWT.2013.112}, doi = {10.1109/EIDWT.2013.112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/ChangOSIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/WangSBKMI13, author = {Qi Wang and Shinji Sakamoto and Leonard Barolli and Elis Kulla and Gjergji Mino and Makoto Ikeda}, title = {A Fuzzy-Based Simulation System for Controlling Sensor Sleep Time through Lifetime of Sensors in WSNs}, booktitle = {2013 Fourth International Conference on Emerging Intelligent Data and Web Technologies, Xi'an, Shaanxi, China, September 9-11, 2013}, pages = {617--623}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EIDWT.2013.143}, doi = {10.1109/EIDWT.2013.143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/WangSBKMI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/OdaSSIXB13, author = {Tetsuya Oda and Shinji Sakamoto and Evjola Spaho and Makoto Ikeda and Fatos Xhafa and Leonard Barolli}, title = {Performance Evaluation of {WMN-GA} for Wireless Mesh Networks Considering Mobile Mesh Clients}, booktitle = {2013 5th International Conference on Intelligent Networking and Collaborative Systems, Xi'an city, Shaanxi province, China, September 9-11, 2013}, pages = {77--84}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/INCoS.2013.50}, doi = {10.1109/INCOS.2013.50}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/OdaSSIXB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/IkenoMKIIA13, author = {Rimon Ikeno and Takashi Maruyama and Satoshi Komatsu and Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, editor = {Cheng{-}Kok Koh and Cliff C. N. Sze}, title = {A structured routing architecture and its design methodology suitable for high-throughput electron beam direct writing with character projection}, booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}, pages = {69--76}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451916.2451937}, doi = {10.1145/2451916.2451937}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/IkenoMKIIA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IkedaAORST13, author = {Makoto Ikeda and Ehsan Afshari and Yusuke Oike and David Ruffieux and Johannes Solhusvik and Albert Theuwissen}, title = {{F4:} Scientific imaging}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {506--507}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487603}, doi = {10.1109/ISSCC.2013.6487603}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IkedaAORST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/HondaI13, author = {Taiki Honda and Makoto Ikeda}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Improvement of Wireless {LAN} Connectivity by Optimizing Placement of Wireless Access Points}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {29--36}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_4}, doi = {10.1007/978-94-007-6996-0\_4}, timestamp = {Tue, 07 Nov 2023 11:31:29 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/HondaI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/SpahoIBX13, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Performance Comparison of {OLSR} and {AODV} Protocols in a {VANET} Crossroad Scenario}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {37--45}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_5}, doi = {10.1007/978-94-007-6996-0\_5}, timestamp = {Wed, 09 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/SpahoIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/HiyamaKIB013, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Performance Analysis of {OLSR} with ETX{\_}ff for Different {HELLO} Packet Interval in a {MANET} Testbed}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {77--88}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_9}, doi = {10.1007/978-94-007-6996-0\_9}, timestamp = {Wed, 09 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/HiyamaKIB013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/KullaHIBX013, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Multi-Flow Traffic Investigation of {AODV} Considering Routing Control Packets}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {89--100}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_10}, doi = {10.1007/978-94-007-6996-0\_10}, timestamp = {Wed, 09 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/KullaHIBX013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/ChangOSIBX13, author = {Xinyue Chang and Tetsuya Oda and Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Performance Evaluation of WMNs Using Hill Climbing Algorithm Considering Giant Component and Different Distributions}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {161--167}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_17}, doi = {10.1007/978-94-007-6996-0\_17}, timestamp = {Wed, 09 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/ChangOSIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/SakamotoOKIBX13, author = {Shinji Sakamoto and Tetsuya Oda and Elis Kulla and Makoto Ikeda and Leonard Barolli and Fatos Xhafa}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Performance Evaluation of WMNs Using Simulated Annealing Algorithm Considering Different Number Iterations per Phase and Normal Distribution}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {169--176}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_18}, doi = {10.1007/978-94-007-6996-0\_18}, timestamp = {Wed, 09 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/SakamotoOKIBX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/WangBKMII13, author = {Qi Wang and Leonard Barolli and Elis Kulla and Gjergji Mino and Makoto Ikeda and Jiro Iwashige}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Considering Lifetime of Sensors for Clusterhead Selection in {WSN} Using Fuzzy Logic}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {281--293}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_30}, doi = {10.1007/978-94-007-6996-0\_30}, timestamp = {Tue, 06 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itcs2/WangBKMII13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaSIB13, author = {Elis Kulla and Shinji Sakamoto and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa and James J. Park}, title = {{MANET} Approaches for Airborne Networks: {A} Survey}, booktitle = {16th International Conference on Network-Based Information Systems, NBiS 2013, Seo-gu, Gwangju, CA, Korea (South), September 4-6, 2013}, pages = {66--70}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/NBiS.2013.13}, doi = {10.1109/NBIS.2013.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaSIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HondaIB13, author = {Taiki Honda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa and James J. Park}, title = {Performance Analysis of User Connectivity by Optimizing Placement of Wireless Access Points}, booktitle = {16th International Conference on Network-Based Information Systems, NBiS 2013, Seo-gu, Gwangju, CA, Korea (South), September 4-6, 2013}, pages = {488--493}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/NBiS.2013.81}, doi = {10.1109/NBIS.2013.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/HondaIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/KullaHIB12, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli}, title = {Performance comparison of {OLSR} and {BATMAN} routing protocols by a {MANET} testbed in stairs environment}, journal = {Comput. Math. Appl.}, volume = {63}, number = {2}, pages = {339--349}, year = {2012}, url = {https://doi.org/10.1016/j.camwa.2011.07.035}, doi = {10.1016/J.CAMWA.2011.07.035}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/KullaHIB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/KullaHIBKM12, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Bexhet Kamo and Rozeta Miho}, title = {Performance comparison of {BATMAN} and {AODV} protocols for source and destination moving scenarios}, journal = {Comput. Syst. Sci. Eng.}, volume = {27}, number = {3}, year = {2012}, timestamp = {Thu, 23 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/KullaHIBKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BushnaqIA12, author = {Sanad Bushnaq and Makoto Ikeda and Kunihiro Asada}, title = {All-digital tunable power amplifier consuming 0.03mW/MHz using 0.18{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1057--1061}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1057}, doi = {10.1587/ELEX.9.1057}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BushnaqIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/DevlinIA12, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {Energy Minimum Operation with Self Synchronous Gate-Level Autonomous Power Gating and Voltage Scaling}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {4}, pages = {546--554}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.546}, doi = {10.1587/TRANSELE.E95.C.546}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/DevlinIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YabeI12, author = {Hiroki Yabe and Makoto Ikeda}, title = {3-D Range Map Acquisition System Based on {CMOS} Image Sensor Using Time-Multiplexing Structured Pattern}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {4}, pages = {635--642}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.635}, doi = {10.1587/TRANSELE.E95.C.635}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YabeI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimNTIIA12, author = {Jinmyoung Kim and Toru Nakura and Hidehiro Takata and Koichiro Ishibashi and Makoto Ikeda and Kunihiro Asada}, title = {On-Chip Switched Parasitic Capacitors of Sleep Blocks for Resonant Supply Noise Reduction}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {4}, pages = {643--650}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.643}, doi = {10.1587/TRANSELE.E95.C.643}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimNTIIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BushnaqIA12, author = {Sanad Bushnaq and Makoto Ikeda and Kunihiro Asada}, title = {All-Digital Wireless Transceiver with Sub-Sampling Demodulation and Burst-Error Correction}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {95-A}, number = {12}, pages = {2234--2241}, year = {2012}, url = {https://doi.org/10.1587/transfun.E95.A.2234}, doi = {10.1587/TRANSFUN.E95.A.2234}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BushnaqIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpcc/AikebaierTTIE12, author = {Ailixier Aikebaier and Makoto Takizawa and Isamu Tsuneizumi and Makoto Ikeda and Tomoya Enokido}, title = {A multi-layered model for scalable group communication with hybrid clocks}, journal = {Int. J. Pervasive Comput. Commun.}, volume = {8}, number = {1}, pages = {79--91}, year = {2012}, url = {https://doi.org/10.1108/17427371211221090}, doi = {10.1108/17427371211221090}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpcc/AikebaierTTIE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/HiyamaKIB12, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli}, title = {Evaluation of {MANET} protocols for different indoor environments: results from a real {MANET} testbed}, journal = {Int. J. Space Based Situated Comput.}, volume = {2}, number = {2}, pages = {71--82}, year = {2012}, url = {https://doi.org/10.1504/IJSSC.2012.047465}, doi = {10.1504/IJSSC.2012.047465}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssc/HiyamaKIB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssc/Ikeda12, author = {Makoto Ikeda}, title = {Analysis of mobile ad-hoc network routing protocols using shadowing propagation model}, journal = {Int. J. Space Based Situated Comput.}, volume = {2}, number = {3}, pages = {139--148}, year = {2012}, url = {https://doi.org/10.1504/IJSSC.2012.048895}, doi = {10.1504/IJSSC.2012.048895}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssc/Ikeda12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/Ikeda12, author = {Makoto Ikeda}, title = {End-to-End single and multiple flows fairness in mobile ad-hoc networks}, journal = {J. Mobile Multimedia}, volume = {8}, number = {3}, pages = {204--224}, year = {2012}, url = {http://www.rintonpress.com/journals/jmm/abstractsJmm8-3.html}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/Ikeda12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/OdaBXBIT12, author = {Tetsuya Oda and Admir Barolli and Fatos Xhafa and Leonard Barolli and Makoto Ikeda and Makoto Takizawa}, title = {Performance evaluation of {WMN-GA} for different mutation and crossover rates considering number of covered users parameter}, journal = {Mob. Inf. Syst.}, volume = {8}, number = {1}, pages = {1--16}, year = {2012}, url = {https://doi.org/10.3233/MIS-2011-0128}, doi = {10.3233/MIS-2011-0128}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/OdaBXBIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KullaIOBXB12, author = {Elis Kulla and Makoto Ikeda and Tetsuya Oda and Leonard Barolli and Fatos Xhafa and Aleksander Biberaj}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Evaluation of a {MANET} Testbed in Outdoor Bridge Environment Using {BATMAN} Routing Protocol}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {384--390}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.54}, doi = {10.1109/AINA.2012.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KullaIOBXB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaKHBT12, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Impact of Multi-flow Traffic in Wireless Mobile Ad-hoc Networks}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {621--628}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.55}, doi = {10.1109/AINA.2012.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaKHBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SpahoIBXBI12, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Aleksander Biberaj and Jiro Iwashige}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Performance Comparison of {DSDV} and {DYMO} Protocols for Vehicular Ad Hoc Networks}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {629--634}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.56}, doi = {10.1109/AINA.2012.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SpahoIBXBI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HiyamaKOIB12, author = {Masahiro Hiyama and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Experimental Results of a {MANET} Testbed in a Mixed Environment Considering Horizontal and Vertical Topologies}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {884--889}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.68}, doi = {10.1109/AINA.2012.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HiyamaKOIB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/DevlinIA12, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, editor = {Jens Spars{\o} and Montek Singh and Pascal Vivet}, title = {Self Synchronous Circuits for Error Robust Operation in Sub-100nm Processes}, booktitle = {18th {IEEE} International Symposium on Asynchronous Circuits and Systems, {ASYNC} 2012, Kgs. Lyngby, Denmark, May 7-9, 2012}, pages = {150--157}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASYNC.2012.13}, doi = {10.1109/ASYNC.2012.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/DevlinIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KullaHIBUT12, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Kazunori Uchida and Makoto Takizawa}, title = {Setting Up Static Components for Investigating {MANET} Performance: {A} Simulation Case}, booktitle = {2012 Seventh International Conference on Broadband, Wireless Computing, Communication and Applications, Victoria, BC, Canada, November 12-14, 2012}, pages = {53--59}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BWCCA.2012.19}, doi = {10.1109/BWCCA.2012.19}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/KullaHIBUT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HiyamaKIB12, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of a {MANET} Testbed in Heterogeneous Environment: Experimental Results}, booktitle = {2012 Seventh International Conference on Broadband, Wireless Computing, Communication and Applications, Victoria, BC, Canada, November 12-14, 2012}, pages = {60--65}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BWCCA.2012.20}, doi = {10.1109/BWCCA.2012.20}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/HiyamaKIB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/SpahoIBXYT12, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Muhammad Younas and Makoto Takizawa}, title = {Performance of {OLSR} and {DSDV} Protocols in a {VANET} Scenario: Evaluation Using {CAVENET} and {NS3}}, booktitle = {2012 Seventh International Conference on Broadband, Wireless Computing, Communication and Applications, Victoria, BC, Canada, November 12-14, 2012}, pages = {108--113}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BWCCA.2012.28}, doi = {10.1109/BWCCA.2012.28}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/SpahoIBXYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaOKHBY12, author = {Makoto Ikeda and Tetsuya Oda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Muhammad Younas}, title = {Performance Evaluation of {WMN} Considering Number of Connections Using {NS-3} Simulator}, booktitle = {2012 Seventh International Conference on Broadband, Wireless Computing, Communication and Applications, Victoria, BC, Canada, November 12-14, 2012}, pages = {498--502}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BWCCA.2012.89}, doi = {10.1109/BWCCA.2012.89}, timestamp = {Thu, 09 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaOKHBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/KullaIOBXT12, author = {Elis Kulla and Makoto Ikeda and Tetsuya Oda and Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Minoru Uehara}, title = {Multimedia Transmissions over a {MANET} Testbed: Problems and Issues}, booktitle = {Sixth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {141--147}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CISIS.2012.82}, doi = {10.1109/CISIS.2012.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/KullaIOBXT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/HiyamaKOIBT12, author = {Masahiro Hiyama and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Minoru Uehara}, title = {Performance Investigation of a {MANET} Testbed in Outdoor Stairs Environment for Different Scenarios}, booktitle = {Sixth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {284--289}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CISIS.2012.127}, doi = {10.1109/CISIS.2012.127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/HiyamaKOIBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaKHBMT12, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Rozeta Miho and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Minoru Uehara}, title = {Congestion Control for Multi-flow Traffic in Wireless Mobile Ad-Hoc Networks}, booktitle = {Sixth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {290--297}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CISIS.2012.83}, doi = {10.1109/CISIS.2012.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaKHBMT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/SpahoIBXKT12, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Vladi Kolici and Makoto Takizawa}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Minoru Uehara}, title = {Performance Analysis of {DSR} and {DYMO} Routing Protocols for VANETs}, booktitle = {Sixth International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {365--369}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CISIS.2012.57}, doi = {10.1109/CISIS.2012.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/SpahoIBXKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ChenI12, author = {Tse{-}Wei Chen and Makoto Ikeda}, editor = {Hiroaki Kobayashi and Makoto Ikeda and Fumio Arakawa}, title = {Dual-stage hardware architecture of on-line clustering with high-throughput parallel divider for low-power signal processing}, booktitle = {2012 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XV, Yokohama, Japan, April 18-20, 2012}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/COOLChips.2012.6216580}, doi = {10.1109/COOLCHIPS.2012.6216580}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/ChenI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/DevlinIA12, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, editor = {Hiroaki Kobayashi and Makoto Ikeda and Fumio Arakawa}, title = {Gate-level process variation offset technique by using dual voltage supplies to achieve near-threshold energy efficient operation}, booktitle = {2012 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XV, Yokohama, Japan, April 18-20, 2012}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/COOLChips.2012.6216585}, doi = {10.1109/COOLCHIPS.2012.6216585}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/DevlinIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/KullaIBYUM12, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli and Muhammad Younas and Kazunori Uchida and Rozeta Miho}, title = {A {MANET} Simulation System: {A} Case Study Considering Static Source and Destination Nodes and {OLSR} Protocol}, booktitle = {2012 Third International Conference on Emerging Intelligent Data and Web Technologies, Bucharest, Romania, September 19-21, 2012}, pages = {54--60}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/EIDWT.2012.15}, doi = {10.1109/EIDWT.2012.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/KullaIBYUM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/IkedaKHBYT12, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Muhammad Younas and Makoto Takizawa}, title = {Performance Evaluation of {AODV} Protocol for Single and Multiple Traffic in MANETs Considering Packet Delivery Fraction Parameter}, booktitle = {2012 Third International Conference on Emerging Intelligent Data and Web Technologies, Bucharest, Romania, September 19-21, 2012}, pages = {74--80}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/EIDWT.2012.21}, doi = {10.1109/EIDWT.2012.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/IkedaKHBYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/YounasBI12, author = {Muhammad Younas and Leonard Barolli and Makoto Ikeda}, title = {Failure Resilient Criteria for Mobile Web Services Transactions}, booktitle = {2012 Third International Conference on Emerging Intelligent Data and Web Technologies, Bucharest, Romania, September 19-21, 2012}, pages = {97--103}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/EIDWT.2012.54}, doi = {10.1109/EIDWT.2012.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/YounasBI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/SpahoIBKXY12, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Vladi Kolici and Fatos Xhafa and Muhammad Younas}, title = {Investigation of {TCP} Traffic in a Vehicular Ad-hoc Network Considering {DYMO} Routing Protocol}, booktitle = {2012 Third International Conference on Emerging Intelligent Data and Web Technologies, Bucharest, Romania, September 19-21, 2012}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/EIDWT.2012.11}, doi = {10.1109/EIDWT.2012.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/SpahoIBKXY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/BushnaqIA12, author = {Sanad Bushnaq and Makoto Ikeda and Kunihiro Asada}, title = {Range extension of inductive coupling communication using multi-stage resonance}, booktitle = {International Symposium on Communications and Information Technologies, {ISCIT} 2012, Gold Coast, Australia, October 2-5, 2012}, pages = {758--763}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCIT.2012.6381003}, doi = {10.1109/ISCIT.2012.6381003}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iscit/BushnaqIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KodamaI12, author = {Kazutoshi Kodama and Makoto Ikeda}, title = {Target voltage independent capacitance measurement circuit implemented by 0.18 {\(\mathrm{\mu}\)}m {CMOS} for {PWM-MEMS} control}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {77--80}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407043}, doi = {10.1109/ISOCC.2012.6407043}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KodamaI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/TandonSIA12, author = {James S. Tandon and Masahiro Sasaki and Makoto Ikeda and Kunihiro Asada}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {A design-for-test apparatus for measuring on-chip temperature with fine granularity}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {27--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187470}, doi = {10.1109/ISQED.2012.6187470}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/TandonSIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IkedaTSBI12, author = {Makoto Ikeda and Albert Theuwissen and Johannes Solhusvik and Jan T. Bosiers}, title = {Computational imaging}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {504--505}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177116}, doi = {10.1109/ISSCC.2012.6177116}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IkedaTSBI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/BarolliSIKXY12, author = {Leonard Barolli and Evjola Spaho and Makoto Ikeda and Elis Kulla and Fatos Xhafa and Muhammad Younas}, editor = {Eric Pardede and David Taniar}, title = {A fuzzy-based data replication system for QoS improvement in MANETs}, booktitle = {The 10th International Conference on Advances in Mobile Computing {\&} Multimedia, MoMM '12, Bali, Indonesia - December 03 - 05, 2012}, pages = {224--231}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2428955.2428997}, doi = {10.1145/2428955.2428997}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/BarolliSIKXY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/SpahoIBXBT12, author = {Evjola Spaho and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Aleksander Biberaj and Makoto Takizawa}, editor = {Leonard Barolli and David Taniar and Tomoya Enokido and J. Wenny Rahayu and Makoto Takizawa}, title = {Performance Evaluation of {DYMO} Protocol in Different {VANET} Scenarios}, booktitle = {15th International Conference on Network-Based Information Systems, NBiS 2012, Melbourne, Australia, September 26-28, 2012}, pages = {97--103}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NBiS.2012.34}, doi = {10.1109/NBIS.2012.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/SpahoIBXBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HiyamaKIBY12, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Muhammad Younas}, editor = {Leonard Barolli and David Taniar and Tomoya Enokido and J. Wenny Rahayu and Makoto Takizawa}, title = {A Comparative Study of a {MANET} Testbed Performance in Indoor and Outdoor Stairs Environment}, booktitle = {15th International Conference on Network-Based Information Systems, NBiS 2012, Melbourne, Australia, September 26-28, 2012}, pages = {134--140}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NBiS.2012.32}, doi = {10.1109/NBIS.2012.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/HiyamaKIBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaIBYUT12, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli and Muhammad Younas and Kazunori Uchida and Makoto Takizawa}, editor = {Leonard Barolli and David Taniar and Tomoya Enokido and J. Wenny Rahayu and Makoto Takizawa}, title = {Simulation Performance of a {MANET} Using Static Source and Destination Considering {AODV} Routing Protocol}, booktitle = {15th International Conference on Network-Based Information Systems, NBiS 2012, Melbourne, Australia, September 26-28, 2012}, pages = {141--147}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NBiS.2012.31}, doi = {10.1109/NBIS.2012.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaIBYUT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaKHBYT12, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Muhammad Younas and Makoto Takizawa}, editor = {Leonard Barolli and David Taniar and Tomoya Enokido and J. Wenny Rahayu and Makoto Takizawa}, title = {{TCP} Congestion Control in MANETs for Multiple Traffic Considering Proactive and Reactive Routing Protocols}, booktitle = {15th International Conference on Network-Based Information Systems, NBiS 2012, Melbourne, Australia, September 26-28, 2012}, pages = {156--163}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NBiS.2012.68}, doi = {10.1109/NBIS.2012.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaKHBYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/coolchips/2012, editor = {Hiroaki Kobayashi and Makoto Ikeda and Fumio Arakawa}, title = {2012 {IEEE} Symposium on Low-Power and High-Speed Chips, {COOL} Chips XV, Yokohama, Japan, April 18-20, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6210529/proceeding}, isbn = {978-1-4673-1201-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/IkedaBHKT11, author = {Makoto Ikeda and Leonard Barolli and Masahiro Hiyama and Elis Kulla and Makoto Takizawa}, title = {Performance Evaluation of {MANET} Routing Protocols: Simulations and Experiments}, journal = {Comput. Informatics}, volume = {30}, number = {6}, pages = {1147--1165}, year = {2011}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/809}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/IkedaBHKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/TsuneizumiAIET11, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {A scalable group communication protocol with hybrid clocks}, journal = {Concurr. Comput. Pract. Exp.}, volume = {23}, number = {5}, pages = {477--490}, year = {2011}, url = {https://doi.org/10.1002/cpe.1650}, doi = {10.1002/CPE.1650}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/TsuneizumiAIET11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcis/HiyamaKOIB11, author = {Masahiro Hiyama and Elis Kulla and Tetsuya Oda and Makoto Ikeda and Leonard Barolli}, title = {Application of a {MANET} Testbed for horizontal and vertical scenarios: performance evaluation using delay and jitter metrics}, journal = {Hum. centric Comput. Inf. Sci.}, volume = {1}, pages = {3}, year = {2011}, url = {https://doi.org/10.1186/2192-1962-1-3}, doi = {10.1186/2192-1962-1-3}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hcis/HiyamaKOIB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MandaiMIA11, author = {Shingo Mandai and Taihei Momma and Makoto Ikeda and Kunihiro Asada}, title = {Variable Length Coded Address Compression for High-Speed 3-D Range-Finder Using Light-Section Method}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {1}, pages = {124--127}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.124}, doi = {10.1587/TRANSELE.E94.C.124}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MandaiMIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IizukaJNIA11, author = {Tetsuya Iizuka and Jaehyun Jeong and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {All-Digital On-Chip Monitor for {PMOS} and {NMOS} Process Variability Utilizing Buffer Ring with Pulse Counter}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {4}, pages = {487--494}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.487}, doi = {10.1587/TRANSELE.E94.C.487}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IizukaJNIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimNTIIA11, author = {Jinmyoung Kim and Toru Nakura and Hidehiro Takata and Koichiro Ishibashi and Makoto Ikeda and Kunihiro Asada}, title = {On-Chip Resonant Supply Noise Canceller Utilizing Parasitic Capacitance of Sleep Blocks for Power Mode Switch}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {4}, pages = {511--519}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.511}, doi = {10.1587/TRANSELE.E94.C.511}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimNTIIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MandaiNIIA11, author = {Shingo Mandai and Toru Nakura and Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Cascaded Time Difference Amplifier with Differential Logic Delay Cell}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {4}, pages = {654--662}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.654}, doi = {10.1587/TRANSELE.E94.C.654}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MandaiNIIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MandaiINIA11, author = {Shingo Mandai and Tetsuya Iizuka and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {1.0 ps Resolution Time-to-Digital Converter Based-On Cascaded Time-Difference-Amplifier Utilizing Differential Logic Delay Cells}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {6}, pages = {1098--1104}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.1098}, doi = {10.1587/TRANSELE.E94.C.1098}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MandaiINIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/TsuneizumiAIET11, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {Design and Implementation of Hybrid Time {(HT)} Group Communication Protocol for Homogeneous Broadcast Groups}, journal = {Int. J. Distributed Syst. Technol.}, volume = {2}, number = {3}, pages = {37--48}, year = {2011}, url = {https://doi.org/10.4018/jdst.2011070103}, doi = {10.4018/JDST.2011070103}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/TsuneizumiAIET11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/YangMBIXD11, author = {Tao Yang and Gjergji Mino and Leonard Barolli and Makoto Ikeda and Fatos Xhafa and Arjan Durresi}, title = {Performance of Wireless Sensor Networks for Different Mobile Event Path Scenarios}, journal = {Int. J. Distributed Syst. Technol.}, volume = {2}, number = {3}, pages = {49--63}, year = {2011}, url = {https://doi.org/10.4018/jdst.2011070104}, doi = {10.4018/JDST.2011070104}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/YangMBIXD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnit/IizukaIA11, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Timing-Aware Cell Layout Regularity Enhancement for Reduction of Systematic Gate Critical Dimension Variation}, journal = {J. Next Gener. Inf. Technol.}, volume = {2}, number = {4}, pages = {1--9}, year = {2011}, url = {https://doi.org/10.4156/jnit.vol2.issue4.1}, doi = {10.4156/JNIT.VOL2.ISSUE4.1}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnit/IizukaIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DevlinIA11, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {A 65 nm Gate-Level Pipelined Self-Synchronous {FPGA} for High Performance and Variation Robust Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {11}, pages = {2500--2513}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2011.2164024}, doi = {10.1109/JSSC.2011.2164024}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DevlinIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/IkedaA11, author = {Makoto Ikeda and Fumio Arakawa}, title = {Cool Chips}, journal = {{IEEE} Micro}, volume = {31}, number = {6}, pages = {4--5}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.102}, doi = {10.1109/MM.2011.102}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/IkedaA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SawamuraBAIT11, author = {Soichi Sawamura and Admir Barolli and Ailixier Aikebaier and Makoto Ikeda and Makoto Takizawa}, title = {Objective Trustworthiness of Acquaintances in Peer-to-Peer {(P2P)} Overlay Networks}, booktitle = {25th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2011, Biopolis, Singapore, March 22-25, 2011}, pages = {167--174}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AINA.2011.53}, doi = {10.1109/AINA.2011.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SawamuraBAIT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/TsuneizumiAIET11, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {A Multi-layered Model for Scalable Group Communication in {P2P} Overlay Networks}, booktitle = {25th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2011, Biopolis, Singapore, March 22-25, 2011}, pages = {324--331}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AINA.2011.66}, doi = {10.1109/AINA.2011.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/TsuneizumiAIET11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaKHBT11, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Makoto Takizawa}, title = {Experimental Results of a {MANET} Testbed in Indoor Stairs Environment}, booktitle = {25th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2011, Biopolis, Singapore, March 22-25, 2011}, pages = {779--786}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AINA.2011.64}, doi = {10.1109/AINA.2011.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaKHBT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DevlinIA11, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {A gate-level pipelined 2.97GHz Self Synchronous {FPGA} in 65nm {CMOS}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {75--76}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722288}, doi = {10.1109/ASPDAC.2011.5722288}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DevlinIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JeongINIA11, author = {Jaehyun Jeong and Tetsuya Iizuka and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {All-digital {PMOS} and {NMOS} process variability monitor utilizing buffer ring with pulse counter}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {79--80}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722297}, doi = {10.1109/ASPDAC.2011.5722297}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JeongINIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KullaIHBM11, author = {Elis Kulla and Makoto Ikeda and Masahiro Hiyama and Leonard Barolli and Rozeta Miho}, title = {Performance Evaluation of {OLSR} and {BATMAN} Protocols for Vertical Topology Using Indoor Stairs Testbed}, booktitle = {2011 International Conference on Broadband, Wireless Computing, Communication and Applications, {BWCCA} 2011, Barcelona, Spain, October 26-28, 2011}, pages = {159--166}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BWCCA.2011.28}, doi = {10.1109/BWCCA.2011.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/KullaIHBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaHKBT11, author = {Makoto Ikeda and Masahiro Hiyama and Elis Kulla and Leonard Barolli and Makoto Takizawa}, title = {Multi-hop Wireless Networks Performance Evaluation via {NS-3} Simulator}, booktitle = {2011 International Conference on Broadband, Wireless Computing, Communication and Applications, {BWCCA} 2011, Barcelona, Spain, October 26-28, 2011}, pages = {243--249}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BWCCA.2011.37}, doi = {10.1109/BWCCA.2011.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaHKBT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HiyamaKIB11, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli}, title = {Investigation of Channel Usage and Packetloss in a {MANET} Testbed for Stairs Indoor Scenarios}, booktitle = {2011 International Conference on Broadband, Wireless Computing, Communication and Applications, {BWCCA} 2011, Barcelona, Spain, October 26-28, 2011}, pages = {499--504}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BWCCA.2011.80}, doi = {10.1109/BWCCA.2011.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/HiyamaKIB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaKBT11, author = {Makoto Ikeda and Elis Kulla and Leonard Barolli and Makoto Takizawa}, title = {Wireless Ad-hoc Networks Performance Evaluation Using {NS-2} and {NS-3} Network Simulators}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {40--45}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.16}, doi = {10.1109/CISIS.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaKBT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/KullaIHB11, author = {Elis Kulla and Makoto Ikeda and Masahiro Hiyama and Leonard Barolli}, title = {Evaluation of a {MANET} Testbed in Indoor Stairs Environment Considering {OLSR} Protocol}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {160--167}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.32}, doi = {10.1109/CISIS.2011.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/KullaIHB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/OhkawaraAIET11, author = {Tadateru Ohkawara and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {Quorum-based Synchronization Protocol of Object Replicas in Scalable Distributed Systems}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {391--396}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.63}, doi = {10.1109/CISIS.2011.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/OhkawaraAIET11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/HiyamaKIB11, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli}, title = {Performance Evaluation of a {MANET} Testbed for Different Indoor Scenarios: {A} Comparison Study}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {420--425}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.67}, doi = {10.1109/CISIS.2011.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/HiyamaKIB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/TsuneizumiAIET11, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {A Scalable Communication Protocol for Multi-layered Groups}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {426--431}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.68}, doi = {10.1109/CISIS.2011.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/TsuneizumiAIET11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/InoueIEAT11, author = {Takuro Inoue and Makoto Ikeda and Tomoya Enokido and Ailixier Aikebaier and Makoto Takizawa}, title = {A Power Consumption Model for Storage-based Applications}, booktitle = {International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2011, June 30 - July 2, 2011, Korean Bible University, Seoul, Korea}, pages = {612--617}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CISIS.2011.101}, doi = {10.1109/CISIS.2011.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/InoueIEAT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/KimNTIIA11, author = {Jinmyoung Kim and Toru Nakura and Hidehiro Takata and Koichiro Ishibashi and Makoto Ikeda and Kunihiro Asada}, editor = {Rolf Kraemer and Adam Pawlak and Andreas Steininger and Mario Sch{\"{o}}lzel and Jaan Raik and Heinrich Theodor Vierhaus}, title = {Decoupling capacitance boosting for on-chip resonant supply noise reduction}, booktitle = {14th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2011, Cottbus, Germany, April 13-15, 2011}, pages = {111--114}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DDECS.2011.5783058}, doi = {10.1109/DDECS.2011.5783058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/KimNTIIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/HiyamaKIBD11, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Arjan Durresi}, title = {Comparison Evaluation of Horizontal and Vertical Scenarios for Delay and Jitter Metrics Using a {MANET} Testbed}, booktitle = {2011 International Conference on Emerging Intelligent Data and Web Technologies, {EIDWT} 2011, Tirana, Albania, September 7-9, 2011}, pages = {6--13}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/EIDWT.2011.12}, doi = {10.1109/EIDWT.2011.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/HiyamaKIBD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimNTIIA11, author = {Jinmyoung Kim and Toru Nakura and Hidehiro Takata and Koichiro Ishibashi and Makoto Ikeda and Kunihiro Asada}, title = {On-chip resonant supply noise reduction utilizing switched parasitic capacitors of sleep blocks with tri-mode power gating structure}, booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011}, pages = {183--186}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ESSCIRC.2011.6044895}, doi = {10.1109/ESSCIRC.2011.6044895}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimNTIIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/DevlinIA11, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {Gate-level autonomous watchdog circuit for error robustness based on a 65nm self synchronous system}, booktitle = {18th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2011, Beirut, Lebanon, December 11-14, 2011}, pages = {53--56}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICECS.2011.6122212}, doi = {10.1109/ICECS.2011.6122212}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/DevlinIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/BushnaqIA11, author = {Sanad Bushnaq and Makoto Ikeda and Kunihiro Asada}, title = {All-digital 400{\(\sim\)}900 MHz power amplifier consuming 0.03 mW/MHz using 0.18 {\(\mu\)}m {CMOS}}, booktitle = {18th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2011, Beirut, Lebanon, December 11-14, 2011}, pages = {607--610}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICECS.2011.6122348}, doi = {10.1109/ICECS.2011.6122348}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/BushnaqIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/KullaHIB11, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli}, editor = {Fatos Xhafa and Leonard Barolli and Mario K{\"{o}}ppen}, title = {Comparison of Experimental Results of a {MANET} Testbed in Different Environments Considering {BATMAN} Protocol}, booktitle = {2011 Third International Conference on Intelligent Networking and Collaborative Systems (INCoS), Fukuoka, Japan, November 30 - Dec. 2, 2011}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/INCoS.2011.69}, doi = {10.1109/INCOS.2011.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/KullaHIB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/IkedaHKB11, author = {Makoto Ikeda and Masahiro Hiyama and Elis Kulla and Leonard Barolli}, editor = {Fatos Xhafa and Leonard Barolli and Mario K{\"{o}}ppen}, title = {Mobile Ad-hoc Network Routing Protocols Performance Evaluation Using {NS-3} Simulator}, booktitle = {2011 Third International Conference on Intelligent Networking and Collaborative Systems (INCoS), Fukuoka, Japan, November 30 - Dec. 2, 2011}, pages = {14--20}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/INCoS.2011.119}, doi = {10.1109/INCOS.2011.119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/IkedaHKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/HiyamaKIBI11, author = {Masahiro Hiyama and Elis Kulla and Makoto Ikeda and Leonard Barolli and Jiro Iwashige}, editor = {Fatos Xhafa and Leonard Barolli and Mario K{\"{o}}ppen}, title = {Performance Evaluation of {MANET} Testbed in a Mixed Indoor and Outdoor Environment}, booktitle = {2011 Third International Conference on Intelligent Networking and Collaborative Systems (INCoS), Fukuoka, Japan, November 30 - Dec. 2, 2011}, pages = {771--776}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/INCoS.2011.133}, doi = {10.1109/INCOS.2011.133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/HiyamaKIBI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DevlinIA11, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous {FPGA}}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {3--8}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016806\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/DevlinIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaIHBK11, author = {Elis Kulla and Makoto Ikeda and Masahiro Hiyama and Leonard Barolli and Bexhet Kamo}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, title = {Real Data from a Testbed in Indoor Stairs Environment Considering {BATMAN} Protocol}, booktitle = {The 14th International Conference on Network-Based Information Systems, NBiS 2011, Tirana, Albania, September 7-9, 2011}, pages = {35--41}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NBiS.2011.16}, doi = {10.1109/NBIS.2011.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaIHBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaKBTM11, author = {Makoto Ikeda and Elis Kulla and Leonard Barolli and Makoto Takizawa and Rozeta Miho}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa}, title = {Performance Evaluation of Wireless Mobile Ad-Hoc Network via {NS-3} Simulator}, booktitle = {The 14th International Conference on Network-Based Information Systems, NBiS 2011, Tirana, Albania, September 7-9, 2011}, pages = {135--141}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NBiS.2011.29}, doi = {10.1109/NBIS.2011.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaKBTM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MandaiNIA10, author = {Shingo Mandai and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {A 8bit two stage time-to-digital converter using time difference amplifier}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {13}, pages = {943--948}, year = {2010}, url = {https://doi.org/10.1587/elex.7.943}, doi = {10.1587/ELEX.7.943}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MandaiNIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraMIA10, author = {Toru Nakura and Shingo Mandai and Makoto Ikeda and Kunihiro Asada}, title = {Time Difference Amplifier with Robust Gain Using Closed-Loop Control}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {303--308}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.303}, doi = {10.1587/TRANSELE.E93.C.303}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraMIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/DevlinNIA10, author = {Benjamin Stefan Devlin and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {A Low Power and High Throughput Self Synchronous {FPGA} Using 65 nm {CMOS} with Throughput Optimization by Pipeline Alignment}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {7}, pages = {1319--1328}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1319}, doi = {10.1587/TRANSFUN.E93.A.1319}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/DevlinNIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/HiyamaIBT10, author = {Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Makoto Takizawa}, title = {Performance analysis of multi-hop ad-hoc network using multi-flow traffic for indoor scenarios}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {1}, number = {4}, pages = {283--293}, year = {2010}, url = {https://doi.org/10.1007/s12652-010-0021-3}, doi = {10.1007/S12652-010-0021-3}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/HiyamaIBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/YangIBXD10, author = {Tao Yang and Makoto Ikeda and Leonard Barolli and Fatos Xhafa and Arjan Durresi}, title = {Performance Evaluation of Wireless Sensor Networks for Mobile Event and Mobile Sink}, journal = {J. Mobile Multimedia}, volume = {6}, number = {4}, pages = {281--292}, year = {2010}, url = {http://www.rintonpress.com/journals/jmm/abstractsJmm6-4.html}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/YangIBXD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/KullaHIBKM10, author = {Elis Kulla and Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Vladi Kolici and Rozeta Miho}, title = {{MANET} performance for source and destination moving scenarios considering {OLSR} and {AODV} protocols}, journal = {Mob. Inf. Syst.}, volume = {6}, number = {4}, pages = {325--339}, year = {2010}, url = {https://doi.org/10.3233/MIS-2010-0106}, doi = {10.3233/MIS-2010-0106}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/KullaHIBKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/BarolliIXD10, author = {Leonard Barolli and Makoto Ikeda and Fatos Xhafa and Arjan Durresi}, title = {A Testbed for MANETs: Implementation, Experiences and Learned Lessons}, journal = {{IEEE} Syst. J.}, volume = {4}, number = {2}, pages = {243--252}, year = {2010}, url = {https://doi.org/10.1109/JSYST.2010.2047174}, doi = {10.1109/JSYST.2010.2047174}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/BarolliIXD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YangIMBDX10, author = {Tao Yang and Makoto Ikeda and Gjergji Mino and Leonard Barolli and Arjan Durresi and Fatos Xhafa}, title = {Performance Evaluation of Wireless Sensor Networks for Mobile Sink Considering Consumed Energy Metric}, booktitle = {24th {IEEE} International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2010, Perth, Australia, 20-13 April 2010}, pages = {245--250}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WAINA.2010.50}, doi = {10.1109/WAINA.2010.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/YangIMBDX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaHBXDT10, author = {Makoto Ikeda and Masahiro Hiyama and Leonard Barolli and Fatos Xhafa and Arjan Durresi and Makoto Takizawa}, title = {Mobility Effects of Wireless Multi-hop Networks in Indoor Scenarios}, booktitle = {24th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2010, Perth, Australia, 20-13 April 2010}, pages = {495--502}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/AINA.2010.111}, doi = {10.1109/AINA.2010.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaHBXDT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MandaiNIA10, author = {Shingo Mandai and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Cascaded time difference amplifier using differential logic delay cell}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {355--356}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419866}, doi = {10.1109/ASPDAC.2010.5419866}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MandaiNIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/IkedaKHBT10, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Makoto Takizawa}, title = {Analysis of {MANET} Routing Protocols for Indoor Environment}, booktitle = {Proceedings of the Fifth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2010, November 4-6, 2010, Fukuoka Institute of Technology, Fukuoka, Japan (In conjunction with the 3PGCIC-2010 International Conference)}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BWCCA.2010.42}, doi = {10.1109/BWCCA.2010.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/IkedaKHBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KullaIBM10, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli and Rozeta Miho}, title = {Impact of Source and Destination Movement on {MANET} Performance Considering {BATMAN} and {AODV} Protocols}, booktitle = {Proceedings of the Fifth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2010, November 4-6, 2010, Fukuoka Institute of Technology, Fukuoka, Japan (In conjunction with the 3PGCIC-2010 International Conference)}, pages = {94--101}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BWCCA.2010.54}, doi = {10.1109/BWCCA.2010.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/KullaIBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TsuneizumiAIET10, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa}, title = {A Scalable Hybrid Time Protocol for a Heterogeneous Group}, booktitle = {Proceedings of the Fifth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2010, November 4-6, 2010, Fukuoka Institute of Technology, Fukuoka, Japan (In conjunction with the 3PGCIC-2010 International Conference)}, pages = {214--221}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BWCCA.2010.73}, doi = {10.1109/BWCCA.2010.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/TsuneizumiAIET10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/HiyamaIBKXD10, author = {Masahiro Hiyama and Makoto Ikeda and Leonard Barolli and Elis Kulla and Fatos Xhafa and Arjan Durresi}, title = {Experimental Evaluation of a {MANET} Testbed in Indoor Stairs Scenarios}, booktitle = {Proceedings of the Fifth International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2010, November 4-6, 2010, Fukuoka Institute of Technology, Fukuoka, Japan (In conjunction with the 3PGCIC-2010 International Conference)}, pages = {678--683}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BWCCA.2010.154}, doi = {10.1109/BWCCA.2010.154}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/HiyamaIBKXD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/YangIBDX10, author = {Tao Yang and Makoto Ikeda and Leonard Barolli and Arjan Durresi and Fatos Xhafa}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Hui{-}Huang Hsu}, title = {Performance Evaluation of Wireless Sensor Networks for Different Radio Models Considering Mobile Event}, booktitle = {{CISIS} 2010, The Fourth International Conference on Complex, Intelligent and Software Intensive Systems, Krakow, Poland, 15-18 February 2010}, pages = {180--187}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CISIS.2010.91}, doi = {10.1109/CISIS.2010.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/YangIBDX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaHBXD10, author = {Makoto Ikeda and Masahiro Hiyama and Leonard Barolli and Fatos Xhafa and Arjan Durresi}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Hui{-}Huang Hsu}, title = {Mobility Effects on the Performance of Mobile Ad hoc Networks}, booktitle = {{CISIS} 2010, The Fourth International Conference on Complex, Intelligent and Software Intensive Systems, Krakow, Poland, 15-18 February 2010}, pages = {230--237}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CISIS.2010.90}, doi = {10.1109/CISIS.2010.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaHBXD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/AsadaIDS10, author = {Kunihiro Asada and Makoto Ikeda and Benjamin Stefan Devlin and Taku Sogabe}, title = {Self-Synchrounous Circuits with Completion/Error Detection as a Candidate of Future {LSI} Resilient for {PVT} Variations and Aging}, booktitle = {25th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} Systems, {DFT} 2010, Kyoto, Japan, October 6-8, 2010}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DFT.2010.61}, doi = {10.1109/DFT.2010.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/AsadaIDS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/IizukaJNIA10, author = {Tetsuya Iizuka and Jaehyun Jeong and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {All-digital on-chip monitor for {PMOS} and {NMOS} process variability measurement utilizing buffer ring with pulse counter}, booktitle = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla, Spain, September 13-17, 2010}, pages = {182--185}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ESSCIRC.2010.5619899}, doi = {10.1109/ESSCIRC.2010.5619899}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/IizukaJNIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/MandaiINIA10, author = {Shingo Mandai and Tetsuya Iizuka and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Time-to-digital converter based on time difference amplifier with non-linearity calibration}, booktitle = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla, Spain, September 13-17, 2010}, pages = {266--269}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ESSCIRC.2010.5619878}, doi = {10.1109/ESSCIRC.2010.5619878}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/MandaiINIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MandaiIA10, author = {Shingo Mandai and Makoto Ikeda and Kunihiro Asada}, title = {A 256{\texttimes}256 14k range maps/s 3-D range-finding image sensor using row-parallel embedded binary}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {404--405}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433975}, doi = {10.1109/ISSCC.2010.5433975}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MandaiIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SolhusvikABFIKLMNOW10, author = {Johannes Solhusvik and Jung{-}Chak Ahn and Jan T. Bosiers and Boyd Fowler and Makoto Ikeda and Shoji Kawahito and Jerry Lin and Dan McGrath and Katsu Nakamura and Jun Ohta and Ramchan Woo}, title = {High-speed image sensor technologies}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {516--517}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433858}, doi = {10.1109/ISSCC.2010.5433858}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SolhusvikABFIKLMNOW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/TsuneizumiAIETD10, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa and S. Misbah Deen}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Irfan Awan and Imad Saleh and Ismail Khalil}, title = {A two-layered model for scalable, heterogeneous group communications}, booktitle = {MoMM'2010 - The Eighth International Conference on Advances in Mobile Computing and Multimedia, 8-10 November 2010, Paris, France}, pages = {126--131}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1971519.1971543}, doi = {10.1145/1971519.1971543}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/momm/TsuneizumiAIETD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/TsuneizumiAIETD10, author = {Isamu Tsuneizumi and Ailixier Aikebaier and Makoto Ikeda and Tomoya Enokido and Makoto Takizawa and S. Misbah Deen}, editor = {Tomoya Enokido and Fatos Xhafa and Leonard Barolli and Makoto Takizawa and Minoru Uehara and Arjan Durresi}, title = {Hybrid Clock-Based Synchronization in a Scalable Heterogeneous Group}, booktitle = {The 13th International Conference on Network-Based Information Systems, NBiS 2010, Takayama, Gifu, Japan, 14-16 September 2010}, pages = {246--253}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NBiS.2010.88}, doi = {10.1109/NBIS.2010.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/TsuneizumiAIETD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaKHBTM10, author = {Makoto Ikeda and Elis Kulla and Masahiro Hiyama and Leonard Barolli and Makoto Takizawa and Rozeta Miho}, editor = {Tomoya Enokido and Fatos Xhafa and Leonard Barolli and Makoto Takizawa and Minoru Uehara and Arjan Durresi}, title = {A Comparison Study between Simulation and Experimental Results for MANETs}, booktitle = {The 13th International Conference on Network-Based Information Systems, NBiS 2010, Takayama, Gifu, Japan, 14-16 September 2010}, pages = {371--378}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NBiS.2010.75}, doi = {10.1109/NBIS.2010.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaKHBTM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KullaIBMK10, author = {Elis Kulla and Makoto Ikeda and Leonard Barolli and Rozeta Miho and Vladi Kolici}, editor = {Tomoya Enokido and Fatos Xhafa and Leonard Barolli and Makoto Takizawa and Minoru Uehara and Arjan Durresi}, title = {Effects of Source and Destination Movement on {MANET} Performance Considering {OLSR} and {AODV} Protocols}, booktitle = {The 13th International Conference on Network-Based Information Systems, NBiS 2010, Takayama, Gifu, Japan, 14-16 September 2010}, pages = {510--515}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NBiS.2010.76}, doi = {10.1109/NBIS.2010.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/KullaIBMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MandaiNIA09, author = {Shingo Mandai and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Dual Imager Core Chip with 24.8 Rangemaps/s 3-D and 58 fps 2-D Simultaneous Capture Capability}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {6}, pages = {798--805}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.798}, doi = {10.1587/TRANSELE.E92.C.798}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MandaiNIA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/IkedaA09, author = {Makoto Ikeda and Fumio Arakawa}, title = {Guest Editors' Introduction: Cool Chips}, journal = {{IEEE} Micro}, volume = {29}, number = {6}, pages = {5--6}, year = {2009}, url = {https://doi.org/10.1109/MM.2009.100}, doi = {10.1109/MM.2009.100}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/IkedaA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/IkedaBMYDX09, author = {Makoto Ikeda and Leonard Barolli and Giuseppe De Marco and Tao Yang and Arjan Durresi and Fatos Xhafa}, title = {Tools for performance assessment of {OLSR} protocol}, journal = {Mob. Inf. Syst.}, volume = {5}, number = {2}, pages = {165--176}, year = {2009}, url = {https://doi.org/10.3233/MIS-2009-0079}, doi = {10.3233/MIS-2009-0079}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/IkedaBMYDX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/YangBIMD09, author = {Tao Yang and Leonard Barolli and Makoto Ikeda and Giuseppe De Marco and Arjan Durresi}, title = {Performance Evaluation of a Wireless Sensor Network for Mobile and Stationary Event Cases Considering Routing Efficiency and Goodput Metrics}, journal = {Scalable Comput. Pract. Exp.}, volume = {10}, number = {1}, year = {2009}, url = {http://www.scpe.org/index.php/scpe/article/view/604}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scpe/YangBIMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/BarolliIMDX09, author = {Leonard Barolli and Makoto Ikeda and Giuseppe De Marco and Arjan Durresi and Fatos Xhafa}, editor = {Irfan Awan and Muhammad Younas and Takahiro Hara and Arjan Durresi}, title = {Performance Analysis of {OLSR} and {BATMAN} Protocols Considering Link Quality Parameter}, booktitle = {The {IEEE} 23rd International Conference on Advanced Information Networking and Applications, {AINA} 2009, Bradford, United Kingdom, May 26-29, 2009}, pages = {307--314}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/AINA.2009.28}, doi = {10.1109/AINA.2009.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/BarolliIMDX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/IkaiKIA09, author = {Keita Ikai and Jinmyoung Kim and Makoto Ikeda and Kunihiro Asada}, editor = {Kazutoshi Wakabayashi}, title = {Circuit design using stripe-shaped {PMELA} TFTs on glass}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {105--106}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796454}, doi = {10.1109/ASPDAC.2009.4796454}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/IkaiKIA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/IkedaBHMYD09, author = {Makoto Ikeda and Leonard Barolli and Masahiro Hiyama and Giuseppe De Marco and Tao Yang and Arjan Durresi}, editor = {Leonard Barolli and Fatos Xhafa and Hui{-}Huang Hsu}, title = {Performance Evaluation of Link Quality Extension in Multihop Wireless Mobile Ad-hoc Networks}, booktitle = {2009 International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2009, Fukuoka, Japan, March 16-19, 2009}, pages = {311--318}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CISIS.2009.101}, doi = {10.1109/CISIS.2009.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/IkedaBHMYD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/YangBIMXM09, author = {Tao Yang and Leonard Barolli and Makoto Ikeda and Giuseppe De Marco and Fatos Xhafa and Rozeta Miho}, editor = {Leonard Barolli and Fatos Xhafa and Hui{-}Huang Hsu}, title = {Performance Evaluation of a Wireless Sensor Network Considering Mobile Event}, booktitle = {2009 International Conference on Complex, Intelligent and Software Intensive Systems, {CISIS} 2009, Fukuoka, Japan, March 16-19, 2009}, pages = {1169--1174}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CISIS.2009.105}, doi = {10.1109/CISIS.2009.105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/YangBIMXM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/AsadaSNI09, author = {Kunihiro Asada and Taku Sogabe and Toru Nakura and Makoto Ikeda}, title = {Measurement of power supply noise tolerance of self-timed processor}, booktitle = {Proceedings of the 2009 {IEEE} Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2009, April 15-17, 2009, Liberec, Czech Republic}, pages = {128--131}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DDECS.2009.5012112}, doi = {10.1109/DDECS.2009.5012112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/AsadaSNI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/BushnaqNIA09, author = {Sanad Bushnaq and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {All digital baseband 50 Mbps data recovery using 5{\texttimes} oversampling with 0.9 data unit interval clock jitter tolerance}, booktitle = {Proceedings of the 2009 {IEEE} Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2009, April 15-17, 2009, Liberec, Czech Republic}, pages = {206--209}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DDECS.2009.5012129}, doi = {10.1109/DDECS.2009.5012129}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/BushnaqNIA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/NakuraTFIKA09, author = {Toru Nakura and Yutaro Tatemura and G{\"{o}}rschwin Fey and Makoto Ikeda and Satoshi Komatsu and Kunihiro Asada}, title = {SAT-based {ATPG} testing of inter- and intra-gate bridging faults}, booktitle = {19th European Conference on Circuit Theory and Design, {ECCTD} 2009, Antalya, Turkey, August 23-27, 2009}, pages = {643--646}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ECCTD.2009.5275065}, doi = {10.1109/ECCTD.2009.5275065}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/NakuraTFIKA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/DevlinJNIA09, author = {Benjamin Stefan Devlin and MyeongGyu Jeong and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {647 MHz, 0.642pJ/block/cycle 65nm self synchronous {FPGA}}, booktitle = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens, Greece, 14-18 September 2009}, pages = {156--159}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ESSCIRC.2009.5326010}, doi = {10.1109/ESSCIRC.2009.5326010}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/DevlinJNIA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JeongNIA09, author = {MyeongGyu Jeong and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {Moebius circuit: dual-rail dynamic logic for logic gate level pipeline with error gate search feature}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {177--180}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531587}, doi = {10.1145/1531542.1531587}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/JeongNIA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaBHYMD09, author = {Makoto Ikeda and Leonard Barolli and Masahiro Hiyama and Tao Yang and Giuseppe De Marco and Arjan Durresi}, editor = {Arjan Durresi and Leonard Barolli and Tomoya Enokido and Minoru Uehara and Elhadi M. Shakshuki and Makoto Takizawa}, title = {Performance Evaluation of a {MANET} Tested for Different Topologies}, booktitle = {NBiS 2009, 12th International Conference on Network-Based Information Systems, Indianapolis, Indiana, USA, 19-21 August 2009}, pages = {327--334}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NBiS.2009.32}, doi = {10.1109/NBIS.2009.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/IkedaBHYMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/YangBIXD09, author = {Tao Yang and Leonard Barolli and Makoto Ikeda and Fatos Xhafa and Arjan Durresi}, editor = {Arjan Durresi and Leonard Barolli and Tomoya Enokido and Minoru Uehara and Elhadi M. Shakshuki and Makoto Takizawa}, title = {Performance Analysis of {OLSR} Protocol for Wireless Sensor Networks and Comparison Evaluation with {AODV} Protocol}, booktitle = {NBiS 2009, 12th International Conference on Network-Based Information Systems, Indianapolis, Indiana, USA, 19-21 August 2009}, pages = {335--342}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NBiS.2009.35}, doi = {10.1109/NBIS.2009.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/YangBIXD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/IkedaMYB08, author = {Makoto Ikeda and Giuseppe De Marco and Tao Yang and Leonard Barolli}, title = {Performance analysis of an ad hoc network for emergency and collaborative environments}, journal = {Telecommun. Syst.}, volume = {38}, number = {3-4}, pages = {133--146}, year = {2008}, url = {https://doi.org/10.1007/s11235-008-9100-6}, doi = {10.1007/S11235-008-9100-6}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/IkedaMYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/IkedaMBT08, author = {Makoto Ikeda and Giuseppe De Marco and Leonard Barolli and Makoto Takizawa}, title = {A {BAT} in the Lab: Experimental Results of New Link State Routing Protocol}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {295--302}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/AINA.2008.60}, doi = {10.1109/AINA.2008.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/IkedaMBT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/YangIMBDX08, author = {Tao Yang and Makoto Ikeda and Giuseppe De Marco and Leonard Barolli and Arjan Durresi and Fatos Xhafa}, title = {Routing Efficiency of {AODV} and {DSR} Protocols in Ad-Hoc Sensor Networks}, booktitle = {28th {IEEE} International Conference on Distributed Computing Systems Workshops {(ICDCS} 2008 Workshops), 17-20 June 2008, Beijing, China}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICDCS.Workshops.2008.69}, doi = {10.1109/ICDCS.WORKSHOPS.2008.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/YangIMBDX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/BarolliYIDX08, author = {Leonard Barolli and Tao Yang and Makoto Ikeda and Arjan Durresi and Fatos Xhafa}, title = {A Simulation System for Routing Efficiency in Wireless Sensor-Actor Networks: {A} Case Study for Semi-automated Architecture}, booktitle = {14th International Conference on Parallel and Distributed Systems, {ICPADS} 2008, Melbourne, Victoria, Australia, December 8-10, 2008}, pages = {567--574}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPADS.2008.81}, doi = {10.1109/ICPADS.2008.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/BarolliYIDX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/BarolliIDXK08, author = {Leonard Barolli and Makoto Ikeda and Arjan Durresi and Fatos Xhafa and Akio Koyama}, editor = {Makoto Takizawa and Leonard Barolli and Tomoya Enokido}, title = {Performance Evaluation of Two Search Space Reduction Methods for a Distributed Network Architecture}, booktitle = {Network-Based Information Systems, 2nd International Conference, NBiS 2008, Turin, Italy, September 1-5, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5186}, pages = {49--59}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85693-1\_7}, doi = {10.1007/978-3-540-85693-1\_7}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/BarolliIDXK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaBMYD08, author = {Makoto Ikeda and Leonard Barolli and Giuseppe De Marco and Tao Yang and Arjan Durresi}, editor = {Makoto Takizawa and Leonard Barolli and Tomoya Enokido}, title = {Experimental and Simulation Evaluation of {OLSR} Protocol for Mobile Ad-Hoc Networks}, booktitle = {Network-Based Information Systems, 2nd International Conference, NBiS 2008, Turin, Italy, September 1-5, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5186}, pages = {111--121}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85693-1\_13}, doi = {10.1007/978-3-540-85693-1\_13}, timestamp = {Mon, 04 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/IkedaBMYD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/BarolliIMDKI07, author = {Leonard Barolli and Makoto Ikeda and Giuseppe De Marco and Arjan Durresi and Akio Koyama and Jiro Iwashige}, title = {A Search Space Reduction Algorithm for Improving the Performance of a GA-based QoS Routing Method in Ad-Hoc Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {3}, number = {1}, pages = {41--57}, year = {2007}, url = {https://doi.org/10.1080/15501320601067881}, doi = {10.1080/15501320601067881}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/BarolliIMDKI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/YangBIDX07, author = {Tao Yang and Leonard Barolli and Makoto Ikeda and Arjan Durresi and Fatos Xhafa}, title = {Performance Evaluation of Reactive and Proactive Protocols for Ad-Hoc Sensor Networks Using Different Radio Models}, journal = {J. Interconnect. Networks}, volume = {8}, number = {4}, pages = {387--405}, year = {2007}, url = {https://doi.org/10.1142/S0219265907002090}, doi = {10.1142/S0219265907002090}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/YangBIDX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/MarcoYIB07, author = {Giuseppe De Marco and Tao Yang and Makoto Ikeda and Leonard Barolli}, title = {Performance evaluation of wireless sensor networks for event-detection with shadowing-induced radio irregularities}, journal = {Mob. Inf. Syst.}, volume = {3}, number = {3-4}, pages = {251--266}, year = {2007}, url = {https://doi.org/10.1155/2007/123601}, doi = {10.1155/2007/123601}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/MarcoYIB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/IizukaIA07, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Timing-Aware Cell Layout De-Compaction for Yield Optimization by Critical Area Minimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {6}, pages = {716--720}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.898754}, doi = {10.1109/TVLSI.2007.898754}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/IizukaIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/MarcoIYB07, author = {Giuseppe De Marco and Makoto Ikeda and Tao Yang and Leonard Barolli}, title = {Experimental Performance Evaluation of a Pro-Active Ad-hoc Routing Protocol in Out- and Indoor Scenarios}, booktitle = {21st International Conference on Advanced Information Networking and Applications {(AINA} 2007), May 21-23, 2007, Niagara Falls, Canada}, pages = {7--14}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/AINA.2007.68}, doi = {10.1109/AINA.2007.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/MarcoIYB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KazamaNIA07, author = {Taisuke Kazama and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Design of Active Substrate Noise Canceller using Power Supply di/dt Detector}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {100--101}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357960}, doi = {10.1109/ASPDAC.2007.357960}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KazamaNIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/BarolliIDXK07, author = {Leonard Barolli and Makoto Ikeda and Arjan Durresi and Fatos Xhafa and Akio Koyama}, title = {A Distributed QoS Routing and {CAC} Framework: Performance Evaluation of Its {SSRA} and InterD Agents}, booktitle = {First International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2007), Vienna, Austria, 10-12 April 2007}, pages = {60--67}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CISIS.2007.4}, doi = {10.1109/CISIS.2007.4}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/BarolliIDXK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/LiangIA07, author = {Zhicheng Liang and Makoto Ikeda and Kunihiro Asada}, editor = {Patrick Girard and Andrzej Krasniewski and Elena Gramatov{\'{a}} and Adam Pawlak and Tomasz Garbolino}, title = {Analysis of Noise Margins Due to Device Parameter Variations in Sub-100nm {CMOS} Technology}, booktitle = {Proceedings of the 10th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2007), Krak{\'{o}}w, Poland, April 11-13, 2007}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DDECS.2007.4295258}, doi = {10.1109/DDECS.2007.4295258}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/LiangIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YachideIA07, author = {Yusuke Yachide and Makoto Ikeda and Kunihiro Asada}, editor = {Hideharu Amano and Andy Ye and Takeshi Ikenaga}, title = {FPGA-Based 3-D engine for high-speed 3-D measurement based on light-section method}, booktitle = {2007 International Conference on Field-Programmable Technology, {ICFPT} 2007, Kitakyushu, Japan, December 12-14, 2007}, pages = {293--296}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPT.2007.4439269}, doi = {10.1109/FPT.2007.4439269}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YachideIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/IkedaISA07, author = {Makoto Ikeda and Ken Ishii and Taku Sogabe and Kunihiro Asada}, title = {Datapath Delay Distributions for Data/Instruction against {PVT} Variations in 90nm {CMOS}}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {154--157}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4510953}, doi = {10.1109/ICECS.2007.4510953}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/IkedaISA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/SasakiIA07, author = {Masahiro Sasaki and Makoto Ikeda and Kunihiro Asada}, title = {3.5-Gb/s extended frequency range wave-pipeline {PRBS} Generator in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {526--529}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4511044}, doi = {10.1109/ICECS.2007.4511044}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/SasakiIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/KuriharaIIA07, author = {Kenichiro Kurihara and Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Process Variation Aware Comprehensive Layout Synthesis for Yield Enhancement in Nano-meter {CMOS}}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {1296--1299}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4511235}, doi = {10.1109/ICECS.2007.4511235}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/KuriharaIIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/YangBIDX07, author = {Tao Yang and Leonard Barolli and Makoto Ikeda and Arjan Durresi and Fatos Xhafa}, title = {Network energy consumption in ad-hoc networks under different radio models}, booktitle = {13th International Conference on Parallel and Distributed Systems, {ICPADS} 2007, Hsinchu, Taiwan, December 5-7, 2007}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICPADS.2007.4447745}, doi = {10.1109/ICPADS.2007.4447745}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/YangBIDX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/YangIMB07, author = {Tao Yang and Makoto Ikeda and Giuseppe De Marco and Leonard Barolli}, title = {Performance Behavior of AODV, {DSR} and {DSDV} Protocols for Different Radio Models in Ad-Hoc Sensor Networks}, booktitle = {2007 International Conference on Parallel Processing Workshops {(ICPP} Workshops 2007), 10-14 September 2007, Xi-An, China}, pages = {6}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICPPW.2007.67}, doi = {10.1109/ICPPW.2007.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/YangIMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/IizukaIA07, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {OPC-Friendly De-Compaction with Timing Constraints for Standard Cell Layouts}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {776--781}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.112}, doi = {10.1109/ISQED.2007.112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/IizukaIA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IkedaSIMNNA07, author = {Makoto Ikeda and Taku Sogabe and Ken Ishii and Masayuki Mizuno and Toru Nakura and Koichi Nose and Kunihiro Asada}, title = {{LAGS} System Using Data/Instruction Grain Power Control}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {66--587}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373590}, doi = {10.1109/ISSCC.2007.373590}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IkedaSIMNNA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/IkedaMB07, author = {Makoto Ikeda and Giuseppe De Marco and Leonard Barolli}, editor = {Tomoya Enokido and Leonard Barolli and Makoto Takizawa}, title = {A Simple Statistical Methodology for Testing Ad Hoc Networks}, booktitle = {Network-Based Information Systems, First International Conference, NBiS 2007, Regensburg, Germany, September 3-7, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4658}, pages = {1--10}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74573-0\_1}, doi = {10.1007/978-3-540-74573-0\_1}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/nbis/IkedaMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraIA06, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Feedforward Active Substrate Noise Cancelling Based on \emph{di/dt} of Power Supply}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {3}, pages = {364--369}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.3.364}, doi = {10.1093/IETELE/E89-C.3.364}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AbbasIA06, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, title = {On-Chip Detector for Single-Event Noise Sensing with Voltage Scaling Function}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {3}, pages = {370--376}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.3.370}, doi = {10.1093/IETELE/E89-C.3.370}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AbbasIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AbbasIA06a, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, title = {Noise Immunity Investigation of Low Power Design Schemes}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {8}, pages = {1238--1247}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.8.1238}, doi = {10.1093/IETELE/E89-C.8.1238}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AbbasIA06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraIA06a, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Autonomous \emph{di/dt} Control of Power Supply for Margin Aware Operation}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {11}, pages = {1689--1694}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.11.1689}, doi = {10.1093/IETELE/E89-C.11.1689}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraIA06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoshidaIA06, author = {Hiroaki Yoshida and Makoto Ikeda and Kunihiro Asada}, title = {A Structural Approach for Transistor Circuit Synthesis}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {12}, pages = {3529--3537}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.12.3529}, doi = {10.1093/IETFEC/E89-A.12.3529}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoshidaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KazamaIA06, author = {Taisuke Kazama and Makoto Ikeda and Kunihiro Asada}, title = {{LSI} Design Flow for Shot Reduction of Character Projection Electron Beam Direct Writing Using Combined Cell Stencil}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {12}, pages = {3546--3550}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.12.3546}, doi = {10.1093/IETFEC/E89-A.12.3546}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KazamaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/IkedaBKDMI06, author = {Makoto Ikeda and Leonard Barolli and Akio Koyama and Arjan Durresi and Giuseppe De Marco and Jiro Iwashige}, title = {Performance evaluation of an intelligent {CAC} and routing framework for multimedia applications in broadband networks}, journal = {J. Comput. Syst. Sci.}, volume = {72}, number = {7}, pages = {1183--1200}, year = {2006}, url = {https://doi.org/10.1016/j.jcss.2005.12.007}, doi = {10.1016/J.JCSS.2005.12.007}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/IkedaBKDMI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/YangMIB06, author = {Tao Yang and Giuseppe De Marco and Makoto Ikeda and Leonard Barolli}, title = {Impact of radio randomness on performances of lattice wireless sensors networks based on event-reliability concept}, journal = {Mob. Inf. Syst.}, volume = {2}, number = {4}, pages = {211--227}, year = {2006}, url = {https://doi.org/10.1155/2006/725214}, doi = {10.1155/2006/725214}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/YangMIB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbbasIA06, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, editor = {Georges G. E. Gielen}, title = {On-chip 8GHz non-periodic high-swing noise detector}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {670--671}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244040}, doi = {10.1109/DATE.2006.244040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbbasIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IizukaIA06, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, editor = {Georges G. E. Gielen}, title = {Timing-driven cell layout de-compaction for yield optimization by critical area minimization}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {884--889}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243774}, doi = {10.1109/DATE.2006.243774}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IizukaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/AbbasIA06, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, editor = {Matteo Sonza Reorda and Ondrej Nov{\'{a}}k and Bernd Straube and Hana Kub{\'{a}}tov{\'{a}} and Zdenek Kot{\'{a}}sek and Pavel Kubal{\'{\i}}k and Raimund Ubar and Jir{\'{\i}} Bucek}, title = {Statistical Model for Logic Errors in {CMOS} Digital Circuits for Reliability-Driven Design Flow}, booktitle = {Proceedings of the 9th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2006), Prague, Czech Republic, April 18-21, 2006}, pages = {147--148}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DDECS.2006.1649597}, doi = {10.1109/DDECS.2006.1649597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/AbbasIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/IkedaBMDKD06, author = {Makoto Ikeda and Leonard Barolli and Giuseppe De Marco and Arjan Durresi and Akio Koyama and Mimoza Durresi}, title = {Evaluation of a Network Extraction Topology Algorithm for Reducing Search Space of a GA-based Routing Approach}, booktitle = {26th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2006 Workshops), 4-7 July 2006, Lisboa, Portugal}, pages = {54}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICDCSW.2006.47}, doi = {10.1109/ICDCSW.2006.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/IkedaBMDKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/IkedaYA06, author = {Makoto Ikeda and Hiroshi Yamauchi and Kunihiro Asada}, title = {Tamper Resistivity Analysis for Nano-meter {LSI} with Process Variations}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {387--390}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379806}, doi = {10.1109/ICECS.2006.379806}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/IkedaYA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/IizukaIA06, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Timing-Driven Redundant Contact Insertion for Standard Cell Yield Enhancement}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {704--707}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379886}, doi = {10.1109/ICECS.2006.379886}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/IizukaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/YachideIA06, author = {Yusuke Yachide and Makoto Ikeda and Kunihiro Asada}, title = {High-Speed 3-D Measurement System Using Smart Image Sensor and {FPGA} Based 3-D Engine}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {764--767}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379901}, doi = {10.1109/ICECS.2006.379901}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/YachideIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/SasakiIA06, author = {Masahiro Sasaki and Makoto Ikeda and Kunihiro Asada}, title = {4-Gb/s low-power {PRBS} Generator with wave-pipeline technique in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {1007--1010}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379961}, doi = {10.1109/ICECS.2006.379961}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/SasakiIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/IkedaDA06, author = {Makoto Ikeda and Kin Hooi Dia and Kunihiro Asada}, title = {Pre-conditioning Free Footless {DCVSL} for High-performance Datapaths}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {1053--1056}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379619}, doi = {10.1109/ICECS.2006.379619}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/IkedaDA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/YoshidaIA06, author = {Hiroaki Yoshida and Makoto Ikeda and Kunihiro Asada}, title = {Exact Minimum Logic Factoring via Quantified Boolean Satisfiability}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {1065--1068}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379622}, doi = {10.1109/ICECS.2006.379622}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/YoshidaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IizukaIA06, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Exact minimum-width multi-row transistor placement for dual and non-dual {CMOS} cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693862}, doi = {10.1109/ISCAS.2006.1693862}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IizukaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/YangMIB06, author = {Tao Yang and Giuseppe De Marco and Makoto Ikeda and Leonard Barolli}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Ismail Khalil Ibrahim}, title = {A Case Study of Event Detection in Lattice Wireless Sensor Network with Shadowing-Induced Radio Irregularities}, booktitle = {MoMM'2006 - The Fourth International Conference on Advances in Mobile Computing and Multimedia, 4-6 December 2006, Yogyakarta, Indonesia}, series = {books@ocg.at}, volume = {215}, pages = {241--250}, publisher = {Austrian Computer Society}, year = {2006}, timestamp = {Mon, 04 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/momm/YangMIB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraIA05, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Stub vs. Capacitor for Power Supply Noise Reduction}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {1}, pages = {125--132}, year = {2005}, url = {https://doi.org/10.1093/ietele/E88-C.1.125}, doi = {10.1093/IETELE/E88-C.1.125}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraIA05a, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {On-chip \emph{di/dt} Detector Circuit}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {5}, pages = {782--787}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.5.782}, doi = {10.1093/IETELE/E88-C.5.782}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraIA05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/EkincielYYIA05, author = {Ulkuhan Ekinciel and Hiroaki Yamaoka and Hiroaki Yoshida and Makoto Ikeda and Kunihiro Asada}, title = {A Performance Driven Module Generator for a Dual-Rail {PLA} with Embedded 2-Input Logic Cells}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {88-D}, number = {6}, pages = {1159--1167}, year = {2005}, url = {https://doi.org/10.1093/ietisy/e88-d.6.1159}, doi = {10.1093/IETISY/E88-D.6.1159}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/EkincielYYIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IizukaIA05, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Yield-Optimal Layout Synthesis of {CMOS} Logic Cells by Wiring Fault Minimization}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {7}, pages = {1957--1963}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.7.1957}, doi = {10.1093/IETFEC/E88-A.7.1957}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IizukaIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/NakuraIA05b, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Preliminary Experiments for Power Supply Noise Reduction Using On-Board Stubs}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {8}, pages = {1734--1739}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.8.1734}, doi = {10.1093/IETELE/E88-C.8.1734}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/NakuraIA05b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IizukaIA05a, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Exact Minimum-Width Transistor Placement for Dual and Non-dual {CMOS} Cells}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {12}, pages = {3485--3491}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.12.3485}, doi = {10.1093/IETFEC/E88-A.12.3485}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IizukaIA05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OikeIA05, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {A 375 {\texttimes} 365 high-speed 3-D range-finding image sensor using row-parallel search architecture and multisampling technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {2}, pages = {444--453}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.841017}, doi = {10.1109/JSSC.2004.841017}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OikeIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/NakuraIA05, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {Autonomous di/dt noise control scheme for margin aware operation}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {467--470}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541661}, doi = {10.1109/ESSCIR.2005.1541661}, timestamp = {Fri, 28 Apr 2023 15:39:25 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/NakuraIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LiIA05, author = {Nan Li and Makoto Ikeda and Kunihiro Asada}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {Analysis of low noise three-phase asynchronous data transmission}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {479--482}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541664}, doi = {10.1109/ESSCIR.2005.1541664}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LiIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/IizukaIA05, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Exact minimum-width transistor placement without dual constraint for {CMOS} cells}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {74--77}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057681}, doi = {10.1145/1057661.1057681}, timestamp = {Wed, 15 Dec 2021 17:59:57 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/IizukaIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AbbasIA05, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, title = {On-chip non-periodic high-swing noise detector}, booktitle = {12th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2005, Gammarth, Tunisia, December 11-14, 2005}, pages = {1--4}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICECS.2005.4633453}, doi = {10.1109/ICECS.2005.4633453}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/AbbasIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/YoshidaIA05, author = {Hiroaki Yoshida and Makoto Ikeda and Kunihiro Asada}, title = {An algebraic approach for transistor circuit synthesis}, booktitle = {12th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2005, Gammarth, Tunisia, December 11-14, 2005}, pages = {1--4}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICECS.2005.4633590}, doi = {10.1109/ICECS.2005.4633590}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/YoshidaIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YachideOIA05, author = {Yusuke Yachide and Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {Real-time 3-D measurement system based on light-section method using smart image sensor}, booktitle = {Proceedings of the 2005 International Conference on Image Processing, {ICIP} 2005, Genoa, Italy, September 11-14, 2005}, pages = {1008--1111}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICIP.2005.1530565}, doi = {10.1109/ICIP.2005.1530565}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/YachideOIA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/IkedaBOCKD05, author = {Makoto Ikeda and Leonard Barolli and Shohei Ohba and Genci Capi and Akio Koyama and Mimoza Durresi}, title = {A {CAC} and Routing Framework for Multimedia Applications in Broadband Networks Using Fuzzy Logic and Genetic Algorithm}, booktitle = {11th International Conference on Parallel and Distributed Systems, {ICPADS} 2005, Fuduoka, Japan, July 20-22, 2005}, pages = {648--654}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPADS.2005.11}, doi = {10.1109/ICPADS.2005.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/IkedaBOCKD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/OhbaIBMID05, author = {Shohei Ohba and Makoto Ikeda and Leonard Barolli and Giuseppe De Marco and Jiro Iwashige and Arjan Durresi}, title = {An Effective Topology Extraction Algorithm for Search Reduction Space of a GA-based QoS Routing Method in Ad-Hoc Networks}, booktitle = {8th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2005, December 7-9. 2005, Las Vegas, Nevada, {USA}}, pages = {400--405}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPAN.2005.14}, doi = {10.1109/ISPAN.2005.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/OhbaIBMID05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/OikeIA04, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {Smart Access Image Sensors for High-Speed and High-Resolution 3-D Measurement based on Light-Section Method}, journal = {Intell. Autom. Soft Comput.}, volume = {10}, number = {2}, pages = {105--128}, year = {2004}, url = {https://doi.org/10.1080/10798587.2004.10642869}, doi = {10.1080/10798587.2004.10642869}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/OikeIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OikeIA04, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {A 120{\texttimes}110 position sensor with the capability of sensitive and selective light detection in wide dynamic range for robust active range finding}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {1}, pages = {246--251}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2003.820880}, doi = {10.1109/JSSC.2003.820880}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OikeIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OikeIA04b, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {Design and implementation of real-time 3-D image sensor with 640 {\texttimes} 480 pixel resolution}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {4}, pages = {622--628}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.825122}, doi = {10.1109/JSSC.2004.825122}, timestamp = {Tue, 20 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OikeIA04b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OikeIA04a, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {A high-speed and low-voltage associative co-processor with exact Hamming/Manhattan-distance estimation using word-parallel and hierarchical search architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {8}, pages = {1383--1387}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.831805}, doi = {10.1109/JSSC.2004.831805}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OikeIA04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/IizukaIA04, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, editor = {Masaharu Imai}, title = {High speed layout synthesis for minimum-width {CMOS} logic cells via Boolean satisfiability}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.110}, doi = {10.1109/ASPDAC.2004.110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/IizukaIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OikeIA04, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, editor = {Masaharu Imai}, title = {Design of real-time {VGA} 3-D image sensor using mixed-signal techniques}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {523--524}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.82}, doi = {10.1109/ASPDAC.2004.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/OikeIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/OikeIA04, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {A word-parallel digital associative engine with wide search range based on Manhattan distance}, booktitle = {Proceedings of the {IEEE} 2004 Custom Integrated Circuits Conference, {CICC} 2004, Orlando, FL, USA, October 2004}, pages = {295--298}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CICC.2004.1358803}, doi = {10.1109/CICC.2004.1358803}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/OikeIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/AbbasIA04, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, title = {Noise Effects on Performance of Low Power Design Schemes in Deep Submicron Regime}, booktitle = {19th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2004), 10-13 October 2004, Cannes, France, Proceedings}, pages = {87--95}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/DFT.2004.41}, doi = {10.1109/DFT.2004.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/AbbasIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/IizukaIA04, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Exact Wiring Fault Minimization via Comprehensive Layout Synthesis for {CMOS} Logic Cells}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {377--380}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283703}, doi = {10.1109/ISQED.2004.1283703}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/IizukaIA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/OikeIA03, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {A high-speed and low-voltage associative co-processor with Hamming distance ordering using word-parallel and hierarchical search architecture}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {643--646}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249478}, doi = {10.1109/CICC.2003.1249478}, timestamp = {Mon, 15 Nov 2021 17:53:34 +0100}, biburl = {https://dblp.org/rec/conf/cicc/OikeIA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NakuraIA03, author = {Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {Theoretical study of stubs for power line noise reduction {[LSI} applications]}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {715--718}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249493}, doi = {10.1109/CICC.2003.1249493}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/NakuraIA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/OikeIA03, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A smart image sensor with high-speed feeble ID-beacon detection for augmented reality system}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {125--128}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257088}, doi = {10.1109/ESSCIRC.2003.1257088}, timestamp = {Tue, 04 Jul 2023 08:46:31 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/OikeIA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YamaokaIA03, author = {Hiroaki Yamaoka and Makoto Ikeda and Kunihiro Asada}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A high-speed logic circuit family with interdigitated array structure for deep sub-micron {IC} design}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {189--192}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257104}, doi = {10.1109/ESSCIRC.2003.1257104}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YamaokaIA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OikeIA03, author = {Yusuke Oike and Makoto Ikeda and Kunihiro Asada}, title = {High-speed position detector using new row-parallel architecture for fast collision prevention system}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {788--791}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206312}, doi = {10.1109/ISCAS.2003.1206312}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OikeIA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/IshiharaKIFA03, author = {Tohru Ishihara and Satoshi Komatsu and Makoto Ikeda and Masahiro Fujita and Kunihiro Asada}, title = {Comparative Study On Verilog-Based And C-Based Hardware Design Education}, booktitle = {2003 International Conference on Microelectronics Systems Education, {MSE} 2003, Educating Tomorrow's Microsystems Designers, Anaheim, CA, USA, June 1-2, 2003}, pages = {41--42}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MSE.2003.1205246}, doi = {10.1109/MSE.2003.1205246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/IshiharaKIFA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/SugiyamaIA02, author = {Satoshi Sugiyama and Makoto Ikeda and Kunihiro Asada}, title = {Quick power supply noise estimation using hierarchically derived transfer functions}, booktitle = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September 15-18, 2002}, pages = {713--716}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICECS.2002.1046268}, doi = {10.1109/ICECS.2002.1046268}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/SugiyamaIA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshidaYIA02, author = {Hiroaki Yoshida and Hiroaki Yamaoka and Makoto Ikeda and Kunihiro Asada}, title = {Logic synthesis for {PLA} with 2-input logic elements}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {373--376}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010238}, doi = {10.1109/ISCAS.2002.1010238}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoshidaYIA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/YoshidaYIA02, author = {Hiroaki Yoshida and Hiroaki Yamaoka and Makoto Ikeda and Kunihiro Asada}, title = {Logic Synthesis for {AND-XOR-OR} Type Sense-Amplifying {PLA}}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {166--171}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994912}, doi = {10.1109/ASPDAC.2002.994912}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/YoshidaYIA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YamaokaIA01, author = {Hiroaki Yamaoka and Makoto Ikeda and Kunihiro Asada}, editor = {Satoshi Goto}, title = {A high-speed {PLA} using array logic circuits with latch sense amplifiers and a charge sharing scheme}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {3--4}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370195}, doi = {10.1145/370155.370195}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YamaokaIA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NezukaHIA01, author = {Tomohiro Nezuka and Masashi Hoshino and Makoto Ikeda and Kunihiro Asada}, editor = {Satoshi Goto}, title = {A smart position sensor for 3-D measurement}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {21--22}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370219}, doi = {10.1145/370155.370219}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NezukaHIA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/QiaoIA01, author = {Jian Qiao and Makoto Ikeda and Kunihiro Asada}, editor = {Satoshi Goto}, title = {Finding an optimal functional decomposition for LUT-based {FPGA} synthesis}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {225--230}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370328}, doi = {10.1145/370155.370328}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/QiaoIA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/NakashimaIA01, author = {Yusuke Nakashima and Makoto Ikeda and Kunihiro Asada}, title = {Computational Cost Reduction in Extracting Inductance}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915224}, doi = {10.1109/ISQED.2001.915224}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/NakashimaIA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/NezukaFIA00, author = {Tomohiro Nezuka and Takafumi Fujita and Makoto Ikeda and Kunihiro Asada}, title = {A Binary Image Sensor for Motion Detection}, journal = {J. Robotics Mechatronics}, volume = {12}, number = {5}, pages = {508--514}, year = {2000}, url = {https://doi.org/10.20965/jrm.2000.p0508}, doi = {10.20965/JRM.2000.P0508}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/NezukaFIA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NezukaFIA00, author = {Tomohiro Nezuka and Takafumi Fujita and Makoto Ikeda and Kunihiro Asada}, title = {A binary image sensor with flexible motion vector detection using block matching method}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {21--22}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368487}, doi = {10.1145/368434.368487}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NezukaFIA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/QiaoIA00, author = {Jian Qiao and Makoto Ikeda and Kunihiro Asada}, editor = {Reiner W. Hartenstein and Herbert Gr{\"{u}}nbacher}, title = {Optimum Functional Decomposition for LUT-Based {FPGA} Synthesis}, booktitle = {Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, {FPL} 2000, Villach, Austria, August 27-30, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1896}, pages = {555--564}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44614-1\_60}, doi = {10.1007/3-540-44614-1\_60}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/QiaoIA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/IkedaAA00, author = {Makoto Ikeda and Hideyuki Aoki and Kunihiro Asada}, title = {{DVDT:} Design for Voltage Drop Test Using Onchip-Voltage Scan Path}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {305--308}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838887}, doi = {10.1109/ISQED.2000.838887}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/IkedaAA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KomatsuIA99, author = {Satoshi Komatsu and Makoto Ikeda and Kunihiro Asada}, title = {Low Power Chip Interface Based on Bus Data Encoding with Adaptive Code-Book Method}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {368--371}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757458}, doi = {10.1109/GLSV.1999.757458}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KomatsuIA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/IkedaA99, author = {Makoto Ikeda and Kunihiro Asada}, title = {Standard design flows of Logic LSIs in Japanese universities and {VDEC}}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} 1999, Arlington, Virginia, USA, July 19-21, 1999}, pages = {8--9}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/MSE.1999.787011}, doi = {10.1109/MSE.1999.787011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/IkedaA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KomatsuIA98, author = {Satoshi Komatsu and Makoto Ikeda and Kunihiro Asada}, title = {Low Power Micoprocessors for Comparative Study on Bus Architecture and Multiplexer Architecture}, booktitle = {Proceedings of the {ASP-DAC} '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}, pages = {323--324}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ASPDAC.1998.669484}, doi = {10.1109/ASPDAC.1998.669484}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KomatsuIA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/IkedaA94, author = {Makoto Ikeda and Kunihiro Asada}, editor = {Robert Werner}, title = {A Reduced-swing Data Transmission Scheme for Resistive Bus Lines in VSLIs}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {546--550}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326821}, doi = {10.1109/EDTC.1994.326821}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/IkedaA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.