BibTeX records: Swaroop Ghosh

download as .bib file

@article{DBLP:journals/dt/JantschGOM24,
  author       = {Axel Jantsch and
                  Swaroop Ghosh and
                  {\"{U}}mit Y. Ogras and
                  Pascal Meinerzhagen},
  title        = {{ISLPED} 2023: International Symposium on Low-Power Electronics and
                  Design},
  journal      = {{IEEE} Des. Test},
  volume       = {41},
  number       = {1},
  pages        = {93--94},
  year         = {2024},
  url          = {https://doi.org/10.1109/MDAT.2023.3324518},
  doi          = {10.1109/MDAT.2023.3324518},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/JantschGOM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/UpadhyayG24,
  author       = {Suryansh Upadhyay and
                  Swaroop Ghosh},
  title        = {Stealthy SWAPs: Adversarial {SWAP} Injection in Multi-Tenant Quantum
                  Computing},
  booktitle    = {37th International Conference on {VLSI} Design and 23rd International
                  Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January
                  6-10, 2024},
  pages        = {474--479},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/VLSID60093.2024.00085},
  doi          = {10.1109/VLSID60093.2024.00085},
  timestamp    = {Mon, 08 Apr 2024 20:48:39 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/UpadhyayG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/UpadhyayRG24,
  author       = {Suryansh Upadhyay and
                  Rupshali Roy and
                  Swaroop Ghosh},
  title        = {Designing Hash and Encryption Engines using Quantum Computing},
  booktitle    = {37th International Conference on {VLSI} Design and 23rd International
                  Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January
                  6-10, 2024},
  pages        = {571--576},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/VLSID60093.2024.00101},
  doi          = {10.1109/VLSID60093.2024.00101},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/UpadhyayRG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-01552,
  author       = {Rupshali Roy and
                  Subrata Das and
                  Swaroop Ghosh},
  title        = {Hardware Trojans in Quantum Circuits, Their Impacts, and Defense},
  journal      = {CoRR},
  volume       = {abs/2402.01552},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.01552},
  doi          = {10.48550/ARXIV.2402.01552},
  eprinttype    = {arXiv},
  eprint       = {2402.01552},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-01552.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-11027,
  author       = {Avimita Chatterjee and
                  Debarshi Kundu and
                  Swaroop Ghosh},
  title        = {{MITS:} {A} Quantum Sorcerer Stone For Designing Surface Codes},
  journal      = {CoRR},
  volume       = {abs/2402.11027},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.11027},
  doi          = {10.48550/ARXIV.2402.11027},
  eprinttype    = {arXiv},
  eprint       = {2402.11027},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-11027.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-11105,
  author       = {Avimita Chatterjee and
                  Swaroop Ghosh},
  title        = {Magic Mirror on the Wall, How to Benchmark Quantum Error Correction
                  Codes, Overall ?},
  journal      = {CoRR},
  volume       = {abs/2402.11105},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.11105},
  doi          = {10.48550/ARXIV.2402.11105},
  eprinttype    = {arXiv},
  eprint       = {2402.11105},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-11105.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-11127,
  author       = {Avimita Chatterjee and
                  Debarshi Kundu and
                  Swaroop Ghosh},
  title        = {Q-Embroidery: {A} Study on Weaving Quantum Error Correction into the
                  Fabric of Quantum Classifiers},
  journal      = {CoRR},
  volume       = {abs/2402.11127},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.11127},
  doi          = {10.48550/ARXIV.2402.11127},
  eprinttype    = {arXiv},
  eprint       = {2402.11127},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-11127.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-11687,
  author       = {Satwik Kundu and
                  Debarshi Kundu and
                  Swaroop Ghosh},
  title        = {Evaluating Efficacy of Model Stealing Attacks and Defenses on Quantum
                  Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2402.11687},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.11687},
  doi          = {10.48550/ARXIV.2402.11687},
  eprinttype    = {arXiv},
  eprint       = {2402.11687},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-11687.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-06890,
  author       = {Debarshi Kundu and
                  Archisman Ghosh and
                  Srinivasan Ekambaram and
                  Jian Wang and
                  Nikolay V. Dokholyan and
                  Swaroop Ghosh},
  title        = {Application of Quantum Tensor Networks for Protein Classification},
  journal      = {CoRR},
  volume       = {abs/2403.06890},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.06890},
  doi          = {10.48550/ARXIV.2403.06890},
  eprinttype    = {arXiv},
  eprint       = {2403.06890},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-06890.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-12979,
  author       = {Collin Beaudoin and
                  Koustubh Phalak and
                  Swaroop Ghosh},
  title        = {AltGraph: Redesigning Quantum Circuits Using Generative Graph Models
                  for Efficient Optimization},
  journal      = {CoRR},
  volume       = {abs/2403.12979},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.12979},
  doi          = {10.48550/ARXIV.2403.12979},
  eprinttype    = {arXiv},
  eprint       = {2403.12979},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-12979.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/PhalakG23,
  author       = {Koustubh Phalak and
                  Swaroop Ghosh},
  title        = {Shot Optimization in Quantum Machine Learning Architectures to Accelerate
                  Training},
  journal      = {{IEEE} Access},
  volume       = {11},
  pages        = {41514--41523},
  year         = {2023},
  url          = {https://doi.org/10.1109/ACCESS.2023.3270419},
  doi          = {10.1109/ACCESS.2023.3270419},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/PhalakG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/PhalakLG23,
  author       = {Koustubh Phalak and
                  Junde Li and
                  Swaroop Ghosh},
  title        = {Trainable PQC-Based {QRAM} for Quantum Storage},
  journal      = {{IEEE} Access},
  volume       = {11},
  pages        = {51892--51899},
  year         = {2023},
  url          = {https://doi.org/10.1109/ACCESS.2023.3278600},
  doi          = {10.1109/ACCESS.2023.3278600},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/PhalakLG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cryptography/NagarajanRTKG23,
  author       = {Karthikeyan Nagarajan and
                  Rupshali Roy and
                  Rasit Onur Topaloglu and
                  Sachhidh Kannan and
                  Swaroop Ghosh},
  title        = {{SCANN:} Side Channel Analysis of Spiking Neural Networks},
  journal      = {Cryptogr.},
  volume       = {7},
  number       = {2},
  pages        = {17},
  year         = {2023},
  url          = {https://doi.org/10.3390/cryptography7020017},
  doi          = {10.3390/CRYPTOGRAPHY7020017},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cryptography/NagarajanRTKG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/PhalakCG23,
  author       = {Koustubh Phalak and
                  Avimita Chatterjee and
                  Swaroop Ghosh},
  title        = {Quantum Random Access Memory for Dummies},
  journal      = {Sensors},
  volume       = {23},
  number       = {17},
  pages        = {7462},
  year         = {2023},
  url          = {https://doi.org/10.3390/s23177462},
  doi          = {10.3390/S23177462},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/PhalakCG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiAG23,
  author       = {Junde Li and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {Large-Scale Quantum Approximate Optimization via Divide-and-Conquer},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {6},
  pages        = {1852--1860},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3212196},
  doi          = {10.1109/TCAD.2022.3212196},
  timestamp    = {Fri, 02 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiAG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AlamKG23,
  author       = {Mahabubul Alam and
                  Satwik Kundu and
                  Swaroop Ghosh},
  editor       = {Atsushi Takahashi},
  title        = {Knowledge Distillation in Quantum Neural Network Using Approximate
                  Synthesis},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {639--644},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3567877},
  doi          = {10.1145/3566097.3567877},
  timestamp    = {Mon, 26 Jun 2023 20:46:40 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AlamKG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/qce/ChatterjeePG23,
  author       = {Avimita Chatterjee and
                  Koustubh Phalak and
                  Swaroop Ghosh},
  editor       = {Brian La Cour and
                  Lia Yeh and
                  Marek Osinski},
  title        = {Quantum Error Correction For Dummies},
  booktitle    = {{IEEE} International Conference on Quantum Computing and Engineering,
                  {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023},
  pages        = {70--81},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/QCE57702.2023.00017},
  doi          = {10.1109/QCE57702.2023.00017},
  timestamp    = {Fri, 15 Dec 2023 20:24:40 +0100},
  biburl       = {https://dblp.org/rec/conf/qce/ChatterjeePG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2304-12950,
  author       = {Koustubh Phalak and
                  Swaroop Ghosh},
  title        = {Shot Optimization in Quantum Machine Learning Architectures to Accelerate
                  Training},
  journal      = {CoRR},
  volume       = {abs/2304.12950},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2304.12950},
  doi          = {10.48550/ARXIV.2304.12950},
  eprinttype    = {arXiv},
  eprint       = {2304.12950},
  timestamp    = {Wed, 03 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2304-12950.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-01133,
  author       = {Subrata Das and
                  Swaroop Ghosh},
  title        = {Randomized Reversible Gate-Based Obfuscation for Secured Compilation
                  of Quantum Circuit},
  journal      = {CoRR},
  volume       = {abs/2305.01133},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.01133},
  doi          = {10.48550/ARXIV.2305.01133},
  eprinttype    = {arXiv},
  eprint       = {2305.01133},
  timestamp    = {Fri, 05 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-01133.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-01178,
  author       = {Koustubh Phalak and
                  Avimita Chatterjee and
                  Swaroop Ghosh},
  title        = {Quantum Random Access Memory For Dummies},
  journal      = {CoRR},
  volume       = {abs/2305.01178},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.01178},
  doi          = {10.48550/ARXIV.2305.01178},
  eprinttype    = {arXiv},
  eprint       = {2305.01178},
  timestamp    = {Fri, 05 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-01178.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-10473,
  author       = {Collin Beaudoin and
                  Koustubh Phalak and
                  Swaroop Ghosh},
  title        = {Predicting Side Effect of Drug Molecules using Recurrent Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2305.10473},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.10473},
  doi          = {10.48550/ARXIV.2305.10473},
  eprinttype    = {arXiv},
  eprint       = {2305.10473},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-10473.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-12320,
  author       = {Junde Li and
                  Swaroop Ghosh},
  title        = {Random Relabeling for Efficient Machine Unlearning},
  journal      = {CoRR},
  volume       = {abs/2305.12320},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.12320},
  doi          = {10.48550/ARXIV.2305.12320},
  eprinttype    = {arXiv},
  eprint       = {2305.12320},
  timestamp    = {Fri, 26 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-12320.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-03972,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jae{-}Won Jang and
                  Anirudh Iyengar and
                  Rekha Govindaraj and
                  Zakir Khondker},
  title        = {A Reference-less Slope Detection Technique in 65nm for Robust Sensing
                  of 1T1R Arrays},
  journal      = {CoRR},
  volume       = {abs/2306.03972},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.03972},
  doi          = {10.48550/ARXIV.2306.03972},
  eprinttype    = {arXiv},
  eprint       = {2306.03972},
  timestamp    = {Tue, 13 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-03972.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-16701,
  author       = {Subrata Das and
                  Swaroop Ghosh},
  title        = {TrojanNet: Detecting Trojans in Quantum Circuits using Machine Learning},
  journal      = {CoRR},
  volume       = {abs/2306.16701},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.16701},
  doi          = {10.48550/ARXIV.2306.16701},
  eprinttype    = {arXiv},
  eprint       = {2306.16701},
  timestamp    = {Mon, 03 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-16701.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2307-12449,
  author       = {Satwik Kundu and
                  Debarshi Kundu and
                  Swaroop Ghosh},
  title        = {{WEPRO:} Weight Prediction for Efficient Optimization of Hybrid Quantum-Classical
                  Algorithms},
  journal      = {CoRR},
  volume       = {abs/2307.12449},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2307.12449},
  doi          = {10.48550/ARXIV.2307.12449},
  eprinttype    = {arXiv},
  eprint       = {2307.12449},
  timestamp    = {Tue, 01 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2307-12449.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-10981,
  author       = {Subrata Das and
                  Swaroop Ghosh},
  title        = {Trojan Taxonomy in Quantum Computing},
  journal      = {CoRR},
  volume       = {abs/2309.10981},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.10981},
  doi          = {10.48550/ARXIV.2309.10981},
  eprinttype    = {arXiv},
  eprint       = {2309.10981},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-10981.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/Ash-SakiTG22,
  author       = {Abdullah Ash{-}Saki and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Shuttle-Exploiting Attacks and Their Defenses in Trapped-Ion Quantum
                  Computers},
  journal      = {{IEEE} Access},
  volume       = {10},
  pages        = {2686--2699},
  year         = {2022},
  url          = {https://doi.org/10.1109/ACCESS.2021.3139085},
  doi          = {10.1109/ACCESS.2021.3139085},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/Ash-SakiTG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/EnsanGMW22,
  author       = {Sina Sayyah Ensan and
                  Swaroop Ghosh and
                  Seyedhamidreza Motaman and
                  Derek Weast},
  title        = {Addressing Resiliency of In-Memory Floating Point Computation},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {9},
  pages        = {1172--1183},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2022.3170542},
  doi          = {10.1109/TVLSI.2022.3170542},
  timestamp    = {Thu, 22 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/EnsanGMW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Ash-SakiTG22,
  author       = {Abdullah Ash{-}Saki and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Muzzle the Shuttle: Efficient Compilation for Multi-Trap Trapped-Ion
                  Quantum Computers},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {322--327},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774619},
  doi          = {10.23919/DATE54114.2022.9774619},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/Ash-SakiTG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiG22,
  author       = {Junde Li and
                  Swaroop Ghosh},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Scalable Variational Quantum Circuits for Autoencoder-based Drug Discovery},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {340--345},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774564},
  doi          = {10.23919/DATE54114.2022.9774564},
  timestamp    = {Wed, 25 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NagarajanLEKKG22,
  author       = {Karthikeyan Nagarajan and
                  Junde Li and
                  Sina Sayyah Ensan and
                  Mohammad Nasim Imtiaz Khan and
                  Sachhidh Kannan and
                  Swaroop Ghosh},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Analysis of Power-Oriented Fault Injection Attacks on Spiking Neural
                  Networks},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {861--866},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774577},
  doi          = {10.23919/DATE54114.2022.9774577},
  timestamp    = {Wed, 25 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/NagarajanLEKKG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Ghosh22,
  author       = {Swaroop Ghosh},
  editor       = {Ioannis Savidis and
                  Avesta Sasan and
                  Himanshu Thapliyal and
                  Ronald F. DeMara},
  title        = {Session details: Session 5B: {VLSI} Design + {VLSI} Circuits and Power
                  Aware Design 2},
  booktitle    = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA,
                  June 6 - 8, 2022},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3542691},
  doi          = {10.1145/3542691},
  timestamp    = {Fri, 03 Jun 2022 08:45:20 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Ghosh22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/UpadhyayATG22,
  author       = {Suryansh Upadhyay and
                  Abdullah Ash{-}Saki and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  editor       = {Ioannis Savidis and
                  Avesta Sasan and
                  Himanshu Thapliyal and
                  Ronald F. DeMara},
  title        = {A Shuttle-Efficient Qubit Mapper for Trapped-Ion Quantum Computers},
  booktitle    = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA,
                  June 6 - 8, 2022},
  pages        = {305--308},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3526241.3530366},
  doi          = {10.1145/3526241.3530366},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/UpadhyayATG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/KunduG22,
  author       = {Satwik Kundu and
                  Swaroop Ghosh},
  editor       = {Ioannis Savidis and
                  Avesta Sasan and
                  Himanshu Thapliyal and
                  Ronald F. DeMara},
  title        = {Security Aspects of Quantum Machine Learning: Opportunities, Threats
                  and Defenses},
  booktitle    = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA,
                  June 6 - 8, 2022},
  pages        = {463--468},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3526241.3530833},
  doi          = {10.1145/3526241.3530833},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/KunduG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hasp/UpadhyayG22,
  author       = {Suryansh Upadhyay and
                  Swaroop Ghosh},
  title        = {Robust and Secure Hybrid Quantum-Classical Computation on Untrusted
                  Cloud-Based Quantum Hardware},
  booktitle    = {Proceedings of the 11th International Workshop on Hardware and Architectural
                  Support for Security and Privacy, {HASP} 2022, Chicago, IL, USA, 1
                  October 2022},
  pages        = {45--52},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3569562.3569569},
  doi          = {10.1145/3569562.3569569},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hasp/UpadhyayG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BeaudoinKTG22,
  author       = {Collin Beaudoin and
                  Satwik Kundu and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {Quantum Machine Learning for Material Synthesis and Hardware Security
                  (Invited Paper)},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {120:1--120:7},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3561115},
  doi          = {10.1145/3508352.3561115},
  timestamp    = {Tue, 06 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BeaudoinKTG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PhalakAATG22,
  author       = {Koustubh Phalak and
                  Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Optimization of Quantum Read-Only Memory Circuits},
  booktitle    = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022,
                  Olympic Valley, CA, USA, October 23-26, 2022},
  pages        = {117--123},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCD56317.2022.00027},
  doi          = {10.1109/ICCD56317.2022.00027},
  timestamp    = {Tue, 05 Dec 2023 14:45:33 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PhalakAATG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DeG22,
  author       = {Asmit De and
                  Swaroop Ghosh},
  title        = {HeapSafe: Securing Unprotected Heaps in {RISC-V}},
  booktitle    = {35th International Conference on {VLSI} Design and 2022 21st International
                  Conference on Embedded Systems, {VLSID} 2022, Bangalore, India, February
                  26 - March 2, 2022},
  pages        = {120--125},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSID2022.2022.00034},
  doi          = {10.1109/VLSID2022.2022.00034},
  timestamp    = {Thu, 29 Sep 2022 21:52:18 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/DeG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/AlamG22,
  author       = {Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {DeepQMLP: {A} Scalable Quantum-Classical Hybrid Deep Neural Network
                  Architecture for Classification},
  booktitle    = {35th International Conference on {VLSI} Design and 2022 21st International
                  Conference on Embedded Systems, {VLSID} 2022, Bangalore, India, February
                  26 - March 2, 2022},
  pages        = {275--280},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSID2022.2022.00060},
  doi          = {10.1109/VLSID2022.2022.00060},
  timestamp    = {Thu, 29 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/AlamG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SadiHLAKGBK22,
  author       = {Mehdi Sadi and
                  Yi He and
                  Yanjing Li and
                  Mahabubul Alam and
                  Satwik Kundu and
                  Swaroop Ghosh and
                  Javad Bahrami and
                  Naghmeh Karimi},
  title        = {Special Session: On the Reliability of Conventional and Quantum Neural
                  Network Hardware},
  booktitle    = {40th {IEEE} {VLSI} Test Symposium, {VTS} 2022, San Diego, CA, USA,
                  April 25-27, 2022},
  pages        = {1--12},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VTS52500.2021.9794194},
  doi          = {10.1109/VTS52500.2021.9794194},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SadiHLAKGBK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2022,
  editor       = {Hai Helen Li and
                  Charles Augustine and
                  Ayse Kivilcim Coskun and
                  Swaroop Ghosh},
  title        = {{ISLPED} '22: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, MA, USA, August 1 - 3, 2022},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3531437},
  doi          = {10.1145/3531437},
  isbn         = {978-1-4503-9354-6},
  timestamp    = {Mon, 15 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2202-01899,
  author       = {Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {DeepQMLP: {A} Scalable Quantum-Classical Hybrid DeepNeural Network
                  Architecture for Classification},
  journal      = {CoRR},
  volume       = {abs/2202.01899},
  year         = {2022},
  url          = {https://arxiv.org/abs/2202.01899},
  eprinttype    = {arXiv},
  eprint       = {2202.01899},
  timestamp    = {Thu, 10 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2202-01899.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2204-03625,
  author       = {Satwik Kundu and
                  Swaroop Ghosh},
  title        = {Security Aspects of Quantum Machine Learning: Opportunities, Threats
                  and Defenses},
  journal      = {CoRR},
  volume       = {abs/2204.03625},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2204.03625},
  doi          = {10.48550/ARXIV.2204.03625},
  eprinttype    = {arXiv},
  eprint       = {2204.03625},
  timestamp    = {Wed, 13 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-03625.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2204-04768,
  author       = {Karthikeyan Nagarajan and
                  Junde Li and
                  Sina Sayyah Ensan and
                  Mohammad Nasim Imtiaz Khan and
                  Sachhidh Kannan and
                  Swaroop Ghosh},
  title        = {Analysis of Power-Oriented Fault Injection Attacks on Spiking Neural
                  Networks},
  journal      = {CoRR},
  volume       = {abs/2204.04768},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2204.04768},
  doi          = {10.48550/ARXIV.2204.04768},
  eprinttype    = {arXiv},
  eprint       = {2204.04768},
  timestamp    = {Wed, 13 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-04768.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-01801,
  author       = {Mahabubul Alam and
                  Satwik Kundu and
                  Swaroop Ghosh},
  title        = {Knowledge Distillation in Quantum Neural Network using Approximate
                  Synthesis},
  journal      = {CoRR},
  volume       = {abs/2207.01801},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.01801},
  doi          = {10.48550/ARXIV.2207.01801},
  eprinttype    = {arXiv},
  eprint       = {2207.01801},
  timestamp    = {Wed, 06 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-01801.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-08273,
  author       = {Collin Beaudoin and
                  Satwik Kundu and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Quantum Machine Learning for Material Synthesis and Hardware Security},
  journal      = {CoRR},
  volume       = {abs/2208.08273},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.08273},
  doi          = {10.48550/ARXIV.2208.08273},
  eprinttype    = {arXiv},
  eprint       = {2208.08273},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-08273.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2210-14804,
  author       = {Koustubh Phalak and
                  Junde Li and
                  Swaroop Ghosh},
  title        = {Approximate Quantum Random Access Memory Architectures},
  journal      = {CoRR},
  volume       = {abs/2210.14804},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2210.14804},
  doi          = {10.48550/ARXIV.2210.14804},
  eprinttype    = {arXiv},
  eprint       = {2210.14804},
  timestamp    = {Fri, 28 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2210-14804.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2212-02404,
  author       = {Junde Li and
                  Collin Beaudoin and
                  Swaroop Ghosh},
  title        = {Energy-based Generative Models for Target-specific Drug Discovery},
  journal      = {CoRR},
  volume       = {abs/2212.02404},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2212.02404},
  doi          = {10.48550/ARXIV.2212.02404},
  eprinttype    = {arXiv},
  eprint       = {2212.02404},
  timestamp    = {Thu, 08 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2212-02404.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/PhalakAATG21,
  author       = {Koustubh Phalak and
                  Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Quantum {PUF} for Security and Trust in Quantum Computing},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {11},
  number       = {2},
  pages        = {333--342},
  year         = {2021},
  url          = {https://doi.org/10.1109/JETCAS.2021.3077024},
  doi          = {10.1109/JETCAS.2021.3077024},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esticas/PhalakAATG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/Ash-SakiKG21,
  author       = {Abdullah Ash{-}Saki and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Reconfigurable and Dense Analog Circuit Design Using Two Terminal
                  Resistive Memory},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {9},
  number       = {3},
  pages        = {1596--1608},
  year         = {2021},
  url          = {https://doi.org/10.1109/TETC.2019.2938440},
  doi          = {10.1109/TETC.2019.2938440},
  timestamp    = {Tue, 05 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/Ash-SakiKG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/EnsanG21,
  author       = {Sina Sayyah Ensan and
                  Swaroop Ghosh},
  title        = {ReLOPE: Resistive RAM-Based Linear First-Order Partial Differential
                  Equation Solver},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {1},
  pages        = {237--241},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2020.3035769},
  doi          = {10.1109/TVLSI.2020.3035769},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/EnsanG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/NagarajanAKDCG21,
  author       = {Karthikeyan Nagarajan and
                  Farid Uddin Ahmed and
                  Mohammad Nasim Imtiaz Khan and
                  Asmit De and
                  Masud H. Chowdhury and
                  Swaroop Ghosh},
  title        = {SecNVM: Power Side-Channel Elimination Using On-Chip Capacitors for
                  Highly Secure Emerging {NVM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {8},
  pages        = {1518--1528},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3087734},
  doi          = {10.1109/TVLSI.2021.3087734},
  timestamp    = {Thu, 12 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/NagarajanAKDCG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/EnsanNKG21,
  author       = {Sina Sayyah Ensan and
                  Karthikeyan Nagarajan and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {{SCARE:} Side Channel Attack on In-Memory Computing for Reverse Engineering},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {12},
  pages        = {2040--2051},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3110744},
  doi          = {10.1109/TVLSI.2021.3110744},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/EnsanNKG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiASWDG21,
  author       = {Junde Li and
                  Mahabubul Alam and
                  Congzhou M. Sha and
                  Jian Wang and
                  Nikolay V. Dokholyan and
                  Swaroop Ghosh},
  title        = {Invited: Drug Discovery Approaches using Quantum Machine Learning},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {1356--1359},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586268},
  doi          = {10.1109/DAC18074.2021.9586268},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiASWDG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/Ash-SakiAPSTG21,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Koustubh Phalak and
                  Aakarshitha Suresh and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {A Survey and Tutorial on Security and Resilience of Quantum Computing},
  booktitle    = {26th {IEEE} European Test Symposium, {ETS} 2021, Bruges, Belgium,
                  May 24-28, 2021},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ETS50041.2021.9465397},
  doi          = {10.1109/ETS50041.2021.9465397},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/Ash-SakiAPSTG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlamKTG21,
  author       = {Mahabubul Alam and
                  Satwik Kundu and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Quantum-Classical Hybrid Machine Learning for Image Classification
                  {(ICCAD} Special Session Paper)},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643516},
  doi          = {10.1109/ICCAD51958.2021.9643516},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AlamKTG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Ash-SakiSTG21,
  author       = {Abdullah Ash{-}Saki and
                  Aakarshitha Suresh and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Split Compilation for Security of Quantum Circuits},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643478},
  doi          = {10.1109/ICCAD51958.2021.9643478},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Ash-SakiSTG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Ash-SakiAG21,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {Impact of Noise on the Resilience and the Security of Quantum Computing},
  booktitle    = {22nd International Symposium on Quality Electronic Design, {ISQED}
                  2021, Santa Clara, CA, USA, April 7-9, 2021},
  pages        = {186--191},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISQED51717.2021.9424258},
  doi          = {10.1109/ISQED51717.2021.9424258},
  timestamp    = {Mon, 17 May 2021 16:05:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Ash-SakiAG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/SureshAATG21,
  author       = {Aakarshitha Suresh and
                  Abdullah Ash{-}Saki and
                  Mahababul Alam and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Short Paper: {A} Quantum Circuit Obfuscation Methodology for Security
                  and Privacy},
  booktitle    = {{HASP} '21: Workshop on Hardware and Architectural Support for Security
                  and Privacy, Virtual Event, 18 October 2021},
  pages        = {6:1--6:5},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3505253.3505260},
  doi          = {10.1145/3505253.3505260},
  timestamp    = {Wed, 22 Jun 2022 16:13:40 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/SureshAATG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2101-03438,
  author       = {Junde Li and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Quantum Generative Models for Small Molecule Drug Discovery},
  journal      = {CoRR},
  volume       = {abs/2101.03438},
  year         = {2021},
  url          = {https://arxiv.org/abs/2101.03438},
  eprinttype    = {arXiv},
  eprint       = {2101.03438},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2101-03438.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2102-13288,
  author       = {Junde Li and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {Large-scale Quantum Approximate Optimization via Divide-and-Conquer},
  journal      = {CoRR},
  volume       = {abs/2102.13288},
  year         = {2021},
  url          = {https://arxiv.org/abs/2102.13288},
  eprinttype    = {arXiv},
  eprint       = {2102.13288},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-13288.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-00746,
  author       = {Junde Li and
                  Mahabubul Alam and
                  Congzhou M. Sha and
                  Jian Wang and
                  Nikolay V. Dokholyan and
                  Swaroop Ghosh},
  title        = {Drug Discovery Approaches using Quantum Machine Learning},
  journal      = {CoRR},
  volume       = {abs/2104.00746},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.00746},
  eprinttype    = {arXiv},
  eprint       = {2104.00746},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-00746.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-05943,
  author       = {Aakarshitha Suresh and
                  Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Rasit o Topalaglu and
                  Swaroop Ghosh},
  title        = {A Quantum Circuit Obfuscation Methodology for Security and Privacy},
  journal      = {CoRR},
  volume       = {abs/2104.05943},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.05943},
  eprinttype    = {arXiv},
  eprint       = {2104.05943},
  timestamp    = {Mon, 19 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-05943.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-06401,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Comprehensive Study of Security and Privacy of Emerging Non-Volatile
                  Memories},
  journal      = {CoRR},
  volume       = {abs/2105.06401},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.06401},
  eprinttype    = {arXiv},
  eprint       = {2105.06401},
  timestamp    = {Tue, 18 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-06401.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-08712,
  author       = {Asmit De and
                  Swaroop Ghosh},
  title        = {HeapSafe: Securing Unprotected Heaps in {RISC-V}},
  journal      = {CoRR},
  volume       = {abs/2105.08712},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.08712},
  eprinttype    = {arXiv},
  eprint       = {2105.08712},
  timestamp    = {Mon, 31 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-08712.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-02862,
  author       = {Mahabubul Alam and
                  Satwik Kundu and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Quantum-Classical Hybrid Machine Learning for Image Classification
                  {(ICCAD} Special Session Paper)},
  journal      = {CoRR},
  volume       = {abs/2109.02862},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.02862},
  eprinttype    = {arXiv},
  eprint       = {2109.02862},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-02862.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2111-07961,
  author       = {Abdullah Ash{-}Saki and
                  Rasit Onur Topaloglu and
                  Swaroop Ghosh},
  title        = {Muzzle the Shuttle: Efficient Compilation for Multi-Trap Trapped-Ion
                  Quantum Computers},
  journal      = {CoRR},
  volume       = {abs/2111.07961},
  year         = {2021},
  url          = {https://arxiv.org/abs/2111.07961},
  eprinttype    = {arXiv},
  eprint       = {2111.07961},
  timestamp    = {Tue, 16 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2111-07961.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-12563,
  author       = {Junde Li and
                  Swaroop Ghosh},
  title        = {Scalable Variational Quantum Circuits for Autoencoder-based Drug Discovery},
  journal      = {CoRR},
  volume       = {abs/2112.12563},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.12563},
  eprinttype    = {arXiv},
  eprint       = {2112.12563},
  timestamp    = {Wed, 05 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-12563.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ChungCPG20,
  author       = {Jinil Chung and
                  Woong Choi and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Domain Wall Memory-Based Design of Deep Neural Network Convolutional
                  Layers},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {19783--19798},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.2968081},
  doi          = {10.1109/ACCESS.2020.2968081},
  timestamp    = {Tue, 03 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/ChungCPG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JangDVNGI20,
  author       = {Jae{-}Won Jang and
                  Asmit De and
                  Deepak Vontela and
                  Ithihasa Reddy Nirmala and
                  Swaroop Ghosh and
                  Anirudh Iyengar},
  title        = {Threshold-Defined Logic and Interconnect for Protection Against Reverse
                  Engineering},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {2},
  pages        = {308--320},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2018.2887056},
  doi          = {10.1109/TCAD.2018.2887056},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JangDVNGI20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DeBGJ20,
  author       = {Asmit De and
                  Aditya Basu and
                  Swaroop Ghosh and
                  Trent Jaeger},
  title        = {Hardware Assisted Buffer Protection Mechanisms for Embedded {RISC-V}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {4453--4465},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2984407},
  doi          = {10.1109/TCAD.2020.2984407},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/DeBGJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tdsc/GovindarajGK20,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh and
                  Srinivas Katkoori},
  title        = {Design, Analysis and Application of Embedded Resistive {RAM} Based
                  Strong Arbiter {PUF}},
  journal      = {{IEEE} Trans. Dependable Secur. Comput.},
  volume       = {17},
  number       = {6},
  pages        = {1232--1242},
  year         = {2020},
  url          = {https://doi.org/10.1109/TDSC.2018.2866425},
  doi          = {10.1109/TDSC.2018.2866425},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tdsc/GovindarajGK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/KhanG20,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Test Methodologies and Test-Time Compression for Emerging Non-Volatile
                  Memory},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {69},
  number       = {4},
  pages        = {1387--1397},
  year         = {2020},
  url          = {https://doi.org/10.1109/TR.2019.2919466},
  doi          = {10.1109/TR.2019.2919466},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tr/KhanG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DeKNG20,
  author       = {Asmit De and
                  Mohammad Nasim Imtiaz Khan and
                  Karthikeyan Nagarajan and
                  Swaroop Ghosh},
  title        = {HarTBleed: Using Hardware Trojans for Data Leakage Exploits},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {4},
  pages        = {968--979},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2961358},
  doi          = {10.1109/TVLSI.2019.2961358},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DeKNG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KhanDG20,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Asmit De and
                  Swaroop Ghosh},
  title        = {Cache-Out: Leaking Cache Memory Using Hardware Trojan},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {6},
  pages        = {1461--1470},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2020.2982188},
  doi          = {10.1109/TVLSI.2020.2982188},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KhanDG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/AlamAG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Design-Space Exploration of Quantum Approximate Optimization Algorithm
                  under Noise},
  booktitle    = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston,
                  MA, USA, March 22-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/CICC48029.2020.9075903},
  doi          = {10.1109/CICC48029.2020.9075903},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/AlamAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AlamAG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {An Efficient Circuit Compilation Flow for Quantum Approximate Optimization
                  Algorithm},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218558},
  doi          = {10.1109/DAC18072.2020.9218558},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AlamAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AlamAG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Accelerating Quantum Approximate Optimization Algorithm using Machine
                  Learning},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {686--689},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116348},
  doi          = {10.23919/DATE48585.2020.9116348},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AlamAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eccv/LiG20,
  author       = {Junde Li and
                  Swaroop Ghosh},
  editor       = {Andrea Vedaldi and
                  Horst Bischof and
                  Thomas Brox and
                  Jan{-}Michael Frahm},
  title        = {Quantum-Soft {QUBO} Suppression for Accurate Object Detection},
  booktitle    = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow,
                  UK, August 23-28, 2020, Proceedings, Part {XXIX}},
  series       = {Lecture Notes in Computer Science},
  volume       = {12374},
  pages        = {158--173},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-58526-6\_10},
  doi          = {10.1007/978-3-030-58526-6\_10},
  timestamp    = {Wed, 07 Oct 2020 19:50:12 +0200},
  biburl       = {https://dblp.org/rec/conf/eccv/LiG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GattuKDG20,
  author       = {Navyata Gattu and
                  Mohammad Nasim Imtiaz Khan and
                  Asmit De and
                  Swaroop Ghosh},
  title        = {Power Side Channel Attack Analysis and Detection},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {65:1--65:7},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415692},
  doi          = {10.1145/3400302.3415692},
  timestamp    = {Mon, 18 Jan 2021 09:56:56 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GattuKDG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlamALCG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Junde Li and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  title        = {Noise Resilient Compilation Policies for Quantum Approximate Optimization
                  Algorithm},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {155:1--155:7},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415745},
  doi          = {10.1145/3400302.3415745},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AlamALCG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/LiGG20,
  author       = {Junde Li and
                  Navyata Gattu and
                  Swaroop Ghosh},
  title        = {FAuto: An Efficient {GMM-HMM} {FPGA} Implementation for Behavior Estimation
                  in Autonomous Systems},
  booktitle    = {2020 International Joint Conference on Neural Networks, {IJCNN} 2020,
                  Glasgow, United Kingdom, July 19-24, 2020},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IJCNN48605.2020.9207313},
  doi          = {10.1109/IJCNN48605.2020.9207313},
  timestamp    = {Tue, 06 Oct 2020 15:44:04 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/LiGG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Ash-SakiAG20,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Analysis of crosstalk in {NISQ} devices and security implications
                  in multi-programming regime},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {25--30},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406570},
  doi          = {10.1145/3370748.3406570},
  timestamp    = {Tue, 05 Jul 2022 15:18:16 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Ash-SakiAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/QiuAAG20,
  author       = {Ling Qiu and
                  Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Resiliency analysis and improvement of variational quantum factoring
                  in superconducting qubit},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {229--234},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406586},
  doi          = {10.1145/3370748.3406586},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/QiuAAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KhanG20,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Multi-Bit Read and Write Methodologies for Diode-MTJ Crossbar Array},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {93--98},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9137015},
  doi          = {10.1109/ISQED48828.2020.9137015},
  timestamp    = {Wed, 22 Jul 2020 15:06:46 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KhanG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KhanCLAG20,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Chak Yuen Cheng and
                  Sung{-}Hao Lin and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {A Morphable Physically Unclonable Function and True Random Number
                  Generator using a Commercial Magnetic Memory},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {197},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9136975},
  doi          = {10.1109/ISQED48828.2020.9136975},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KhanCLAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Ash-SakiAG20,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {Improving Reliability of Quantum True Random Number Generator using
                  Machine Learning},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {273--279},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9137054},
  doi          = {10.1109/ISQED48828.2020.9137054},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Ash-SakiAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiAAG20,
  author       = {Junde Li and
                  Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Hierarchical Improvement of Quantum Approximate Optimization Algorithm
                  for Object Detection: (Invited Paper)},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9136973},
  doi          = {10.1109/ISQED48828.2020.9136973},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiAAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KhanG20,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Assuring Security and Reliability of Emerging Non-Volatile Memories},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325231},
  doi          = {10.1109/ITC44778.2020.9325231},
  timestamp    = {Mon, 25 Jan 2021 08:44:58 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KhanG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AlamAG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Circuit Compilation Methodologies for Quantum Approximate Optimization
                  Algorithm},
  booktitle    = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2020, Athens, Greece, October 17-21, 2020},
  pages        = {215--228},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MICRO50266.2020.00029},
  doi          = {10.1109/MICRO50266.2020.00029},
  timestamp    = {Tue, 17 Nov 2020 12:25:22 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/AlamAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/NagarajanDEAKG20,
  author       = {Karthikeyan Nagarajan and
                  Asmit De and
                  Sina Sayyah Ensan and
                  Abdullah Ash{-}Saki and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Recent Advances in Emerging Technology-based Security Primitives,
                  Attacks and Mitigation},
  booktitle    = {63rd {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2020, Springfield, MA, USA, August 9-12, 2020},
  pages        = {1104--1107},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MWSCAS48704.2020.9184637},
  doi          = {10.1109/MWSCAS48704.2020.9184637},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/NagarajanDEAKG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2001-00856,
  author       = {Karthikeyan Nagarajan and
                  Asmit De and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {TrappeD: {DRAM} Trojan Designs for Information Leakage and Fault Injection
                  Attacks},
  journal      = {CoRR},
  volume       = {abs/2001.00856},
  year         = {2020},
  url          = {http://arxiv.org/abs/2001.00856},
  eprinttype    = {arXiv},
  eprint       = {2001.00856},
  timestamp    = {Fri, 10 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2001-00856.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-01089,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Accelerating Quantum Approximate Optimization Algorithm using Machine
                  Learning},
  journal      = {CoRR},
  volume       = {abs/2002.01089},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.01089},
  eprinttype    = {arXiv},
  eprint       = {2002.01089},
  timestamp    = {Mon, 10 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-01089.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2004-12447,
  author       = {Ling Qiu and
                  Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Resiliency Analysis and Improvement of Variational Quantum Factoring
                  in Superconducting Qubit},
  journal      = {CoRR},
  volume       = {abs/2004.12447},
  year         = {2020},
  url          = {https://arxiv.org/abs/2004.12447},
  eprinttype    = {arXiv},
  eprint       = {2004.12447},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2004-12447.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2006-13095,
  author       = {Sina Sayyah Ensan and
                  Karthikeyan Nagarajan and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {{SCARE:} Side Channel Attack on In-Memory Computing for Reverse Engineering},
  journal      = {CoRR},
  volume       = {abs/2006.13095},
  year         = {2020},
  url          = {https://arxiv.org/abs/2006.13095},
  eprinttype    = {arXiv},
  eprint       = {2006.13095},
  timestamp    = {Wed, 01 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2006-13095.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-13992,
  author       = {Junde Li and
                  Swaroop Ghosh},
  title        = {Quantum-soft {QUBO} Suppression for Accurate Object Detection},
  journal      = {CoRR},
  volume       = {abs/2007.13992},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.13992},
  eprinttype    = {arXiv},
  eprint       = {2007.13992},
  timestamp    = {Mon, 03 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-13992.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-00648,
  author       = {Sina Sayyah Ensan and
                  Swaroop Ghosh and
                  Seyedhamidreza Motaman and
                  Derek Weast},
  title        = {Addressing Resiliency of In-Memory Floating Point Computation},
  journal      = {CoRR},
  volume       = {abs/2011.00648},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.00648},
  eprinttype    = {arXiv},
  eprint       = {2011.00648},
  timestamp    = {Fri, 06 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-00648.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/ShinC0G19,
  author       = {Dongyeob Shin and
                  Wonseok Choi and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Sensitivity-Based Error Resilient Techniques With Heterogeneous Multiply-Accumulate
                  Unit for Voltage Scalable Deep Neural Network Accelerators},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {9},
  number       = {3},
  pages        = {520--531},
  year         = {2019},
  url          = {https://doi.org/10.1109/JETCAS.2019.2933862},
  doi          = {10.1109/JETCAS.2019.2933862},
  timestamp    = {Tue, 09 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/ShinC0G19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/MotamanG019,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jongsun Park},
  title        = {A Perspective on Test Methodologies for Supervised Machine Learning
                  Accelerators},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {9},
  number       = {3},
  pages        = {562--569},
  year         = {2019},
  url          = {https://doi.org/10.1109/JETCAS.2019.2933678},
  doi          = {10.1109/JETCAS.2019.2933678},
  timestamp    = {Sat, 12 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/MotamanG019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BriskCCGGJ19,
  author       = {Philip Brisk and
                  Suman Chakraborty and
                  Claudionor Coelho and
                  Abdoulaye Gamati{\'{e}} and
                  Swaroop Ghosh and
                  Xun Jiao},
  title        = {{TCAD} {EIC} Message: February 2019},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {2},
  pages        = {197--198},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2890315},
  doi          = {10.1109/TCAD.2018.2890315},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BriskCCGGJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SrinivasaRLCGCG19,
  author       = {Srivatsa Rangachar Srinivasa and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Wei{-}Hao Chen and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Chang and
                  Swaroop Ghosh and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {{ROBIN:} Monolithic-3D {SRAM} for Enhanced Robustness with In-Memory
                  Computation Support},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {7},
  pages        = {2533--2545},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2897497},
  doi          = {10.1109/TCSI.2019.2897497},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/SrinivasaRLCGCG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/Ash-SakiLATGG19,
  author       = {Abdullah Ash{-}Saki and
                  Sung{-}Hao Lin and
                  Mahabubul Alam and
                  Sandeep Krishna Thirumala and
                  Sumeet Kumar Gupta and
                  Swaroop Ghosh},
  title        = {A Family of Compact Non-Volatile Flip-Flops With Ferroelectric {FET}},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {11},
  pages        = {4219--4229},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2927347},
  doi          = {10.1109/TCSI.2019.2927347},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/Ash-SakiLATGG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/MotamanGR19,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Nitin Rathi},
  title        = {Cache Bypassing and Checkpointing to Circumvent Data Security Attacks
                  on {STTRAM}},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {7},
  number       = {2},
  pages        = {262--270},
  year         = {2019},
  url          = {https://doi.org/10.1109/TETC.2017.2653813},
  doi          = {10.1109/TETC.2017.2653813},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/MotamanGR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChattopadhyayGB19,
  author       = {Anupam Chattopadhyay and
                  Swaroop Ghosh and
                  Wayne P. Burleson and
                  Debdeep Mukhopadhyay},
  title        = {Guest Editorial Special Section on Security Challenges and Solutions
                  With Emerging Computing Technologies},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {11},
  pages        = {2469--2472},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2945850},
  doi          = {10.1109/TVLSI.2019.2945850},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChattopadhyayGB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Ash-SakiAG19,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {{QURE:} Qubit Re-allocation in Noisy Intermediate-Scale Quantum Computers},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {141},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317888},
  doi          = {10.1145/3316781.3317888},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/Ash-SakiAG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChoiS0G19,
  author       = {Wonseok Choi and
                  Dongyeob Shin and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Sensitivity based Error Resilient Techniques for Energy Efficient
                  Deep Neural Network Accelerators},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {204},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317908},
  doi          = {10.1145/3316781.3317908},
  timestamp    = {Tue, 09 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChoiS0G19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DeBGJ19,
  author       = {Asmit De and
                  Aditya Basu and
                  Swaroop Ghosh and
                  Trent Jaeger},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {{FIXER:} Flow Integrity Extensions for Embedded {RISC-V}},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {348--353},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714980},
  doi          = {10.23919/DATE.2019.8714980},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DeBGJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KhanNG19,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Karthikeyan Nagarajan and
                  Swaroop Ghosh},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Hardware Trojans in Emerging Non-Volatile Memories},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {396--401},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714843},
  doi          = {10.23919/DATE.2019.8714843},
  timestamp    = {Mon, 20 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KhanNG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/drc/Ash-SakiAG19,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {True Random Number Generator using Superconducting Qubits},
  booktitle    = {Device Research Conference, {DRC} 2019, Ann Arbor, MI, USA, June 23-26,
                  2019},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/DRC46940.2019.9046456},
  doi          = {10.1109/DRC46940.2019.9046456},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/drc/Ash-SakiAG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AlamGH19,
  author       = {Mahabubul Alam and
                  Swaroop Ghosh and
                  Sujay S. Hosur},
  editor       = {Houman Homayoun and
                  Baris Taskin and
                  Tinoosh Mohsenin and
                  Weisheng Zhao},
  title        = {{TOIC:} Timing Obfuscated Integrated Circuits},
  booktitle    = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2019, Tysons Corner, VA, USA, May 9-11, 2019},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3299874.3318001},
  doi          = {10.1145/3299874.3318001},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AlamGH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/NagarajanKG19,
  author       = {Karthikeyan Nagarajan and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {{ENTT:} {A} Family of Emerging NVM-based Trojan Triggers},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2019, McLean, VA, USA, May 5-10, 2019},
  pages        = {51--60},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HST.2019.8740836},
  doi          = {10.1109/HST.2019.8740836},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/host/NagarajanKG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BhattacharjeeAA19,
  author       = {Debjyoti Bhattacharjee and
                  Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  editor       = {David Z. Pan},
  title        = {{MUQUT:} Multi-Constraint Quantum Circuit Mapping on {NISQ} Computers:
                  Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942132},
  doi          = {10.1109/ICCAD45719.2019.8942132},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BhattacharjeeAA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/EnsanG19,
  author       = {Sina Sayyah Ensan and
                  Swaroop Ghosh},
  title        = {{FPCAS:} In-Memory Floating Point Computations for Autonomous Systems},
  booktitle    = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest,
                  Hungary, July 14-19, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IJCNN.2019.8852109},
  doi          = {10.1109/IJCNN.2019.8852109},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/EnsanG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/NagarajanKEAG19,
  author       = {Karthikeyan Nagarajan and
                  Mohammad Nasim Imtiaz Khan and
                  Sina Sayyah Ensan and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  editor       = {Dimitris Gizopoulos and
                  Dan Alexandrescu and
                  Panagiota Papavramidou and
                  Michail Maniatakos},
  title        = {Meeting the Conflicting Goals of Low-Power and Resiliency Using Emerging
                  Memories : (Invited Paper)},
  booktitle    = {25th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019},
  pages        = {224--227},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IOLTS.2019.8854412},
  doi          = {10.1109/IOLTS.2019.8854412},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/NagarajanKEAG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AlamAG19,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Addressing Temporal Variations in Qubit Quality Metrics for Parameterized
                  Quantum Circuits},
  booktitle    = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISLPED.2019.8824907},
  doi          = {10.1109/ISLPED.2019.8824907},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AlamAG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NagarajanEKGC19,
  author       = {Karthikeyan Nagarajan and
                  Sina Sayyah Ensan and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh and
                  Anupam Chattopadhyay},
  title        = {{SHINE:} {A} Novel {SHA-3} Implementation Using ReRAM-based In-Memory
                  Computing},
  booktitle    = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISLPED.2019.8824979},
  doi          = {10.1109/ISLPED.2019.8824979},
  timestamp    = {Mon, 09 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NagarajanEKGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/NagarajanEMGC19,
  author       = {Karthikeyan Nagarajan and
                  Sina Sayyah Ensan and
                  Swagata Mandal and
                  Swaroop Ghosh and
                  Anupam Chattopadhyay},
  title        = {iMACE: In-Memory Acceleration of Classic McEliece Encoder},
  booktitle    = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019,
                  Miami, FL, USA, July 15-17, 2019},
  pages        = {513--518},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISVLSI.2019.00098},
  doi          = {10.1109/ISVLSI.2019.00098},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/NagarajanEMGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/AlamDNG19,
  author       = {Mahabubul Alam and
                  Yimin Ding and
                  Xingjie Ni and
                  Swaroop Ghosh},
  editor       = {Hoi Lee and
                  Randall L. Geiger},
  title        = {Logic Obfuscation using Metasurface Holography},
  booktitle    = {62nd {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2019, Dallas, TX, USA, August 4-7, 2019},
  pages        = {460--463},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MWSCAS.2019.8884968},
  doi          = {10.1109/MWSCAS.2019.8884968},
  timestamp    = {Wed, 06 Nov 2019 15:39:18 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/AlamDNG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1903-08684,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Addressing Temporal Variations in Qubit Quality Metrics for Parameterized
                  Quantum Circuits},
  journal      = {CoRR},
  volume       = {abs/1903.08684},
  year         = {2019},
  url          = {http://arxiv.org/abs/1903.08684},
  eprinttype    = {arXiv},
  eprint       = {1903.08684},
  timestamp    = {Mon, 01 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1903-08684.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1904-04323,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  title        = {Study of Decoherence in Quantum Computers: {A} Circuit-Design Perspective},
  journal      = {CoRR},
  volume       = {abs/1904.04323},
  year         = {2019},
  url          = {http://arxiv.org/abs/1904.04323},
  eprinttype    = {arXiv},
  eprint       = {1904.04323},
  timestamp    = {Thu, 25 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1904-04323.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1904-07144,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Asmit De and
                  Swaroop Ghosh},
  title        = {RF-Trojan: Leaking Kernel Data Using Register File Trojan},
  journal      = {CoRR},
  volume       = {abs/1904.07144},
  year         = {2019},
  url          = {http://arxiv.org/abs/1904.07144},
  eprinttype    = {arXiv},
  eprint       = {1904.07144},
  timestamp    = {Thu, 25 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1904-07144.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-09631,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {Analysis of Quantum Approximate Optimization Algorithm under Realistic
                  Noise in Superconducting Qubits},
  journal      = {CoRR},
  volume       = {abs/1907.09631},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.09631},
  eprinttype    = {arXiv},
  eprint       = {1907.09631},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-09631.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/MotamanGK18,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jaydeep Kulkarni},
  title        = {Impact of Process Variation on Self-Reference Sensing Scheme and Adaptive
                  Current Modulation for Robust {STTRAM} Sensing},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {14},
  number       = {1},
  pages        = {8:1--8:17},
  year         = {2018},
  url          = {https://doi.org/10.1145/3132577},
  doi          = {10.1145/3132577},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/MotamanGK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/IyengarGR18,
  author       = {Anirudh Srikant Iyengar and
                  Swaroop Ghosh and
                  Nitin Rathi},
  title        = {Magnetic Tunnel Junction Reliability Assessment Under Process Variations
                  and Activity Factors and Mitigation Techniques},
  journal      = {J. Low Power Electron.},
  volume       = {14},
  number       = {2},
  pages        = {217--226},
  year         = {2018},
  url          = {https://doi.org/10.1166/jolpe.2018.1560},
  doi          = {10.1166/JOLPE.2018.1560},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/IyengarGR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/MotamanGK18,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jaydeep P. Kulkarni},
  title        = {{VFAB:} {A} Novel 2-Stage {STTRAM} Sensing Using Voltage Feedback
                  and Boosting},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {65-I},
  number       = {6},
  pages        = {1919--1928},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCSI.2017.2766058},
  doi          = {10.1109/TCSI.2017.2766058},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/MotamanGK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KhanIG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Anirudh Iyengar and
                  Swaroop Ghosh},
  title        = {Novel Magnetic Burn-In for Retention and Magnetic Tolerance Testing
                  of {STTRAM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {8},
  pages        = {1508--1517},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2820508},
  doi          = {10.1109/TVLSI.2018.2820508},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KhanIG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GovindarajGK18,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh and
                  Srinivas Katkoori},
  title        = {CSRO-Based Reconfigurable True Random Number Generator Using {RRAM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2661--2670},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2018.2823274},
  doi          = {10.1109/TVLSI.2018.2823274},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GovindarajGK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MotamanKG18,
  author       = {Seyedhamidreza Motaman and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Novel application of spintronics in computing, sensing, storage and
                  cybersecurity},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {125--130},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8341991},
  doi          = {10.23919/DATE.2018.8341991},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MotamanKG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/DeIKLTGG18,
  author       = {Asmit De and
                  Anirudh Iyengar and
                  Mohammad Nasim Imtiaz Khan and
                  Sung{-}Hao Lin and
                  Sandeep Krishna Thirumala and
                  Swaroop Ghosh and
                  Sumeet Kumar Gupta},
  title        = {{CTCG:} Charge-trap based camouflaged gates for reverse engineering
                  prevention},
  booktitle    = {2018 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2018, Washington, DC, USA, April 30 - May 4, 2018},
  pages        = {103--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/HST.2018.8383897},
  doi          = {10.1109/HST.2018.8383897},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/DeIKLTGG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Analysis of Row Hammer Attack on {STTRAM}},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {75--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00021},
  doi          = {10.1109/ICCD.2018.00021},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MotamanG18,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh},
  title        = {Dynamic Computing in Memory {(DCIM)} in Resistive Crossbar Arrays},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {179--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00036},
  doi          = {10.1109/ICCD.2018.00036},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MotamanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Ash-SakiG18,
  author       = {Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  title        = {How Multi-Threshold Designs Can Protect Analog IPs},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {464--471},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00075},
  doi          = {10.1109/ICCD.2018.00075},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Ash-SakiG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  editor       = {Jakub Szefer and
                  Weidong Shi and
                  Ruby B. Lee},
  title        = {Fault injection attacks on emerging non-volatile memory and countermeasures},
  booktitle    = {Proceedings of the 7th International Workshop on Hardware and Architectural
                  Support for Security and Privacy, HASP@ISCA 2018, Los Angeles, CA,
                  USA, June 02-02, 2018},
  pages        = {10:1--10:8},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3214292.3214302},
  doi          = {10.1145/3214292.3214302},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IyengarVNGMJ18,
  author       = {Anirudh Srikant Iyengar and
                  Deepak Vontela and
                  Ithihasa Reddy Nirmala and
                  Swaroop Ghosh and
                  Seyedhamidreza Motaman and
                  Jae{-}Won Jang},
  title        = {Threshold Defined Camouflaged Gates in 65nm Technology for Reverse
                  Engineering Protection},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {6:1--6:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218641},
  doi          = {10.1145/3218603.3218641},
  timestamp    = {Fri, 30 Nov 2018 02:24:56 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IyengarVNGMJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Information Leakage Attacks on Emerging Non-Volatile Memory and Countermeasures},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {25:1--25:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218649},
  doi          = {10.1145/3218603.3218649},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SrinivasaRLCHYS18,
  author       = {Srivatsa Rangachar Srinivasa and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Wei{-}Hao Chen and
                  Fu{-}Kuo Hsueh and
                  Chih{-}Chao Yang and
                  Chang{-}Hong Shen and
                  Jia{-}Min Shieh and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Marvin Chang and
                  Swaroop Ghosh and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {A Monolithic-3D {SRAM} Design with Enhanced Robustness and In-Memory
                  Computation Support},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218645},
  doi          = {10.1145/3218603.3218645},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SrinivasaRLCHYS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Test of Supply Noise for Emerging Non-Volatile Memory},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624896},
  doi          = {10.1109/TEST.2018.8624896},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Test challenges and solutions for emerging non-volatile memories},
  booktitle    = {36th {IEEE} {VLSI} Test Symposium, {VTS} 2018, San Francisco, CA,
                  USA, April 22-25, 2018},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VTS.2018.8368632},
  doi          = {10.1109/VTS.2018.8368632},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/GovindarajG17,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh},
  title        = {Design and Analysis of STTRAM-Based Ternary Content Addressable Memory
                  Cell},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {13},
  number       = {4},
  pages        = {52:1--52:22},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060578},
  doi          = {10.1145/3060578},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/GovindarajG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/DeK0G17,
  author       = {Asmit De and
                  Mohammad Nasim Imtiaz Khan and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Replacing eFlash with {STTRAM} in IoTs: Security Challenges and Solutions},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {4},
  pages        = {328--339},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0026-x},
  doi          = {10.1007/S41635-017-0026-X},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/DeK0G17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/Ghosh017,
  author       = {Swaroop Ghosh and
                  Xin Li},
  title        = {Session 13 - Security circuits and systems},
  booktitle    = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin,
                  TX, USA, April 30 - May 3, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/CICC.2017.7993613},
  doi          = {10.1109/CICC.2017.7993613},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/Ghosh017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AluruG17,
  author       = {Radha Krishna Aluru and
                  Swaroop Ghosh},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Droop mitigating last level cache architecture for {STTRAM}},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {262--265},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7926994},
  doi          = {10.23919/DATE.2017.7926994},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AluruG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KhanIG17,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Anirudh Srikant Iyengar and
                  Swaroop Ghosh},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Novel magnetic burn-in for retention testing of {STTRAM}},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {666--669},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927073},
  doi          = {10.23919/DATE.2017.7927073},
  timestamp    = {Mon, 14 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KhanIG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/DeG17,
  author       = {Asmit De and
                  Swaroop Ghosh},
  title        = {Threshold voltage defined multi-input complex gates},
  booktitle    = {2017 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2017, McLean, VA, USA, May 1-5, 2017},
  pages        = {164},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HST.2017.7951828},
  doi          = {10.1109/HST.2017.7951828},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/DeG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhanBYCG17,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Shivam Bhasin and
                  Alex Yuan and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  title        = {Side-Channel Attack on {STTRAM} Based Cache for Cryptographic Application},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.14},
  doi          = {10.1109/ICCD.2017.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhanBYCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HolstJG17,
  author       = {Alexander Holst and
                  Jae{-}Won Jang and
                  Swaroop Ghosh},
  title        = {Investigation of magnetic field attacks on commercial Magneto-Resistive
                  Random Access Memory},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {155--160},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918309},
  doi          = {10.1109/ISQED.2017.7918309},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HolstJG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VontelaG17,
  author       = {Deepakreddy Vontela and
                  Swaroop Ghosh},
  title        = {Methodologies to exploit {ATPG} tools for de-camouflaging},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {250--256},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918324},
  doi          = {10.1109/ISQED.2017.7918324},
  timestamp    = {Thu, 11 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VontelaG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/JangG17,
  author       = {Jae{-}Won Jang and
                  Swaroop Ghosh},
  title        = {A Novel Interconnect Camouflaging Technique using Transistor Threshold
                  Voltage},
  journal      = {CoRR},
  volume       = {abs/1705.02707},
  year         = {2017},
  url          = {http://arxiv.org/abs/1705.02707},
  eprinttype    = {arXiv},
  eprint       = {1705.02707},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/JangG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/IyengarGS16,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh and
                  Srikant Srinivasan},
  title        = {Retention Testing Methodology for {STTRAM}},
  journal      = {{IEEE} Des. Test},
  volume       = {33},
  number       = {5},
  pages        = {7--15},
  year         = {2016},
  url          = {https://doi.org/10.1109/MDAT.2016.2591554},
  doi          = {10.1109/MDAT.2016.2591554},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/IyengarGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/GhoshJSL16,
  author       = {Swaroop Ghosh and
                  Rajiv V. Joshi and
                  Dinesh Somasekhar and
                  Xin Li},
  title        = {Guest Editorial Emerging Memories - Technology, Architecture and Applications
                  (First Issue)},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {6},
  number       = {2},
  pages        = {105--108},
  year         = {2016},
  url          = {https://doi.org/10.1109/JETCAS.2016.2571858},
  doi          = {10.1109/JETCAS.2016.2571858},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/GhoshJSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/GhoshJSL16a,
  author       = {Swaroop Ghosh and
                  Rajiv V. Joshi and
                  Dinesh Somasekhar and
                  Xin Li},
  title        = {Guest Editorial Emerging Memories - Technology, Architecture and Applications
                  (Second Issue)},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {6},
  number       = {3},
  pages        = {261--264},
  year         = {2016},
  url          = {https://doi.org/10.1109/JETCAS.2016.2598690},
  doi          = {10.1109/JETCAS.2016.2598690},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/GhoshJSL16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/GhoshIMGJCPLJS16,
  author       = {Swaroop Ghosh and
                  Anirudh Iyengar and
                  Seyedhamidreza Motaman and
                  Rekha Govindaraj and
                  Jae{-}Won Jang and
                  Jinil Chung and
                  Jongsun Park and
                  Xin Li and
                  Rajiv V. Joshi and
                  Dinesh Somasekhar},
  title        = {Overview of Circuits, Systems, and Applications of Spintronics},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {6},
  number       = {3},
  pages        = {265--278},
  year         = {2016},
  url          = {https://doi.org/10.1109/JETCAS.2016.2601310},
  doi          = {10.1109/JETCAS.2016.2601310},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esticas/GhoshIMGJCPLJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/IyengarGRJL16,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh and
                  Kenneth Ramclam and
                  Jae{-}Won Jang and
                  Cheng{-}Wei Lin},
  title        = {Spintronic PUFs for Security, Trust, and Authentication},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {13},
  number       = {1},
  pages        = {4:1--4:15},
  year         = {2016},
  url          = {https://doi.org/10.1145/2809781},
  doi          = {10.1145/2809781},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/IyengarGRJL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/GhoshR16,
  author       = {Swaroop Ghosh and
                  Kenneth Ramclam},
  title        = {Robust Self-Collapsing Level-Shifter for Wide Voltage Operation},
  journal      = {J. Low Power Electron.},
  volume       = {12},
  number       = {2},
  pages        = {117--123},
  year         = {2016},
  url          = {https://doi.org/10.1166/jolpe.2016.1428},
  doi          = {10.1166/JOLPE.2016.1428},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/GhoshR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/Ghosh16,
  author       = {Swaroop Ghosh},
  title        = {Spintronics and Security: Prospects, Vulnerabilities, Attack Models,
                  and Preventions},
  journal      = {Proc. {IEEE}},
  volume       = {104},
  number       = {10},
  pages        = {1864--1893},
  year         = {2016},
  url          = {https://doi.org/10.1109/JPROC.2016.2583419},
  doi          = {10.1109/JPROC.2016.2583419},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/Ghosh16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ChungRPG16,
  author       = {Jinil Chung and
                  Kenneth Ramclam and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Exploiting Serial Access and Asymmetric Read/Write of Domain Wall
                  Memory for Area and Energy-Efficient Digital Signal Processor Design},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {63-I},
  number       = {1},
  pages        = {91--102},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSI.2015.2497558},
  doi          = {10.1109/TCSI.2015.2497558},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/ChungRPG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MotamanG16,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh},
  title        = {Adaptive Write and Shift Current Modulation for Process Variation
                  Tolerance in Domain Wall Caches},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {3},
  pages        = {944--953},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2437283},
  doi          = {10.1109/TVLSI.2015.2437283},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MotamanG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RathiGIN16,
  author       = {Nitin Rathi and
                  Swaroop Ghosh and
                  Anirudh Iyengar and
                  Helia Naeimi},
  title        = {Data privacy in non-volatile cache: Challenges, attack models and
                  solutions},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {348--353},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428036},
  doi          = {10.1109/ASPDAC.2016.7428036},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/RathiGIN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/IyengarGRN16,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh and
                  Nitin Rathi and
                  Helia Naeimi},
  title        = {Side channel attacks on {STTRAM} and low-overhead countermeasures},
  booktitle    = {2016 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA,
                  September 19-20, 2016},
  pages        = {141--146},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/DFT.2016.7684086},
  doi          = {10.1109/DFT.2016.7684086},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/IyengarGRN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/NirmalaVGI16,
  author       = {Ithihasa Reddy Nirmala and
                  Deepak Vontela and
                  Swaroop Ghosh and
                  Anirudh Iyengar},
  title        = {A novel threshold voltage defined switch for circuit camouflaging},
  booktitle    = {21th {IEEE} European Test Symposium, {ETS} 2016, Amsterdam, Netherlands,
                  May 23-27, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ETS.2016.7519286},
  doi          = {10.1109/ETS.2016.7519286},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/NirmalaVGI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GhoshKDJ16,
  author       = {Swaroop Ghosh and
                  Mohammad Nasim Imtiaz Khan and
                  Asmit De and
                  Jae{-}Won Jang},
  editor       = {Frank Liu},
  title        = {Security and privacy threats to on-chip non-volatile memories and
                  countermeasures},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2980064},
  doi          = {10.1145/2966986.2980064},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/GhoshKDJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GovindarajG16,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh},
  title        = {A strong arbiter {PUF} using resistive {RAM} within 1T-1R memory architecture},
  booktitle    = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016,
                  Scottsdale, AZ, USA, October 2-5, 2016},
  pages        = {141--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICCD.2016.7753272},
  doi          = {10.1109/ICCD.2016.7753272},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GovindarajG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JangG16,
  author       = {Jae{-}Won Jang and
                  Swaroop Ghosh},
  title        = {Performance Impact of Magnetic and Thermal Attack on {STTRAM} and
                  Low-Overhead Mitigation Techniques},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {136--141},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934614},
  doi          = {10.1145/2934583.2934614},
  timestamp    = {Tue, 06 Nov 2018 16:59:21 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JangG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChungPG16,
  author       = {Jinil Chung and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Domain Wall Memory based Convolutional Neural Networks for Bit-width
                  Extendability and Energy-Efficiency},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {332--337},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934602},
  doi          = {10.1145/2934583.2934602},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChungPG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/GhoshG16,
  author       = {Swaroop Ghosh and
                  Rekha Govindaraj},
  editor       = {Walid A. Najjar and
                  Andreas Gerstlauer},
  title        = {A strong arbiter {PUF} using resistive {RAM}},
  booktitle    = {International Conference on Embedded Computer Systems: Architectures,
                  Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island,
                  Greece, July 17-21, 2016},
  pages        = {275--280},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SAMOS.2016.7818358},
  doi          = {10.1109/SAMOS.2016.7818358},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/GhoshG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/RathiDNG16,
  author       = {Nitin Rathi and
                  Asmit De and
                  Helia Naeimi and
                  Swaroop Ghosh},
  title        = {Cache Bypassing and Checkpointing to Circumvent Data Security Attacks
                  on {STTRAM}},
  journal      = {CoRR},
  volume       = {abs/1603.06227},
  year         = {2016},
  url          = {http://arxiv.org/abs/1603.06227},
  eprinttype    = {arXiv},
  eprint       = {1603.06227},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/RathiDNG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/RathiNG16,
  author       = {Nitin Rathi and
                  Helia Naeimi and
                  Swaroop Ghosh},
  title        = {Side Channel Attacks on {STTRAM} and Low-Overhead Countermeasures},
  journal      = {CoRR},
  volume       = {abs/1603.06675},
  year         = {2016},
  url          = {http://arxiv.org/abs/1603.06675},
  eprinttype    = {arXiv},
  eprint       = {1603.06675},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/RathiNG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/DeKG16,
  author       = {Asmit De and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Attack resilient architecture to replace embedded Flash with {STTRAM}
                  in homogeneous IoTs},
  journal      = {CoRR},
  volume       = {abs/1606.00467},
  year         = {2016},
  url          = {http://arxiv.org/abs/1606.00467},
  eprinttype    = {arXiv},
  eprint       = {1606.00467},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/DeKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/KhanGAJ16,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh and
                  Radha Krishna Aluru and
                  Rashmi Jha},
  title        = {Multi-Bit Read and Write Methodologies for Diode-STTRAM Crossbar Array},
  journal      = {CoRR},
  volume       = {abs/1606.00470},
  year         = {2016},
  url          = {http://arxiv.org/abs/1606.00470},
  eprinttype    = {arXiv},
  eprint       = {1606.00470},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/KhanGAJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/GhoshBB15,
  author       = {Swaroop Ghosh and
                  Abhishek Basak and
                  Swarup Bhunia},
  title        = {How Secure Are Printed Circuit Boards Against Trojan Attacks?},
  journal      = {{IEEE} Des. Test},
  volume       = {32},
  number       = {2},
  pages        = {7--16},
  year         = {2015},
  url          = {https://doi.org/10.1109/MDAT.2014.2347918},
  doi          = {10.1109/MDAT.2014.2347918},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/GhoshBB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/IyengarGR15,
  author       = {Anirudh Srikant Iyengar and
                  Swaroop Ghosh and
                  Kenneth Ramclam},
  title        = {Domain Wall Magnets for Embedded Memory and Hardware Security},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {5},
  number       = {1},
  pages        = {40--50},
  year         = {2015},
  url          = {https://doi.org/10.1109/JETCAS.2015.2398232},
  doi          = {10.1109/JETCAS.2015.2398232},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/IyengarGR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HamzaogluABGLLMOPTWZ15,
  author       = {Fatih Hamzaoglu and
                  Umut Arslan and
                  Nabhendra Bisnik and
                  Swaroop Ghosh and
                  Manoj B. Lal and
                  Nick Lindert and
                  Mesut Meterelliyoz and
                  Randy B. Osborne and
                  Joodong Park and
                  Shigeki Tomishima and
                  Yih Wang and
                  Kevin Zhang},
  title        = {A 1 Gb 2 GHz 128 GB/s Bandwidth Embedded {DRAM} in 22 nm Tri-Gate
                  {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {50},
  number       = {1},
  pages        = {150--157},
  year         = {2015},
  url          = {https://doi.org/10.1109/JSSC.2014.2353793},
  doi          = {10.1109/JSSC.2014.2353793},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HamzaogluABGLLMOPTWZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/KaramPGB15,
  author       = {Robert Karam and
                  Ruchir Puri and
                  Swaroop Ghosh and
                  Swarup Bhunia},
  title        = {Emerging Trends in Design and Applications of Memory-Based Computing
                  and Content-Addressable Memories},
  journal      = {Proc. {IEEE}},
  volume       = {103},
  number       = {8},
  pages        = {1311--1330},
  year         = {2015},
  url          = {https://doi.org/10.1109/JPROC.2015.2434888},
  doi          = {10.1109/JPROC.2015.2434888},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/KaramPGB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/IyengarGJ15,
  author       = {Anirudh Srikant Iyengar and
                  Swaroop Ghosh and
                  Jae{-}Won Jang},
  title        = {MTJ-Based State Retentive Flip-Flop With Enhanced-Scan Capability
                  to Sustain Sudden Power Failure},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {62-I},
  number       = {8},
  pages        = {2062--2068},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSI.2015.2440738},
  doi          = {10.1109/TCSI.2015.2440738},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/IyengarGJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChungRPG15,
  author       = {Jinil Chung and
                  Kenneth Ramclam and
                  Jongsun Park and
                  Swaroop Ghosh},
  title        = {Domain wall memory based digital signal processors for area and energy-efficiency},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {64:1--64:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744825},
  doi          = {10.1145/2744769.2744825},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChungRPG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JangPGB15,
  author       = {Jae{-}Won Jang and
                  Jongsun Park and
                  Swaroop Ghosh and
                  Swarup Bhunia},
  title        = {Self-correcting {STTRAM} under magnetic field attacks},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {77:1--77:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744909},
  doi          = {10.1145/2744769.2744909},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JangPGB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MotamanGR15,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Nitin Rathi},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Impact of process-variations in {STTRAM} and adaptive boosting for
                  robustness},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {1431--1436},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2757144},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MotamanGR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/LinG15,
  author       = {Cheng{-}Wei Lin and
                  Swaroop Ghosh},
  title        = {A family of Schmitt-Trigger-based arbiter-PUFs and selective challenge-pruning
                  for robustness and quality},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2015, Washington, DC, USA, 5-7 May, 2015},
  pages        = {32--37},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/HST.2015.7140232},
  doi          = {10.1109/HST.2015.7140232},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/LinG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MotamanGK15,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jaydeep P. Kulkarni},
  title        = {A novel slope detection technique for robust {STTRAM} sensing},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273482},
  doi          = {10.1109/ISLPED.2015.7273482},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MotamanGK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GovindarajG15,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh},
  title        = {Design and analysis of 6-T 2-MTJ ternary Content Addressable Memory},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {309--314},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273532},
  doi          = {10.1109/ISLPED.2015.7273532},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GovindarajG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JangG15,
  author       = {Jae{-}Won Jang and
                  Swaroop Ghosh},
  title        = {Design and analysis of novel {SRAM} PUFs with embedded latch for robustness},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {298--302},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085443},
  doi          = {10.1109/ISQED.2015.7085443},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JangG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinG15,
  author       = {Cheng{-}Wei Lin and
                  Swaroop Ghosh},
  title        = {Novel self-calibrating recycling sensor using Schmitt-Trigger and
                  voltage boosting for fine-grained detection},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {465--469},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085470},
  doi          = {10.1109/ISQED.2015.7085470},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/GhoshG15,
  author       = {Swaroop Ghosh and
                  Rekha Govindaraj},
  title        = {Spintronics for associative computation and hardware security},
  booktitle    = {{IEEE} 58th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/MWSCAS.2015.7282212},
  doi          = {10.1109/MWSCAS.2015.7282212},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/GhoshG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/LinJG15,
  author       = {Cheng{-}Wei Lin and
                  Jae{-}Won Jang and
                  Swaroop Ghosh},
  title        = {Schmitt-Trigger-based Recycling Sensor and Robust and High-Quality
                  PUFs for Counterfeit {IC} Detection},
  journal      = {CoRR},
  volume       = {abs/1505.03213},
  year         = {2015},
  url          = {http://arxiv.org/abs/1505.03213},
  eprinttype    = {arXiv},
  eprint       = {1505.03213},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/LinJG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/IyengarG15,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh},
  title        = {Threshold Voltage-Defined Switches for Programmable Gates},
  journal      = {CoRR},
  volume       = {abs/1512.01581},
  year         = {2015},
  url          = {http://arxiv.org/abs/1512.01581},
  eprinttype    = {arXiv},
  eprint       = {1512.01581},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/IyengarG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/DasG14,
  author       = {Jayita Das and
                  Swaroop Ghosh},
  title        = {Energy Barrier Model of {SRAM} for Improved Energy and Error Rates},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {8},
  pages        = {2299--2308},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2014.2333356},
  doi          = {10.1109/TCSI.2014.2333356},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/DasG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/Ghosh14,
  author       = {Swaroop Ghosh},
  title        = {Modeling of Retention Time for High-Speed Embedded Dynamic Random
                  Access Memories},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {9},
  pages        = {2596--2604},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2014.2312481},
  doi          = {10.1109/TCSI.2014.2312481},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/Ghosh14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/IyengarG14,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh},
  title        = {Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power
                  Embedded Memory},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {65:1--65:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593161},
  doi          = {10.1145/2593069.2593161},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/IyengarG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MotamanG14,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh},
  title        = {Simultaneous Sizing, Reference Voltage and Clamp Voltage Biasing for
                  Robustness, Self-Calibration and Testability of {STTRAM} Arrays},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {66:1--66:2},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593216},
  doi          = {10.1145/2593069.2593216},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MotamanG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RamclamG14,
  author       = {Kenneth Ramclam and
                  Swaroop Ghosh},
  editor       = {Joseph R. Cavallaro and
                  Tong Zhang and
                  Alex K. Jones and
                  Hai (Helen) Li},
  title        = {Design and analysis of robust and wide operating low-power level-shifter
                  for embedded dynamic random access memory},
  booktitle    = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX,
                  {USA} - May 21 - 23, 2014},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2591513.2591533},
  doi          = {10.1145/2591513.2591533},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RamclamG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/IyengarRG14,
  author       = {Anirudh Iyengar and
                  Kenneth Ramclam and
                  Swaroop Ghosh},
  title        = {{DWM-PUF:} {A} low-overhead, memory-based security primitive},
  booktitle    = {2014 {IEEE} International Symposium on Hardware-Oriented Security
                  and Trust, {HOST} 2014, Arlington, VA, USA, May 6-7, 2014},
  pages        = {154--159},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HST.2014.6855587},
  doi          = {10.1109/HST.2014.6855587},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/IyengarRG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MotamanIG14,
  author       = {Seyedhamidreza Motaman and
                  Anirudh Iyengar and
                  Swaroop Ghosh},
  editor       = {Yuan Xie and
                  Tanay Karnik and
                  Muhammad M. Khellah and
                  Renu Mehra},
  title        = {Synergistic circuit and system design for energy-efficient and robust
                  domain wall caches},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'14,
                  La Jolla, CA, {USA} - August 11 - 13, 2014},
  pages        = {195--200},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2627369.2627643},
  doi          = {10.1145/2627369.2627643},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MotamanIG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HamzaogluABGLLM14,
  author       = {Fatih Hamzaoglu and
                  Umut Arslan and
                  Nabhendra Bisnik and
                  Swaroop Ghosh and
                  Manoj B. Lal and
                  Nick Lindert and
                  Mesut Meterelliyoz and
                  Randy B. Osborne and
                  Joodong Park and
                  Shigeki Tomishima and
                  Yih Wang and
                  Kevin Zhang},
  title        = {13.1 {A} 1Gb 2GHz embedded {DRAM} in 22nm tri-gate {CMOS} technology},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {230--231},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757412},
  doi          = {10.1109/ISSCC.2014.6757412},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HamzaogluABGLLM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Ghosh14,
  author       = {Swaroop Ghosh},
  title        = {Tutorial {T6B:} Embedded Memory Design for Future Technologies: Challenges
                  and Solutions},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {14--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.122},
  doi          = {10.1109/VLSID.2014.122},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Ghosh14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/Ghosh13,
  author       = {Swaroop Ghosh},
  title        = {Energy centric model of {SRAM} write operation for improved energy
                  and error rates},
  booktitle    = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference,
                  {CICC} 2013, San Jose, CA, USA, September 22-25, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CICC.2013.6658429},
  doi          = {10.1109/CICC.2013.6658429},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/Ghosh13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Ghosh13,
  author       = {Swaroop Ghosh},
  title        = {Path to a TeraByte of on-chip memory for petabit per second bandwidth
                  with {\textless} 5watts of power},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {145:1--145:2},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488913},
  doi          = {10.1145/2463209.2488913},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Ghosh13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/Ghosh13,
  author       = {Swaroop Ghosh},
  title        = {Design methodologies for high density domain wall memory},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2013, Brooklyn, NY, USA, July 15-17, 2013},
  pages        = {30--31},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/NanoArch.2013.6623035},
  doi          = {10.1109/NANOARCH.2013.6623035},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/Ghosh13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GhoshR11,
  author       = {Swaroop Ghosh and
                  Kaushik Roy},
  title        = {Novel Low Overhead Post-Silicon Self-Correction Technique for Parallel
                  Prefix Adders Using Selective Redundancy and Adaptive Clocking},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {19},
  number       = {8},
  pages        = {1504--1507},
  year         = {2011},
  url          = {https://doi.org/10.1109/TVLSI.2010.2051169},
  doi          = {10.1109/TVLSI.2010.2051169},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GhoshR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GoelGMPR11,
  author       = {Ashish Goel and
                  Swaroop Ghosh and
                  Mesut Meterelliyoz and
                  Jeff Parkhurst and
                  Kaushik Roy},
  title        = {Integrated Design {\&} Test: Conquering the Conflicting Requirements
                  of Low-Power, Variation-Tolerance and Test Cost},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {486--491},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.100},
  doi          = {10.1109/ATS.2011.100},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GoelGMPR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/daglib/p/Ghosh11,
  author       = {Swaroop Ghosh},
  editor       = {Swarup Bhunia and
                  Saibal Mukhopadhyay},
  title        = {Effect of Variations and Variation Tolerance in Logic Circuits},
  booktitle    = {Low-Power Variation-Tolerant Design in Nanometer Silicon},
  pages        = {83--108},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-1-4419-7418-1\_3},
  doi          = {10.1007/978-1-4419-7418-1\_3},
  timestamp    = {Tue, 16 May 2017 14:01:33 +0200},
  biburl       = {https://dblp.org/rec/books/daglib/p/Ghosh11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/GhoshR10,
  author       = {Swaroop Ghosh and
                  Kaushik Roy},
  title        = {Parameter Variation Tolerance and Error Resiliency: New Design Paradigm
                  for the Nanoscale Era},
  journal      = {Proc. {IEEE}},
  volume       = {98},
  number       = {10},
  pages        = {1718--1751},
  year         = {2010},
  url          = {https://doi.org/10.1109/JPROC.2010.2057230},
  doi          = {10.1109/JPROC.2010.2057230},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/GhoshR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/NdaiRTGBR10,
  author       = {Patrick Ndai and
                  Nauman Rafique and
                  Mithuna Thottethodi and
                  Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  title        = {Trifecta: {A} Nonspeculative Scheme to Exploit Common, Data-Dependent
                  Subcritical Paths},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {1},
  pages        = {53--65},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2008.2007491},
  doi          = {10.1109/TVLSI.2008.2007491},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/NdaiRTGBR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GhoshMKR10,
  author       = {Swaroop Ghosh and
                  Debabrata Mohapatra and
                  Georgios Karakonstantis and
                  Kaushik Roy},
  title        = {Voltage Scalable High-Speed Robust Hybrid Arithmetic Units Using Adaptive
                  Clocking},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {9},
  pages        = {1301--1309},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2009.2022531},
  doi          = {10.1109/TVLSI.2009.2022531},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GhoshMKR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BanerjeeCGDRR09,
  author       = {Nilanjan Banerjee and
                  Saumya Chandra and
                  Swaroop Ghosh and
                  Sujit Dey and
                  Anand Raghunathan and
                  Kaushik Roy},
  title        = {Coping with Variations through System-Level Design},
  booktitle    = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction,
                  The 22nd International Conference on {VLSI} Design, New Delhi, India,
                  5-9 January 2009},
  pages        = {581--586},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VLSI.Design.2009.96},
  doi          = {10.1109/VLSI.DESIGN.2009.96},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BanerjeeCGDRR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/LiBGR08,
  author       = {Jing Li and
                  Aditya Bansal and
                  Swaroop Ghosh and
                  Kaushik Roy},
  title        = {An alternate design paradigm for low-power, low-cost, testable hybrid
                  systems using scaled {LTPS} TFTs},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {4},
  number       = {3},
  pages        = {13:1--13:19},
  year         = {2008},
  url          = {https://doi.org/10.1145/1389089.1389093},
  doi          = {10.1145/1389089.1389093},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/LiBGR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GhoshR08,
  author       = {Swaroop Ghosh and
                  Kaushik Roy},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Exploring high-speed low-power hybrid arithmetic units at scaled supply
                  and adaptive clock-stretching},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {635--640},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484029},
  doi          = {10.1109/ASPDAC.2008.4484029},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GhoshR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GhoshNR08,
  author       = {Swaroop Ghosh and
                  Patrick Ndai and
                  Kaushik Roy},
  editor       = {Donatella Sciuto},
  title        = {A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive
                  Clocking},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {366--371},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484707},
  doi          = {10.1109/DATE.2008.4484707},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GhoshNR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GhoshCNR08,
  author       = {Swaroop Ghosh and
                  Jung Hwan Choi and
                  Patrick Ndai and
                  Kaushik Roy},
  editor       = {Vijaykrishnan Narayanan and
                  C. P. Ravikumar and
                  J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Vojin G. Oklobdzija and
                  Barry M. Pangrle},
  title        = {O\({}^{\mbox{2}}\)C: occasional two-cycle operations for dynamic thermal
                  management in high performance in-order microprocessors},
  booktitle    = {Proceedings of the 2008 International Symposium on Low Power Electronics
                  and Design, 2008, Bangalore, India, August 11-13, 2008},
  pages        = {189--192},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1393921.1393971},
  doi          = {10.1145/1393921.1393971},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GhoshCNR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GhoshBR07,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  title        = {{CRISTA:} {A} New Paradigm for Low-Power, Variation-Tolerant, and
                  Adaptive Circuit Synthesis Using Critical Path Isolation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {11},
  pages        = {1947--1956},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.896305},
  doi          = {10.1109/TCAD.2007.896305},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GhoshBR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GhoshBR07,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  title        = {Low-Power and testable circuit synthesis using Shannon decomposition},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {47},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278349.1278360},
  doi          = {10.1145/1278349.1278360},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GhoshBR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/GhoshBKR07,
  author       = {Swaroop Ghosh and
                  Pooja Batra and
                  Keejong Kim and
                  Kaushik Roy},
  title        = {Process-Tolerant Low-Power Adaptive Pipeline under Scaled-Vdd},
  booktitle    = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference,
                  {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September
                  16-19, 2007},
  pages        = {733--736},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CICC.2007.4405835},
  doi          = {10.1109/CICC.2007.4405835},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/GhoshBKR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GhoshBR07,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {Low-overhead circuit synthesis for temperature adaptation using dynamic
                  voltage scheduling},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {1532--1537},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://dl.acm.org/citation.cfm?id=1266702},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GhoshBR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/GhoshNBR07,
  author       = {Swaroop Ghosh and
                  Patrick Ndai and
                  Swarup Bhunia and
                  Kaushik Roy},
  title        = {Tolerance to Small Delay Defects by Adaptive Clock Stretching},
  booktitle    = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007),
                  8-11 July 2007, Heraklion, Crete, Greece},
  pages        = {244--252},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/IOLTS.2007.67},
  doi          = {10.1109/IOLTS.2007.67},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/GhoshNBR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiGR07,
  author       = {Jing Li and
                  Swaroop Ghosh and
                  Kaushik Roy},
  editor       = {Jill Sibert and
                  Janusz Rajski},
  title        = {A generic and reconfigurable test paradigm using Low-cost integrated
                  Poly-Si TFTs},
  booktitle    = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara,
                  California, USA, October 21-26, 2007},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/TEST.2007.4437622},
  doi          = {10.1109/TEST.2007.4437622},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiGR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GhoshBRR06,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Arijit Raychowdhury and
                  Kaushik Roy},
  title        = {A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In
                  Delay Sensor},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {12},
  pages        = {2934--2943},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.882523},
  doi          = {10.1109/TCAD.2006.882523},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GhoshBRR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GhoshMKR06,
  author       = {Swaroop Ghosh and
                  Saibal Mukhopadhyay and
                  Keejong Kim and
                  Kaushik Roy},
  editor       = {Ellen Sentovich},
  title        = {Self-calibration technique for reduction of hold failures in low-power
                  nano-scaled {SRAM}},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {971--976},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147155},
  doi          = {10.1145/1146909.1147155},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GhoshMKR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GhoshBR06,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  editor       = {Soha Hassoun},
  title        = {A new paradigm for low-power, variation-tolerant circuit synthesis
                  using critical path isolation},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {619--624},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233628},
  doi          = {10.1145/1233501.1233628},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GhoshBR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/GhoshBRR06,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Arijit Raychowdhury and
                  Kaushik Roy},
  title        = {Delay Fault Localization in Test-Per-Scan {BIST} Using Built-In Delay
                  Sensor},
  booktitle    = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006),
                  10-12 July 2006, Como, Italy},
  pages        = {31--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/IOLTS.2006.19},
  doi          = {10.1109/IOLTS.2006.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/GhoshBRR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MukhopadhyayGKR06,
  author       = {Saibal Mukhopadhyay and
                  Swaroop Ghosh and
                  Keejong Kim and
                  Kaushik Roy},
  title        = {Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies},
  booktitle    = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September
                  24-27, 2006},
  pages        = {155--159},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/SOCC.2006.283871},
  doi          = {10.1109/SOCC.2006.283871},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MukhopadhyayGKR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tim/NarayananGJD05,
  author       = {Vinod Narayanan and
                  Swaroop Ghosh and
                  Wen{-}Ben Jone and
                  Sunil R. Das},
  title        = {A built-in self-testing method for embedded multiport memory arrays},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {54},
  number       = {5},
  pages        = {1721--1738},
  year         = {2005},
  url          = {https://doi.org/10.1109/TIM.2005.855093},
  doi          = {10.1109/TIM.2005.855093},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tim/NarayananGJD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GhoshBR05,
  author       = {Swaroop Ghosh and
                  Swarup Bhunia and
                  Kaushik Roy},
  title        = {Shannon Expansion Based Supply-Gated Logic for Improved Power and
                  Testability},
  booktitle    = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta,
                  India},
  pages        = {404--409},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ATS.2005.98},
  doi          = {10.1109/ATS.2005.98},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GhoshBR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RaychowdhuryGBGR05,
  author       = {Arijit Raychowdhury and
                  Swaroop Ghosh and
                  Swarup Bhunia and
                  Debjyoti Ghosh and
                  Kaushik Roy},
  title        = {A novel delay fault testing methodology using on-chip low-overhead
                  delay measurement hardware at strategic probe points},
  booktitle    = {10th European Test Symposium, {ETS} 2005, Tallinn, Estonia, May 22-25,
                  2005},
  pages        = {108--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ETS.2005.2},
  doi          = {10.1109/ETS.2005.2},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/RaychowdhuryGBGR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/RaychowdhuryGR05,
  author       = {Arijit Raychowdhury and
                  Swaroop Ghosh and
                  Kaushik Roy},
  title        = {A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning},
  booktitle    = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005),
                  6-8 July 2005, Saint Raphael, France},
  pages        = {287--292},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/IOLTS.2005.10},
  doi          = {10.1109/IOLTS.2005.10},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/RaychowdhuryGR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GhoshLJC04,
  author       = {Swaroop Ghosh and
                  K. W. Lai and
                  Wen{-}Ben Jone and
                  Shih{-}Chieh Chang},
  title        = {Scan Chain Fault Identification Using Weight-Based Codes for SoC Circuits},
  booktitle    = {13th Asian Test Symposium {(ATS} 2004), 15-17 November 2004, Kenting,
                  Taiwan},
  pages        = {210--215},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ATS.2004.77},
  doi          = {10.1109/ATS.2004.77},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GhoshLJC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/JiangJCG03,
  author       = {J. H. Jiang and
                  Wen{-}Ben Jone and
                  Shih{-}Chieh Chang and
                  Swaroop Ghosh},
  title        = {Embedded core test generation using broadcast test architecture and
                  netlist scrambling},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {52},
  number       = {4},
  pages        = {435--443},
  year         = {2003},
  url          = {https://doi.org/10.1109/TR.2003.821931},
  doi          = {10.1109/TR.2003.821931},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tr/JiangJCG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics