BibTeX records: Eduardo A. C. da Costa

download as .bib file

@article{DBLP:journals/tcasI/RosaCCSB24,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Patr{\'{\i}}cia {\"{U}}cker Leleu da Costa and
                  Eduardo Antonio Cesar da Costa and
                  Rafael Iankowski Soares and
                  Sergio Bampi},
  title        = {{VLSI} Architectures of Approximate Arithmetic Units Applied to Parallel
                  Sensors Calibration},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {71},
  number       = {3},
  pages        = {1000--1013},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSI.2023.3331675},
  doi          = {10.1109/TCSI.2023.3331675},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/RosaCCSB24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SantosTCRCP24,
  author       = {Cristiano Santos and
                  Leandro Tavares and
                  Eduardo A. C. da Costa and
                  Gustavo Rehbein and
                  Guilherme Corr{\^{e}}a and
                  Marcelo Schiavon Porto},
  title        = {Coding Efficiency and Complexity Analysis of the Geometry-based Point
                  Cloud Encoder},
  booktitle    = {15th {IEEE} Latin America Symposium on Circuits and Systems, {LASCAS}
                  2024, Punta del Este, Uruguay, February 27 - March 1, 2024},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/LASCAS60203.2024.10506143},
  doi          = {10.1109/LASCAS60203.2024.10506143},
  timestamp    = {Wed, 08 May 2024 17:47:33 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SantosTCRCP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/RosaCRPB23,
  author       = {Morgana M. A. da Rosa and
                  Eduardo A. C. da Costa and
                  Leandro Mateus Giacomini Rocha and
                  Guilherme Paim and
                  Sergio Bampi},
  title        = {Energy-Efficient {VLSI} Squarer Unit with Optimized Radix-2\({}^{\mbox{m}}\)
                  Multiplication Logic},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {42},
  number       = {2},
  pages        = {828--852},
  year         = {2023},
  url          = {https://doi.org/10.1007/s00034-022-02235-9},
  doi          = {10.1007/S00034-022-02235-9},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cssp/RosaCRPB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/PereiraPCAB23,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  S{\'{e}}rgio Jose Melo de Almeida and
                  Sergio Bampi},
  title        = {ReAdapt: {A} Reconfigurable Datapath for Runtime Energy-Quality Scalable
                  Adaptive Filters},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {1},
  pages        = {327--339},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2022.3204931},
  doi          = {10.1109/TCSI.2022.3204931},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/PereiraPCAB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/AndradeSSPBCZ23,
  author       = {Gerson D. Andrade and
                  Matheus Silva and
                  C{\'{\i}}nthia Schneider and
                  Guilherme Paim and
                  Sergio Bampi and
                  Eduardo Costa and
                  Alexandra L. Zimpeck},
  title        = {Robustness Analysis of 3-2 Adder Compressor Designed in 7-nm FinFET
                  Technology},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {3},
  pages        = {1264--1268},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2023.3241197},
  doi          = {10.1109/TCSII.2023.3241197},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/AndradeSSPBCZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/RosaPCCSB23,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Guilherme Paim and
                  Patr{\'{\i}}cia {\"{U}}cker Leleu da Costa and
                  Eduardo Antonio Cesar da Costa and
                  Rafael Iankowski Soares and
                  Sergio Bampi},
  title        = {AxPPA: Approximate Parallel Prefix Adders},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {31},
  number       = {1},
  pages        = {17--28},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVLSI.2022.3218021},
  doi          = {10.1109/TVLSI.2022.3218021},
  timestamp    = {Tue, 31 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/RosaPCCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/PereiraPFCB23,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Paulo F. Flores and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {AxASRE: {A} Novel Approach to Approximate Adder Synthesis Results
                  Estimation},
  booktitle    = {53rd Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2023 - Workshops, Porto, Portugal, June 27-30,
                  2023},
  pages        = {183--186},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DSN-W58399.2023.00051},
  doi          = {10.1109/DSN-W58399.2023.00051},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dsn/PereiraPFCB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CardozoRSCB23,
  author       = {Arthur Cardozo and
                  Morgana M. A. da Rosa and
                  Rafael Soares and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {An Ultra Low-Energy {VLSI} Approximate Discrete Haar Wavelet Transform
                  for {ECG} Data Compression},
  booktitle    = {30th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2023, Istanbul, Turkey, December 4-7, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICECS58634.2023.10382788},
  doi          = {10.1109/ICECS58634.2023.10382788},
  timestamp    = {Thu, 18 Jan 2024 08:27:11 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/CardozoRSCB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CostaRSCB23,
  author       = {Patr{\'{\i}}cia U. L. da Costa and
                  Morgana M. A. da Rosa and
                  Rafael Soares and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {An Optimized {VLSI} Exponential Unit Design Exploring Efficient Arithmetic
                  Operation Strategies},
  booktitle    = {30th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2023, Istanbul, Turkey, December 4-7, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICECS58634.2023.10382829},
  doi          = {10.1109/ICECS58634.2023.10382829},
  timestamp    = {Thu, 18 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/CostaRSCB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/LopesARCSB23,
  author       = {Rodrigo Lopes and
                  Leonardo Antonietti and
                  Morgana M. A. da Rosa and
                  Eduardo Costa and
                  Rafael Soares and
                  Sergio Bampi},
  title        = {New Energy-Efficient 3-2 and 4-2 Approximate Adder Compressors Topologies},
  booktitle    = {30th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2023, Istanbul, Turkey, December 4-7, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICECS58634.2023.10382943},
  doi          = {10.1109/ICECS58634.2023.10382943},
  timestamp    = {Thu, 18 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/LopesARCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosaCSB23,
  author       = {Morgana M. A. da Rosa and
                  Eduardo Costa and
                  Rafael Soares and
                  Sergio Bampi},
  title        = {Accuracy-, Delay- and Area-Driven Evaluation of Lower-Part Approximate
                  Parallel Prefix Adder},
  booktitle    = {30th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2023, Istanbul, Turkey, December 4-7, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICECS58634.2023.10382842},
  doi          = {10.1109/ICECS58634.2023.10382842},
  timestamp    = {Thu, 18 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosaCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RosaCPCSB23,
  author       = {Morgana M. A. da Rosa and
                  Patr{\'{\i}}cia U. L. da Costa and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Rafael Soares and
                  Sergio Bampi},
  title        = {An Energy-Efficient StEFCal {VLSI} Design with Approximate Squarer
                  and Divider Units},
  booktitle    = {14th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2023, Quito, Ecuador, February 28 - March 3, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/LASCAS56464.2023.10108306},
  doi          = {10.1109/LASCAS56464.2023.10108306},
  timestamp    = {Fri, 02 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/RosaCPCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/PereiraPCFB23,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Sergio Bampi},
  title        = {Architectural Exploration for Energy-Efficient {LMS} and {NLMS} Adaptive
                  Filters {VLSI} Design},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198163},
  doi          = {10.1109/NEWCAS57931.2023.10198163},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/PereiraPCFB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/RosaCSB23,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Eduardo A. C. da Costa and
                  Rafael Iankowski Soares and
                  Sergio Bampi},
  title        = {Exploring Security Threats by Hardware-Faults in Approximate Arithmetic
                  Computing},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198066},
  doi          = {10.1109/NEWCAS57931.2023.10198066},
  timestamp    = {Tue, 15 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/RosaCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/SilveiraPAFDCB22,
  author       = {Bianca Silveira and
                  Guilherme Paim and
                  Brunno Alves Abreu and
                  Rafael dos Santos Ferreira and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi},
  title        = {The 4-2 Fused Adder-Subtractor Compressor for Low-Power Butterfly-Based
                  Hardware Architectures},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {41},
  number       = {3},
  pages        = {1577--1595},
  year         = {2022},
  url          = {https://doi.org/10.1007/s00034-021-01839-x},
  doi          = {10.1007/S00034-021-01839-X},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cssp/SilveiraPAFDCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/PaimARACBH22,
  author       = {Guilherme Paim and
                  Hussam Amrouch and
                  Leandro M. G. Rocha and
                  Brunno Abreu and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi and
                  J{\"{o}}rg Henkel},
  title        = {A Framework for Crossing Temperature-Induced Timing Errors Underlying
                  Hardware Accelerators to the Algorithm and Application Layers},
  journal      = {{IEEE} Trans. Computers},
  volume       = {71},
  number       = {2},
  pages        = {349--363},
  year         = {2022},
  url          = {https://doi.org/10.1109/TC.2021.3050978},
  doi          = {10.1109/TC.2021.3050978},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/PaimARACBH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/PereiraCFAPCB22,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Patr{\'{\i}}cia {\"{U}}cker Leleu da Costa and
                  Guilherme da Costa Ferreira and
                  Brunno Alves de Abreu and
                  Guilherme Paim and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi},
  title        = {Energy-Quality Scalable Design Space Exploration of Approximate {FFT}
                  Hardware Architectures},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {11},
  pages        = {4524--4534},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3191180},
  doi          = {10.1109/TCSI.2022.3191180},
  timestamp    = {Tue, 06 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/PereiraCFAPCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcsv/PaimACBH22,
  author       = {Guilherme Paim and
                  Hussam Amrouch and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi and
                  J{\"{o}}rg Henkel},
  title        = {Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm
                  Closed-Loop},
  journal      = {{IEEE} Trans. Circuits Syst. Video Technol.},
  volume       = {32},
  number       = {1},
  pages        = {398--410},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSVT.2021.3059229},
  doi          = {10.1109/TCSVT.2021.3059229},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcsv/PaimACBH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CostaRPCSB22,
  author       = {Patr{\'{\i}}cia U. L. da Costa and
                  Morgana M. A. da Rosa and
                  Guilherme Paim and
                  Eduardo Antonio Cesar da Costa and
                  Rafael Soares and
                  Sergio Bampi},
  title        = {An Efficient Exponential Unit Designed in {VLSI} {CMOS} with Custom
                  Operators},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9970960},
  doi          = {10.1109/ICECS202256217.2022.9970960},
  timestamp    = {Fri, 23 Dec 2022 17:47:32 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/CostaRPCSB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PereiraPCAB22,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Exploring Approximate Arithmetic Units for a Power-Efficient Kalman
                  Gain {VLSI} Design},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9970839},
  doi          = {10.1109/ICECS202256217.2022.9970839},
  timestamp    = {Fri, 23 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/PereiraPCAB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosatPSCB22,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Guilherme Paim and
                  Rafael Soares and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Discrete Haar Wavelet Transform Hardware Design for Energy-Efficient
                  Image Watermarking},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9971079},
  doi          = {10.1109/ICECS202256217.2022.9971079},
  timestamp    = {Mon, 02 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosatPSCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RosaPCCSB22,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Guilherme Paim and
                  Jorge Castro{-}God{\'{\i}}nez and
                  Eduardo A. C. da Costa and
                  Rafael Iankowski Soares and
                  Sergio Bampi},
  title        = {AxRSU: Approximate Radix-4 Squarer Unit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {1655--1659},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937770},
  doi          = {10.1109/ISCAS48785.2022.9937770},
  timestamp    = {Tue, 10 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RosaPCCSB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/CostaPAPCB22,
  author       = {Patr{\'{\i}}cia U. L. da Costa and
                  Pedro Tau{\~{a}} Lopes Pereira and
                  Brunno A. Abreu and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Improved Approximate Multipliers for Single-Precision Floating-Point
                  Hardware Design},
  booktitle    = {13th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2022, Puerto Varas, Chile, March 1-4, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/LASCAS53948.2022.9789077},
  doi          = {10.1109/LASCAS53948.2022.9789077},
  timestamp    = {Mon, 13 Jun 2022 16:53:37 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/CostaPAPCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/RosaPUPCBA21,
  author       = {Andrei La Rosa and
                  Pedro Tau{\~{a}} Lopes Pereira and
                  Patr{\'{\i}}cia {\"{U}}cker and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi and
                  S{\'{e}}rgio Almeida},
  title        = {Exploring NLMS-Based Adaptive Filter Hardware Architectures for Eliminating
                  Power Line Interference in {EEG} Signals},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {40},
  number       = {7},
  pages        = {3305--3337},
  year         = {2021},
  url          = {https://doi.org/10.1007/s00034-020-01620-6},
  doi          = {10.1007/S00034-020-01620-6},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cssp/RosaPUPCBA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FerreiraPRSNCB21,
  author       = {Guilherme da Costa Ferreira and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Gustavo M. Santana and
                  Renato H. Neuenfeld and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Low-power fast Fourier transform hardware architecture combining a
                  split-radix butterfly and efficient adder compressors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {3},
  pages        = {230--240},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12015},
  doi          = {10.1049/CDT2.12015},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FerreiraPRSNCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/CostaPRCAB21,
  author       = {Patr{\'{\i}}cia {\"{U}}cker Leleu da Costa and
                  Guilherme Paim and
                  Leandro Mateus Giacomini Rocha and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  S{\'{e}}rgio Jose Melo de Almeida and
                  Sergio Bampi},
  title        = {Fixed-Point {NLMS} and {IPNLMS} {VLSI} Architectures for Accurate
                  {FECG} and {FHR} Processing},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {15},
  number       = {5},
  pages        = {898--911},
  year         = {2021},
  url          = {https://doi.org/10.1109/TBCAS.2021.3120237},
  doi          = {10.1109/TBCAS.2021.3120237},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/CostaPRCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/PaimZPCCBHA21,
  author       = {Guilherme Paim and
                  Georgios Zervakis and
                  Girish Pahwa and
                  Yogesh Singh Chauhan and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi and
                  J{\"{o}}rg Henkel and
                  Hussam Amrouch},
  title        = {On the Resiliency of {NCFET} Circuits Against Voltage Over-Scaling},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {4},
  pages        = {1481--1492},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3058451},
  doi          = {10.1109/TCSI.2021.3058451},
  timestamp    = {Wed, 06 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/PaimZPCCBHA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/SeidelRPCAB21,
  author       = {Henrique Seidel and
                  Morgana Macedo Azevedo da Rosa and
                  Guilherme Paim and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Approximate Pruned and Truncated Haar Discrete Wavelet Transform {VLSI}
                  Hardware for Energy-Efficient {ECG} Signal Processing},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {5},
  pages        = {1814--1826},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3057584},
  doi          = {10.1109/TCSI.2021.3057584},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/SeidelRPCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/RosaSPCAB21,
  author       = {Morgana Macedo Azevedo da Rosa and
                  Henrique Seidel and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {An Energy-Efficient Haar Wavelet Transform Architecture for Respiratory
                  Signal Processing},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {68},
  number       = {2},
  pages        = {597--601},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSII.2020.3046919},
  doi          = {10.1109/TCSII.2020.3046919},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasII/RosaSPCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PereiraPCCAB21,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Patr{\'{\i}}cia {\"{U}}cker Leleu da Costa and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  S{\'{e}}rgio Jose Melo de Almeida and
                  Sergio Bampi},
  title        = {Architectural Exploration for Energy-Efficient Fixed-Point Kalman
                  Filter {VLSI} Design},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {7},
  pages        = {1402--1415},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3075379},
  doi          = {10.1109/TVLSI.2021.3075379},
  timestamp    = {Wed, 03 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PereiraPCCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AndradeRCZ21,
  author       = {Gerson D. Andrade and
                  Ricardo A. L. Reis and
                  Eduardo A. C. da Costa and
                  Alexandra L. Zimpeck},
  title        = {Sensitivity of FinFET Adders to {PVT} Variations and Sleep Transistor
                  as a Mitigation Strategy},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2021,
                  Penang, Malaysia, November 22-26, 2021},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/APCCAS51387.2021.9687772},
  doi          = {10.1109/APCCAS51387.2021.9687772},
  timestamp    = {Fri, 11 Feb 2022 09:29:50 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/AndradeRCZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CostaPPCB21,
  author       = {Patr{\'{\i}}cia U. L. da Costa and
                  Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Boosting the Efficiency of the Harmonics Elimination {VLSI} Architecture
                  by Arithmetic Approximations},
  booktitle    = {28th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2021, Dubai, United Arab Emirates, November 28 -
                  Dec. 1, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICECS53924.2021.9665538},
  doi          = {10.1109/ICECS53924.2021.9665538},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/CostaPPCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FerreiraPPCB21,
  author       = {Guilherme da Costa Ferreira and
                  Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A Power-Efficient {FFT} Hardware Architecture Exploiting Approximate
                  Adders},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9667154},
  doi          = {10.1109/LASCAS51355.2021.9667154},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/FerreiraPPCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/PereiraPFCAB21,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Guilherme da Costa Ferreira and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio Almeida and
                  Sergio Bampi},
  title        = {Exploring Approximate Adders for Power-Efficient Harmonics Elimination
                  Hardware Architectures},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459128},
  doi          = {10.1109/LASCAS51355.2021.9459128},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/PereiraPFCAB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/GuidottiPRCAB20,
  author       = {Vagner Guidotti and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Power-Efficient Approximate Newton-Raphson Integer Divider Applied
                  to {NLMS} Adaptive Filter for High-Quality Interference Cancelling},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {39},
  number       = {11},
  pages        = {5729--5757},
  year         = {2020},
  url          = {https://doi.org/10.1007/s00034-020-01431-9},
  doi          = {10.1007/S00034-020-01431-9},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cssp/GuidottiPRCAB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/SoaresOCB20,
  author       = {Leonardo Bandeira Soares and
                  Julio F. R. Oliveira and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {An Energy-Efficient and Approximate Accelerator Design for Real-Time
                  Canny Edge Detection},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {39},
  number       = {12},
  pages        = {6098--6120},
  year         = {2020},
  url          = {https://doi.org/10.1007/s00034-020-01448-0},
  doi          = {10.1007/S00034-020-01448-0},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cssp/SoaresOCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jrtip/PaimSARGCB20,
  author       = {Guilherme Paim and
                  Gustavo M. Santana and
                  Brunno A. Abreu and
                  Leandro M. G. Rocha and
                  Mateus Grellert and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploring high-order adder compressors for power reduction in sum
                  of absolute differences architectures for real-time {UHD} video encoding},
  journal      = {J. Real Time Image Process.},
  volume       = {17},
  number       = {5},
  pages        = {1735--1754},
  year         = {2020},
  url          = {https://doi.org/10.1007/s11554-019-00939-x},
  doi          = {10.1007/S11554-019-00939-X},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jrtip/PaimSARGCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcsv/PaimRACBH20,
  author       = {Guilherme Paim and
                  Leandro Mateus Giacomini Rocha and
                  Hussam Amrouch and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi and
                  J{\"{o}}rg Henkel},
  title        = {A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space
                  Exploration of Approximate Circuits in {HEVC} Video Encoders},
  journal      = {{IEEE} Trans. Circuits Syst. Video Technol.},
  volume       = {30},
  number       = {10},
  pages        = {3814--3828},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSVT.2019.2945763},
  doi          = {10.1109/TCSVT.2019.2945763},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcsv/PaimRACBH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CostaPRCAB20,
  author       = {Patr{\'{\i}}cia U. L. da Costa and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio Almeida and
                  Sergio Bampi},
  title        = {An Efficient NLMS-based {VLSI} Architecture for Robust {FECG} Extraction
                  and {FHR} Processing},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294943},
  doi          = {10.1109/ICECS49266.2020.9294943},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/CostaPRCAB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosaPRCB20,
  author       = {Morgana M. A. da Rosa and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {The Radix-2\({}^{\mbox{m}}\) Squared Multiplier},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294854},
  doi          = {10.1109/ICECS49266.2020.9294854},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosaPRCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosaPRCB20a,
  author       = {Morgana M. A. da Rosa and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploring Efficient Adder Compressors for Power-Efficient Sum of Squared
                  Differences Design},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294915},
  doi          = {10.1109/ICECS49266.2020.9294915},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosaPRCB20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosaUPCAB20,
  author       = {Andrei La Rosa and
                  Patr{\'{\i}}cia {\"{U}}cker and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Exploring {NLMS} and {IPNLMS} Adaptive Filtering {VLSI} Hardware Architectures
                  for Robust {EEG} Signal Artifacts Elimination},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294785},
  doi          = {10.1109/ICECS49266.2020.9294785},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosaUPCAB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FontanariPRUCB20,
  author       = {Thomas V. Fontanari and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Patr{\'{\i}}cia {\"{U}}cker and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {An Efficient N-bit 8-2 Adder Compressor with a Constant Internal Carry
                  Propagation Delay},
  booktitle    = {11th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2020, San Jose, Costa Rica, February 25-28, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/LASCAS45839.2020.9069009},
  doi          = {10.1109/LASCAS45839.2020.9069009},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/FontanariPRUCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SeidelRPCAB20,
  author       = {Henrique Seidel and
                  Morgana Macedo Azevedo da Rosa and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Energy-Efficient Haar Transform Architectures Using Efficient Addition
                  Schemes},
  booktitle    = {11th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2020, San Jose, Costa Rica, February 25-28, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/LASCAS45839.2020.9068951},
  doi          = {10.1109/LASCAS45839.2020.9068951},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SeidelRPCAB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/UckerWPCB20,
  author       = {Patr{\'{\i}}cia {\"{U}}cker and
                  Miguel R. Weirich and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Optimizing Iterative-based Dividers for an Efficient Natural Logarithm
                  Operator Design},
  booktitle    = {11th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2020, San Jose, Costa Rica, February 25-28, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/LASCAS45839.2020.9068958},
  doi          = {10.1109/LASCAS45839.2020.9068958},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/UckerWPCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/FerreiraRCB20,
  author       = {Guilherme da Costa Ferreira and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Combining m=2 Multipliers and Adder Compressors for Power Efficient
                  Radix-4 Butterfly},
  booktitle    = {63rd {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2020, Springfield, MA, USA, August 9-12, 2020},
  pages        = {1080--1083},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MWSCAS48704.2020.9184464},
  doi          = {10.1109/MWSCAS48704.2020.9184464},
  timestamp    = {Tue, 08 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/FerreiraRCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/LemePRULSCB20,
  author       = {Mateus Terribele Leme and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Patr{\'{\i}}cia {\"{U}}cker and
                  Vitor G. Lima and
                  Rafael Soares and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Optimizing the Montgomery Modular Multiplier for a Power- and Area-Efficient
                  Hardware Architecture},
  booktitle    = {63rd {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2020, Springfield, MA, USA, August 9-12, 2020},
  pages        = {1084--1087},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MWSCAS48704.2020.9184487},
  doi          = {10.1109/MWSCAS48704.2020.9184487},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/LemePRULSCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/RochaMPCB20,
  author       = {Leandro M. G. Rocha and
                  Morgana Macedo and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Improving the Partial Product Tree Compression on Signed Radix-2\({}^{\mbox{m}}\)
                  Parallel Multipliers},
  booktitle    = {18th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2020, Montr{\'{e}}al, QC, Canada, June 16-19, 2020},
  pages        = {182--185},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/NEWCAS49341.2020.9159776},
  doi          = {10.1109/NEWCAS49341.2020.9159776},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/RochaMPCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cssp/OttCAF19,
  author       = {Gustavo Ott and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Mateus Beck Fonseca},
  title        = {{IIR} Filter Architectures with Truncation Error Feedback for {ECG}
                  Signal Processing},
  journal      = {Circuits Syst. Signal Process.},
  volume       = {38},
  number       = {1},
  pages        = {329--355},
  year         = {2019},
  url          = {https://doi.org/10.1007/s00034-018-0860-2},
  doi          = {10.1007/S00034-018-0860-2},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cssp/OttCAF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/PaimRSSCB19,
  author       = {Guilherme Paim and
                  Leandro Mateus Giacomini Rocha and
                  Gustavo Madeira Santana and
                  Leonardo Bandeira Soares and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {Power-, Area-, and Compression-Efficient Eight-Point Approximate 2-D
                  Discrete Tchebichef Transform Hardware Design Combining Truncation
                  Pruning and Efficient Transposition Buffers},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {2},
  pages        = {680--693},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2018.2868513},
  doi          = {10.1109/TCSI.2018.2868513},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/PaimRSSCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SoaresRDCB19,
  author       = {Leonardo Bandeira Soares and
                  Morgana Macedo Azevedo da Rosa and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {Design Methodology to Explore Hybrid Approximate Adders for Energy-Efficient
                  Image and Video Processing Accelerators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {6},
  pages        = {2137--2150},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2892588},
  doi          = {10.1109/TCSI.2019.2892588},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/SoaresRDCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PereiraPUCAB19,
  author       = {Pedro Tau{\~{a}} Lopes Pereira and
                  Guilherme Paim and
                  Patr{\'{\i}}cia {\"{U}}cker and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Exploring Architectural Solutions for an Energy-Efficient Kalman Filter
                  Gain Realization},
  booktitle    = {26th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019},
  pages        = {650--653},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICECS46596.2019.8964734},
  doi          = {10.1109/ICECS46596.2019.8964734},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PereiraPUCAB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SoaresCB19,
  author       = {Leonardo Bandeira Soares and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi},
  title        = {A Configurable Pruning Gaussian Image Filter for Energy-Efficient
                  Edge Detection},
  booktitle    = {26th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019},
  pages        = {666--669},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICECS46596.2019.8964705},
  doi          = {10.1109/ICECS46596.2019.8964705},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SoaresCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LimaPRRMCCSB19,
  author       = {Vitor G. Lima and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Leomar S. da Rosa Jr. and
                  Felipe S. Marques and
                  Eduardo A. C. da Costa and
                  Vinicius V. Camargo and
                  Rafael Soares and
                  Sergio Bampi},
  title        = {Maximizing Side Channel Attack-Resistance and Energy-Efficiency of
                  the {STTL} Combining Multi-Vt Transistors with Current and Capacitance
                  Balancing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702687},
  doi          = {10.1109/ISCAS.2019.8702687},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LimaPRRMCCSB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/FerreiraPADCB19,
  author       = {Rafael S. Ferreira and
                  Guilherme Paim and
                  Brunno A. Abreu and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  editor       = {Hoi Lee and
                  Randall L. Geiger},
  title        = {{HEVC} Interpolation Filter Architecture Using Hybrid Encoding Arithmetic
                  Operators},
  booktitle    = {62nd {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2019, Dallas, TX, USA, August 4-7, 2019},
  pages        = {331--334},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MWSCAS.2019.8885370},
  doi          = {10.1109/MWSCAS.2019.8885370},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/FerreiraPADCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/AbreuGPFRCB19,
  author       = {Brunno Abreu and
                  Mateus Grellert and
                  Guilherme Paim and
                  Thomas V. Fontanari and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploring Motion Vector Cost with Partial Distortion Elimination in
                  Sum of Absolute Differences for {HEVC} Integer Motion Estimation},
  booktitle    = {17th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2019, Munich, Germany, June 23-26, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NEWCAS44328.2019.8961299},
  doi          = {10.1109/NEWCAS44328.2019.8961299},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/AbreuGPFRCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/PaimRCB19,
  author       = {Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo Ant{\^{o}}nio C{\'{e}}sar da Costa and
                  Sergio Bampi},
  title        = {Maximizing the Power-Efficiency of the Approximate Pruned Modified
                  Rounded {DCT} Exploiting Approximate Adder Compressors},
  booktitle    = {17th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2019, Munich, Germany, June 23-26, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NEWCAS44328.2019.8961253},
  doi          = {10.1109/NEWCAS44328.2019.8961253},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/PaimRCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SoaresRDCB18,
  author       = {Leonardo Bandeira Soares and
                  Morgana M. A. da Rosa and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploring power-performance-quality tradeoff of approximate adders
                  for energy efficient sobel filtering},
  booktitle    = {9th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2018, Puerto Vallarta, Mexico, February 25-28, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/LASCAS.2018.8399938},
  doi          = {10.1109/LASCAS.2018.8399938},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SoaresRDCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SequeiraSPRACB18,
  author       = {Luis F. Sequeira and
                  Gustavo M. Santana and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Brunno Abreu and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Low-Power {HEVC} 8-point 2-D Discrete Cosine Transform Hardware Using
                  Adder Compressors},
  booktitle    = {16th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2018, Montr{\'{e}}al, QC, Canada, June 24-27, 2018},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NEWCAS.2018.8585470},
  doi          = {10.1109/NEWCAS.2018.8585470},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/SequeiraSPRACB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ngcas/WeirichPCB18,
  author       = {Miguel R. Weirich and
                  Guilherme Paim and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A Fixed-Point Natural Logarithm Approximation Hardware Design Using
                  Taylor Series},
  booktitle    = {2018 New Generation of CAS, {NGCAS} 2018, Valletta, Malta, November
                  20-23, 2018},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NGCAS.2018.8572071},
  doi          = {10.1109/NGCAS.2018.8572071},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ngcas/WeirichPCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ngcas/FerreiraAC18,
  author       = {Maicon Robe Ferreira and
                  S{\'{e}}rgio Jose Melo de Almeida and
                  Eduardo Antonio Cesar da Costa},
  title        = {Power System Frequency Estimation {U} Sing the Kernel Least Mean Square
                  Algorithm and the Clarke Transform},
  booktitle    = {2018 New Generation of CAS, {NGCAS} 2018, Valletta, Malta, November
                  20-23, 2018},
  pages        = {134--137},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NGCAS.2018.8572228},
  doi          = {10.1109/NGCAS.2018.8572228},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ngcas/FerreiraAC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AbreuSGPRCB18,
  author       = {Brunno Abreu and
                  Gustavo M. Santana and
                  Mateus Grellert and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploiting Partial Distortion Elimination in the Sum of Absolute Differences
                  for Energy-Efficient {HEVC} Integer Motion Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533241},
  doi          = {10.1109/SBCCI.2018.8533241},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbreuSGPRCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RahmeierCGG18,
  author       = {Jo{\~{a}}o G. Nizer Rahmeier and
                  Eduardo A. C. da Costa and
                  Alessandro Girardi and
                  Sidinei Ghissoni},
  title        = {Optimization of Single-Stage {FFT} Architectures Using Multiple Constant
                  Multiplication},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533258},
  doi          = {10.1109/SBCCI.2018.8533258},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RahmeierCGG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SilveiraPAGDCB17,
  author       = {Bianca Silveira and
                  Guilherme Paim and
                  Brunno Abreu and
                  Mateus Grellert and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Power-Efficient Sum of Absolute Differences Hardware Architecture
                  Using Adder Compressors for Integer Motion Estimation Design},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {64-I},
  number       = {12},
  pages        = {3126--3137},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCSI.2017.2728802},
  doi          = {10.1109/TCSI.2017.2728802},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/SilveiraPAGDCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MacedoSSDC17,
  author       = {Morgana Macedo and
                  Leonardo Bandeira Soares and
                  Bianca Silveira and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa},
  title        = {Exploring the use of parallel prefix adder topologies into approximate
                  adder circuits},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {298--301},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292078},
  doi          = {10.1109/ICECS.2017.8292078},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/MacedoSSDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SapperSCB17,
  author       = {Andre N. Sapper and
                  Leonardo Bandeira Soares and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Exploring the combination of number of bits and number of iterations
                  for a power-efficient fixed-point {CORDIC} implementation},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {302--305},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292079},
  doi          = {10.1109/ICECS.2017.8292079},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SapperSCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RochaPFCB17,
  author       = {Leandro M. G. Rocha and
                  Guilherme Paim and
                  Rafael S. Ferreira and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Framework-based arithmetic core generation to explore ASIC-based parallel
                  binary multipliers},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {478--481},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292065},
  doi          = {10.1109/ICECS.2017.8292065},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RochaPFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PaimMCAB17,
  author       = {Guilherme Paim and
                  Pedro Marques and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi},
  title        = {Improved goldschmidt algorithm for fast and energy-efficient fixed-point
                  divider},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {482--485},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292070},
  doi          = {10.1109/ICECS.2017.8292070},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PaimMCAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SantanaPRNFCB17,
  author       = {Gustavo M. Santana and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Renato Neuenfeld and
                  Mateus Beck Fonseca and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Using efficient adder compressors with a split-radix butterfly hardware
                  architecture for low-power IoT smart sensors},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {486--489},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292075},
  doi          = {10.1109/ICECS.2017.8292075},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SantanaPRNFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SilveiraAPGFDCB17,
  author       = {Bianca Silveira and
                  Brunno Abreu and
                  Guilherme Paim and
                  Mateus Grellert and
                  Rafael S. Ferreira and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Using adder and subtractor compressors to sum of absolute transformed
                  differences architecture for low-power video encoding},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {490--493},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292076},
  doi          = {10.1109/ICECS.2017.8292076},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SilveiraAPGFDCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/AbreuPGSDCB17,
  author       = {Brunno Abreu and
                  Guilherme Paim and
                  Mateus Grellert and
                  Bianca Silveira and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Exploiting absolute arithmetic for power-efficient sum of absolute
                  differences},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {522--525},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292062},
  doi          = {10.1109/ICECS.2017.8292062},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/AbreuPGSDCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RochaPSAFCB17,
  author       = {Leandro M. G. Rocha and
                  Guilherme Paim and
                  Gustavo M. Santana and
                  Brunno A. Abreu and
                  Rafael Ferreira and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Physical implementation of an ASIC-oriented SRAM-based viterbi decoder},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {526--529},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292077},
  doi          = {10.1109/ICECS.2017.8292077},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RochaPSAFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/FerreiraSFDC17,
  author       = {Rafael Ferreira and
                  Bianca Silveira and
                  Mateus Beck Fonseca and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa},
  title        = {Low power sum of absolute differences architecture using novel hybrid
                  adder},
  booktitle    = {8th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2017, Bariloche, Argentina, February 20-23, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/LASCAS.2017.7948092},
  doi          = {10.1109/LASCAS.2017.7948092},
  timestamp    = {Sat, 26 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/FerreiraSFDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/NeuenfeldFCO17,
  author       = {Renato H. Neuenfeld and
                  Mateus Beck Fonseca and
                  Eduardo A. C. da Costa and
                  Jean P. Oses},
  title        = {Exploiting addition schemes for the improvement of optimized radix-2
                  and radix-4 fft butterflies},
  booktitle    = {8th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2017, Bariloche, Argentina, February 20-23, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/LASCAS.2017.7948101},
  doi          = {10.1109/LASCAS.2017.7948101},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/NeuenfeldFCO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/PaimSFCB17,
  author       = {Guilherme Paim and
                  Leonardo Bandeira Soares and
                  Rafael S. Ferreira and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Pruning and approximation of coefficients for power-efficient 2-D
                  Discrete Tchebichef Transform},
  booktitle    = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2017, Strasbourg, France, June 25-28, 2017},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NEWCAS.2017.8010096},
  doi          = {10.1109/NEWCAS.2017.8010096},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/PaimSFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SilveiraFPDC17,
  author       = {Bianca Silveira and
                  Rafael S. Ferreira and
                  Guilherme Paim and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa},
  title        = {Low power {SATD} architecture employing multiple sizes Hadamard Transforms
                  and adder compressors},
  booktitle    = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2017, Strasbourg, France, June 25-28, 2017},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NEWCAS.2017.8010159},
  doi          = {10.1109/NEWCAS.2017.8010159},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/SilveiraFPDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/DornellesPSFCB17,
  author       = {Raphael Dornelles and
                  Guilherme Paim and
                  Bianca Silveira and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A power-efficient 4-2 Adder Compressor topology},
  booktitle    = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2017, Strasbourg, France, June 25-28, 2017},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NEWCAS.2017.8010160},
  doi          = {10.1109/NEWCAS.2017.8010160},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/DornellesPSFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PaimFRCAB17,
  author       = {Guilherme Paim and
                  Rafael S. Ferreira and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Tiago Giacomelli Alves and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {A power-predictive environment for fast and power-aware ASIC-based
                  {FIR} filter design},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {168--173},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110021},
  doi          = {10.1145/3109984.3110021},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PaimFRCAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PaimSOCB16,
  author       = {Guilherme Paim and
                  Leonardo Bandeira Soares and
                  Julio F. R. Oliveira and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {A power-efficient imprecise radix-4 multiplier applied to high resolution
                  audio processing},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841182},
  doi          = {10.1109/ICECS.2016.7841182},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PaimSOCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SilveiraPDC16,
  author       = {Bianca Silveira and
                  Guilherme Paim and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo A. C. da Costa},
  title        = {Power-efficient sum of absolute differences architecture using adder
                  compressors},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {340--343},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841202},
  doi          = {10.1109/ICECS.2016.7841202},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SilveiraPDC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/NeuenfeldFC16,
  author       = {Renato Neuenfeld and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa},
  title        = {Design of optimized radix-2 and radix-4 butterflies from {FFT} with
                  decimation in time},
  booktitle    = {{IEEE} 7th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2016, Florianopolis, Brazil, February 28 - March 2, 2016},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LASCAS.2016.7451037},
  doi          = {10.1109/LASCAS.2016.7451037},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/NeuenfeldFC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OttCAF16,
  author       = {Gustavo Ott and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Mateus Fonseca},
  title        = {Exploiting architectural solutions for {IIR} filter architecture with
                  truncation error feedback},
  booktitle    = {{IEEE} 7th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2016, Florianopolis, Brazil, February 28 - March 2, 2016},
  pages        = {375--378},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LASCAS.2016.7451088},
  doi          = {10.1109/LASCAS.2016.7451088},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/OttCAF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OliveiraSCB16,
  author       = {Julio de Oliveira and
                  Leonardo Bandeira Soares and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Exploiting approximate adder circuits for power-efficient Gaussian
                  and Gradient filters for Canny edge detector algorithm},
  booktitle    = {{IEEE} 7th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2016, Florianopolis, Brazil, February 28 - March 2, 2016},
  pages        = {379--382},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LASCAS.2016.7451089},
  doi          = {10.1109/LASCAS.2016.7451089},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/OliveiraSCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SchiavonPFCA16,
  author       = {Tiago Schiavon and
                  Guilherme Paim and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida},
  title        = {Exploiting adder compressors for power-efficient 2-D approximate {DCT}
                  realization},
  booktitle    = {{IEEE} 7th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2016, Florianopolis, Brazil, February 28 - March 2, 2016},
  pages        = {383--386},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LASCAS.2016.7451090},
  doi          = {10.1109/LASCAS.2016.7451090},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SchiavonPFCA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/PaimC16,
  author       = {Guilherme Paim and
                  Eduardo A. C. da Costa},
  title        = {Using adder compressors for power-efficient 2-D approximate Discrete
                  Tchebichef Transform},
  booktitle    = {14th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2016, Vancouver, BC, Canada, June 26-29, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/NEWCAS.2016.7604835},
  doi          = {10.1109/NEWCAS.2016.7604835},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/PaimC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresDCB16,
  author       = {Leonardo Bandeira Soares and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {A novel pruned-based algorithm for energy-efficient {SATD} operation
                  in the {HEVC} coding},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724049},
  doi          = {10.1109/SBCCI.2016.7724049},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresDCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PaimFCA15,
  author       = {Guilherme Paim and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida},
  title        = {Power efficient 2-D rounded cosine transform with adder compressors
                  for image compression},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {348--351},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440320},
  doi          = {10.1109/ICECS.2015.7440320},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PaimFCA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MartinsFC15,
  author       = {Anderson Martins and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa},
  title        = {Optimal combination of dedicated multiplication blocks and adder trees
                  schemes for optimized radix-2m array multipliers realization},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {352--355},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440321},
  doi          = {10.1109/ICECS.2015.7440321},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/MartinsFC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/JulioSCB15,
  author       = {Julio F. R. Oliveira and
                  Leonardo Bandeira Soares and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Energy-efficient Gaussian filter for image processing using approximate
                  adder circuits},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {450--453},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440345},
  doi          = {10.1109/ICECS.2015.7440345},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/JulioSCB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SilveiraDFC15,
  author       = {Bianca Silveira and
                  Cl{\'{a}}udio Machado Diniz and
                  Mateus Fonseca and
                  Eduardo A. C. da Costa},
  title        = {{SATD} hardware architecture based on 8{\texttimes}8 Hadamard Transform
                  for {HEVC} encoder},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {576--579},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440382},
  doi          = {10.1109/ICECS.2015.7440382},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/SilveiraDFC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/GuidottiCAF15,
  author       = {Vagner Guidotti and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Mateus Fonseca},
  title        = {Floating-point adaptive filter architectures for the cancelling of
                  harmonics power line interference},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {609--612},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440390},
  doi          = {10.1109/ICECS.2015.7440390},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/GuidottiCAF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/DinizFCB15,
  author       = {Cl{\'{a}}udio Machado Diniz and
                  Mateus Beck Fonseca and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Enhancing a {HEVC} interpolation filter hardware architecture with
                  efficient adder compressors},
  booktitle    = {{IEEE} 13th International New Circuits and Systems Conference, {NEWCAS}
                  2015, Grenoble, France, June 7-10, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NEWCAS.2015.7182087},
  doi          = {10.1109/NEWCAS.2015.7182087},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/DinizFCB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SoaresBC15,
  author       = {Leonardo Bandeira Soares and
                  Sergio Bampi and
                  Eduardo Costa},
  title        = {Approximate adder synthesis for area- and energy-efficient {FIR} filters
                  in {CMOS} {VLSI}},
  booktitle    = {{IEEE} 13th International New Circuits and Systems Conference, {NEWCAS}
                  2015, Grenoble, France, June 7-10, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NEWCAS.2015.7182095},
  doi          = {10.1109/NEWCAS.2015.7182095},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/SoaresBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SoaresBRC15,
  author       = {Leonardo Bandeira Soares and
                  Sergio Bampi and
                  Andre Luis Rodeghiero Rosa and
                  Eduardo A. C. da Costa},
  title        = {Near-threshold computing for very wide frequency scaling: Approximate
                  adders to rescue performance},
  booktitle    = {{IEEE} 13th International New Circuits and Systems Conference, {NEWCAS}
                  2015, Grenoble, France, June 7-10, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NEWCAS.2015.7182030},
  doi          = {10.1109/NEWCAS.2015.7182030},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/SoaresBRC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/GhissoniCR15,
  author       = {Sidinei Ghissoni and
                  Eduardo Costa and
                  Ricardo Reis},
  title        = {Reusing smaller optimized {FFT} blocks for the realization of larger
                  power-efficient radix-2 FFTs},
  booktitle    = {25th International Workshop on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2015, Salvador, Brazil, September 1-4, 2015},
  pages        = {169--176},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/PATMOS.2015.7347603},
  doi          = {10.1109/PATMOS.2015.7347603},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/patmos/GhissoniCR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/GhissoniCL14,
  author       = {Sidinei Ghissoni and
                  Eduardo A. C. da Costa and
                  Angelo Goncalves da Luz},
  editor       = {Lorena Garcia},
  title        = {Implementation of power efficient multicore {FFT} datapaths by reordering
                  the twiddle factors},
  booktitle    = {22nd International Conference on Very Large Scale Integration, VLSI-SoC,
                  Playa del Carmen, Mexico, October 6-8, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSI-SoC.2014.7004162},
  doi          = {10.1109/VLSI-SOC.2014.7004162},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/GhissoniCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AksoyLCFM13,
  author       = {Levent Aksoy and
                  Cristiano Lazzari and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Design of Digit-Serial {FIR} Filters: Algorithms, Architectures, and
                  a {CAD} Tool},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {498--511},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2188917},
  doi          = {10.1109/TVLSI.2012.2188917},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AksoyLCFM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/AksoyCFM13,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Exploration of tradeoffs in the design of integer cosine transforms
                  for image compression},
  booktitle    = {21st European Conference on Circuit Theory and Design, {ECCTD} 2013,
                  Dresden, Germany, September 8-12, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ECCTD.2013.6662223},
  doi          = {10.1109/ECCTD.2013.6662223},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/AksoyCFM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RahmeierLCG13,
  author       = {Jo{\~{a}}o G. Nizer Rahmeier and
                  Angelo G. da Luz and
                  Eduardo A. C. da Costa and
                  Sidinei Ghissoni},
  title        = {Reducing switching activity in {FIR} filters by reordering the coefficients
                  through the use of improved heuristic algorithm},
  booktitle    = {20th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICECS.2013.6815338},
  doi          = {10.1109/ICECS.2013.6815338},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/RahmeierLCG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CostaA13,
  author       = {Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida},
  title        = {Design of an efficient FPGA-based interference canceller structure
                  using {NLMS} adaptive algorithm},
  booktitle    = {20th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013},
  pages        = {779--782},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICECS.2013.6815530},
  doi          = {10.1109/ICECS.2013.6815530},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/CostaA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/LuzCG13,
  author       = {Angelo Goncalves da Luz and
                  Eduardo A. C. da Costa and
                  Sidinei Ghissoni},
  title        = {Reducing the Hamming distance of encoded {FFT} twiddle factors using
                  improved heuristic algorithms},
  booktitle    = {4th {IEEE} Latin American Symposium on Circuits and Systems, {LASCAS}
                  2013, Cusco, Peru, February 27 - March 1, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/LASCAS.2013.6519053},
  doi          = {10.1109/LASCAS.2013.6519053},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/LuzCG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SeibelICA13,
  author       = {Gustavo Seibel and
                  Fabio Itturriet and
                  Eduardo Costa and
                  S{\'{e}}rgio Almeida},
  title        = {Fixed-point adaptive filter architecture for the harmonics power line
                  interference cancelling},
  booktitle    = {4th {IEEE} Latin American Symposium on Circuits and Systems, {LASCAS}
                  2013, Cusco, Peru, February 27 - March 1, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/LASCAS.2013.6519012},
  doi          = {10.1109/LASCAS.2013.6519012},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/SeibelICA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaAM13,
  author       = {Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Monica Matzenauer},
  title        = {Gray encoded fixed-point {LMS} adaptive filter architecture for the
                  harmonics power line interference cancelling},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644877},
  doi          = {10.1109/SBCCI.2013.6644877},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PieperCM13,
  author       = {Leandro Zafalon Pieper and
                  Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro},
  title        = {Combination of radix-2\({}^{\mbox{m}}\) multiplier blocks and adder
                  compressors for the design of efficient 2's complement 64-bit array
                  multipliers},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644866},
  doi          = {10.1109/SBCCI.2013.6644866},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PieperCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/AksoyLCFM12,
  author       = {Levent Aksoy and
                  Cristiano Lazzari and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {High-level algorithms for the optimization of gate-level area in digit-serial
                  multiple constant multiplications},
  journal      = {Integr.},
  volume       = {45},
  number       = {3},
  pages        = {294--306},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.vlsi.2011.11.008},
  doi          = {10.1016/J.VLSI.2011.11.008},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/AksoyLCFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/AksoyCFM12,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Optimization Algorithms for the Multiplierless Realization of Linear
                  Transforms},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {3:1--3:27},
  year         = {2012},
  url          = {https://doi.org/10.1145/2071356.2071359},
  doi          = {10.1145/2071356.2071359},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/AksoyCFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AksoyCFM12,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Design of low-complexity digital finite impulse response filters on
                  FPGAs},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1197--1202},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176675},
  doi          = {10.1109/DATE.2012.6176675},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AksoyCFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AksoyCFM12,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Alan J. Hu},
  title        = {Multiple tunable constant multiplications: Algorithms and applications},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {473--479},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429482},
  doi          = {10.1145/2429384.2429482},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AksoyCFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/GhissoniCMR12,
  author       = {Sidinei Ghissoni and
                  Eduardo Costa and
                  Jos{\'{e}} Monteiro and
                  Ricardo Reis},
  title        = {Efficient area and power multiplication part of {FFT} based on twiddle
                  factor decomposition},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {657--660},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463640},
  doi          = {10.1109/ICECS.2012.6463640},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/GhissoniCMR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/AksoyCFM11,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Finding the optimal tradeoff between area and delay in multiple constant
                  multiplications},
  journal      = {Microprocess. Microsystems},
  volume       = {35},
  number       = {8},
  pages        = {729--741},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.micpro.2011.08.009},
  doi          = {10.1016/J.MICPRO.2011.08.009},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/AksoyCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/AksoyCFM11,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Optimization of gate-level area in high throughput Multiple Constant
                  Multiplications},
  booktitle    = {20th European Conference on Circuit Theory and Design, {ECCTD} 2011,
                  Linkoping, Sweden, Aug. 29-31, 2011},
  pages        = {588--591},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ECCTD.2011.6043602},
  doi          = {10.1109/ECCTD.2011.6043602},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/AksoyCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/AltermannCA11,
  author       = {Jo{\~{a}}o S. Altermann and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida},
  title        = {High performance Haar Wavelet transform architecture},
  booktitle    = {20th European Conference on Circuit Theory and Design, {ECCTD} 2011,
                  Linkoping, Sweden, Aug. 29-31, 2011},
  pages        = {596--599},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ECCTD.2011.6043604},
  doi          = {10.1109/ECCTD.2011.6043604},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/AltermannCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AksoyLCFM11,
  author       = {Levent Aksoy and
                  Cristiano Lazzari and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {David Atienza and
                  Yuan Xie and
                  Jos{\'{e}} L. Ayala and
                  Ken S. Stevens},
  title        = {Efficient shift-adds design of digit-serial multiple constant multiplications},
  booktitle    = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010,
                  Lausanne, Switzerland, May 2-6, 2011},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1973009.1973023},
  doi          = {10.1145/1973009.1973023},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AksoyLCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AksoyCFM11,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {David Atienza and
                  Yuan Xie and
                  Jos{\'{e}} L. Ayala and
                  Ken S. Stevens},
  title        = {Design of low-power multiple constant multiplications using low-complexity
                  minimum depth operations},
  booktitle    = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010,
                  Lausanne, Switzerland, May 2-6, 2011},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1973009.1973026},
  doi          = {10.1145/1973009.1973026},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AksoyCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/GhissoniCMR11,
  author       = {Sidinei Ghissoni and
                  Eduardo Costa and
                  Jos{\'{e}} Monteiro and
                  Ricardo Reis},
  title        = {Combination of constant matrix multiplication and gate-level approaches
                  for area and power efficient hybrid radix-2 {DIT} {FFT} realization},
  booktitle    = {18th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2011, Beirut, Lebanon, December 11-14, 2011},
  pages        = {567--570},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICECS.2011.6122338},
  doi          = {10.1109/ICECS.2011.6122338},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/GhissoniCMR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AksoyLCFM11,
  author       = {Levent Aksoy and
                  Cristiano Lazzari and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Optimization of area in digit-serial Multiple Constant Multiplications
                  at gate-level},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {2737--2740},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5938171},
  doi          = {10.1109/ISCAS.2011.5938171},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AksoyLCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LuzCA11,
  author       = {Angelo G. da Luz and
                  Eduardo A. C. da Costa and
                  Marilton S. de Aguiar},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Exploring the use of heuristic-based algorithms for the ordering and
                  partitioning of coefficients for power efficient fir filters realization},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {91--96},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020898},
  doi          = {10.1145/2020876.2020898},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LuzCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AksoyCFM11a,
  author       = {Levent Aksoy and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Salvador Mir and
                  Chi{-}Ying Tsui and
                  Ricardo Reis and
                  Oliver C. S. Choy},
  title        = {Multiplierless Design of Linear {DSP} Transforms},
  booktitle    = {VLSI-SoC: Advanced Research for Systems on Chip - 19th {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2011, Hong Kong, China, October 3-5, 2011, Revised Selected
                  Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {379},
  pages        = {73--93},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-32770-4\_5},
  doi          = {10.1007/978-3-642-32770-4\_5},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/AksoyCFM11a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AksoyCFM11,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {A hybrid algorithm for the optimization of area and delay in linear
                  {DSP} transforms},
  booktitle    = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011},
  pages        = {148--153},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/VLSISoC.2011.6081637},
  doi          = {10.1109/VLSISOC.2011.6081637},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/AksoyCFM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcp/PieperCABM10,
  author       = {Leandro Zafalon Pieper and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Sergio Bampi and
                  Jos{\'{e}} C. Monteiro},
  title        = {Efficient Dedicated Multiplication Blocks for 2's Complement Radix-2m
                  Array Multipliers},
  journal      = {J. Comput.},
  volume       = {5},
  number       = {10},
  pages        = {1502--1509},
  year         = {2010},
  url          = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=134\&\#38;id=2231},
  doi          = {10.4304/JCP.5.10.1502-1509},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jcp/PieperCABM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/AksoyCFM10,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Sebasti{\'{a}}n L{\'{o}}pez},
  title        = {Optimization of Area and Delay at Gate-Level in Multiple Constant
                  Multiplications},
  booktitle    = {13th Euromicro Conference on Digital System Design, Architectures,
                  Methods and Tools, {DSD} 2010, 1-3 September 2010, Lille, France},
  pages        = {3--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DSD.2010.32},
  doi          = {10.1109/DSD.2010.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/AksoyCFM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/GhissoniCLMAR10,
  author       = {Sidinei Ghissoni and
                  Eduardo Costa and
                  Cristiano Lazzari and
                  Jos{\'{e}} Monteiro and
                  Levent Aksoy and
                  Ricardo Reis},
  title        = {Radix-2 Decimation in Time {(DIT)} {FFT} implementation based on a
                  Matrix-Multiple Constant multiplication approach},
  booktitle    = {17th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2010, Athens, Greece, 12-15 December, 2010},
  pages        = {859--862},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICECS.2010.5724648},
  doi          = {10.1109/ICECS.2010.5724648},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/GhissoniCLMAR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DinizACB10,
  author       = {Cl{\'{a}}udio Machado Diniz and
                  Jo{\~{a}}o S. Altermann and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Performance enhancement of {H.264/AVC} intra frame prediction hardware
                  using efficient 4-2 and 5-2 adder-compressors},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854193},
  doi          = {10.1145/1854153.1854193},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DinizACB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LuzCA10,
  author       = {Angelo G. da Luz and
                  Eduardo A. C. da Costa and
                  Marilton S. de Aguiar},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Ordering and partitioning of coefficients based on heuristic algorithms
                  for low power {FIR} filter realization},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {180--185},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854198},
  doi          = {10.1145/1854153.1854198},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LuzCA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/JaccottetCAFM10,
  author       = {Diego Jaccottet and
                  Eduardo Costa and
                  Levent Aksoy and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Design of low-complexity and high-speed digital Finite Impulse Response
                  filters},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {292--297},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642676},
  doi          = {10.1109/VLSISOC.2010.5642676},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/JaccottetCAFM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AltermannCB10,
  author       = {Jo{\~{a}}o S. Altermann and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Fast forward and inverse transforms for the {H.264/AVC} standard using
                  hierarchical adder compressors},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {310--315},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642679},
  doi          = {10.1109/VLSISOC.2010.5642679},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/AltermannCB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PortoBAC09,
  author       = {Marcelo Schiavon Porto and
                  Sergio Bampi and
                  Jo{\~{a}}o S. Altermann and
                  Eduardo Costa},
  title        = {Power efficient architecture for motion estimation using the {QSDS-DIC}
                  algorithm},
  booktitle    = {16th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2009, Yasmine Hammamet, Tunisia, 13-19 December,
                  2009},
  pages        = {331--334},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICECS.2009.5411007},
  doi          = {10.1109/ICECS.2009.5411007},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PortoBAC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RosaDCB09,
  author       = {Vagner S. Rosa and
                  Fabio F. Daitx and
                  Eduardo Costa and
                  Sergio Bampi},
  title        = {Design flow for the generation of optimized {FIR} filters},
  booktitle    = {16th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2009, Yasmine Hammamet, Tunisia, 13-19 December,
                  2009},
  pages        = {1000--1003},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICECS.2009.5410839},
  doi          = {10.1109/ICECS.2009.5410839},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RosaDCB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AksoyJC09,
  author       = {Levent Aksoy and
                  Diego Jaccottet and
                  Eduardo Costa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of low complexity digital {FIR} filters},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601951},
  doi          = {10.1145/1601896.1601951},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AksoyJC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCAPB09,
  author       = {Andr{\'{e}} Silva and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {High performance motion estimation architecture using efficient adder-compressors},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601912},
  doi          = {10.1145/1601896.1601912},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCAPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AksoyCFM08,
  author       = {Levent Aksoy and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Exact and Approximate Algorithms for the Optimization of Area and
                  Delay in Multiple Constant Multiplications},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {6},
  pages        = {1013--1026},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.923242},
  doi          = {10.1109/TCAD.2008.923242},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AksoyCFM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/CostaMB07,
  author       = {Eduardo A. C. da Costa and
                  Jos{\'{e}} Monteiro and
                  Sergio Bampi},
  title        = {A new array architecture for signed multiplication using Gray encoded
                  radix-2\({}^{\mbox{m}}\) operands},
  journal      = {Integr.},
  volume       = {40},
  number       = {2},
  pages        = {118--132},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.vlsi.2006.02.010},
  doi          = {10.1016/J.VLSI.2006.02.010},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/CostaMB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AksoyCFM07,
  author       = {Levent Aksoy and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Optimization of Area in Digital {FIR} Filters using Gate-Level Metrics},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {420--423},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278588},
  doi          = {10.1145/1278480.1278588},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AksoyCFM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/AksoyCFM07,
  author       = {Levent Aksoy and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Minimum number of operations under a general number representation
                  for digital filter synthesis},
  booktitle    = {18th European Conference on Circuit Theory and Design, {ECCTD} 2007,
                  Seville, Spain, August 26-30, 2007},
  pages        = {252--255},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ECCTD.2007.4529584},
  doi          = {10.1109/ECCTD.2007.4529584},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/AksoyCFM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/AksoyGCFM07,
  author       = {Levent Aksoy and
                  Ece Olcay G{\"{u}}nes and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Effect of Number Representation on the Achievable Minimum Number of
                  Operations in Multiple Constant Multiplications},
  booktitle    = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS
                  2007, Proceedings, October 17-19, 2007, Eton Hotel, Shanghai, China},
  pages        = {424--429},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/SIPS.2007.4387585},
  doi          = {10.1109/SIPS.2007.4387585},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/AksoyGCFM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AksoyCFM06,
  author       = {Levent Aksoy and
                  Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Ellen Sentovich},
  title        = {Optimization of area under a delay constraint in digital filter synthesis
                  using SAT-based integer linear programming},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {669--674},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147079},
  doi          = {10.1145/1146909.1147079},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AksoyCFM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/AksoyCFM06,
  author       = {Levent Aksoy and
                  Eduardo Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {ASSUMEs: Heuristic Algorithms for Optimization of Area and Delay in
                  Digital Filter Synthesis},
  booktitle    = {13th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2006, Nice, France, December 10-13, 2006},
  pages        = {748--751},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICECS.2006.379897},
  doi          = {10.1109/ICECS.2006.379897},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/AksoyCFM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/RosaCB06,
  author       = {Vagner S. Rosa and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A High Performance Parallel {FIR} Filters Generation Tool},
  booktitle    = {17th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2006), 14-16 June 2006, Chania, Crete, Greece},
  pages        = {216--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/RSP.2006.2},
  doi          = {10.1109/RSP.2006.2},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/RosaCB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaFM06,
  author       = {Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Exploiting general coefficient representation for the optimal sharing
                  of partial products in MCMs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150387},
  doi          = {10.1145/1150343.1150387},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaFM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RosaCB06,
  author       = {Vagner S. Rosa and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {A {VHDL} Generation Tool for Optimized Parallel {FIR} Filters},
  booktitle    = {{IFIP} VLSI-SoC 2006, {IFIP} {WG} 10.5 International Conference on
                  Very Large Scale Integration of System-on-Chip, Nice, France, 16-18
                  October 2006},
  pages        = {134--139},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSISOC.2006.313217},
  doi          = {10.1109/VLSISOC.2006.313217},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/RosaCB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/CostaFM05,
  author       = {Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  title        = {Maximal sharing of partial terms in {MCM} under minimal signed digit
                  representation},
  booktitle    = {Proceedings of the 2005 European Conference on Circuit Theory and
                  Design, {ECCTD} 2005, Cork, Ireland, August 29th - September 1st 2005},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ECCTD.2005.1523033},
  doi          = {10.1109/ECCTD.2005.1523033},
  timestamp    = {Thu, 28 Mar 2024 11:30:41 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/CostaFM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FloresMC05,
  author       = {Paulo F. Flores and
                  Jos{\'{e}} Monteiro and
                  Eduardo A. C. da Costa},
  title        = {An exact algorithm for the maximal sharing of partial terms in multiple
                  constant multiplications},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {13--16},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560032},
  doi          = {10.1109/ICCAD.2005.1560032},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/FloresMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FonsecaCBM05,
  author       = {Mateus Fonseca and
                  Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design of a radix-2\({}^{\mbox{m}}\) hybrid array multiplier using
                  carry save adder format},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {172--177},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081126},
  doi          = {10.1145/1081081.1081126},
  timestamp    = {Fri, 03 Jun 2022 10:52:19 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FonsecaCBM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/OliveiraSFCMMBR05,
  author       = {Leonardo Londero de Oliveira and
                  Cristiano Santos and
                  Daniel Lima Ferr{\~{a}}o and
                  Eduardo A. C. da Costa and
                  Jos{\'{e}} Monteiro and
                  Jo{\~{a}}o Baptista dos Santos Martins and
                  Sergio Bampi and
                  Ricardo Augusto da Luz Reis},
  editor       = {Ricardo Augusto da Luz Reis and
                  Adam Osseiran and
                  Hans{-}J{\"{o}}rg Pfleiderer},
  title        = {A Comparison of Layout Implementations of Pipelined and Non-Pipelined
                  Signed Radix-4 Array Multiplier and Modified Booth Multiplier Architectures},
  booktitle    = {VLSI-SoC: From Systems To Silicon, Proceedings of {IFIP} {TC} 10,
                  {WG} 10.5, Thirteenth International Conference on Very Large Scale
                  Integration of System on Chip (VLSI-SoC 2005), October 17-19, 2005,
                  Perth, Australia},
  series       = {{IFIP}},
  volume       = {240},
  pages        = {25--39},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/978-0-387-73661-7\_3},
  doi          = {10.1007/978-0-387-73661-7\_3},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/OliveiraSFCMMBR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaCMB04,
  author       = {Vagner S. Rosa and
                  Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro and
                  Sergio Bampi},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {An improved synthesis method for low power hardwired {FIR} filters},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {237--241},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016632},
  doi          = {10.1145/1016568.1016632},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaCMB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaBM03,
  author       = {Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Pipelined Array Architecture for Signed Multiplication},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {65--70},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232808},
  doi          = {10.1109/SBCCI.2003.1232808},
  timestamp    = {Fri, 17 Jun 2022 15:49:04 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaBM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/CostaMB03a,
  author       = {Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro and
                  Sergio Bampi},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Leandro Soares Indrusiak and
                  Vincent John Mooney III and
                  Hans Eveking},
  title        = {Gray Encoded Arithmetic Operators Applied to {FFT} and {FIR} Dedicated
                  Datapaths},
  booktitle    = {{VLSI-SOC:} From Systems to Chips - {IFIP} {TC} 10/ {WG} 10.5 Twelfth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC 2003), December 1-3, 2003, Darmstadt, Germany},
  series       = {{IFIP}},
  volume       = {200},
  pages        = {281--297},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-387-33403-3\_18},
  doi          = {10.1007/0-387-33403-3\_18},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/CostaMB03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/CostaMB03,
  author       = {Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro and
                  Sergio Bampi},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Hans Eveking and
                  Vincent John Mooney III and
                  Leandro Soares Indrusiak and
                  Peter Zipf},
  title        = {Gray Encoded Arithmetic Operators Applied to {FFT} and {FIR} Dedicated
                  Datapaths},
  booktitle    = {{IFIP} VLSI-SoC 2003, {IFIP} {WG} 10.5 International Conference on
                  Very Large Scale Integration of System-on-Chip, Darmstadt, Germany,
                  1-3 December 2003},
  pages        = {307},
  publisher    = {Technische Universit{\"{a}}t Darmstadt, Insitute of Microelectronic
                  Systems},
  year         = {2003},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/CostaMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CostaBM02,
  author       = {Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Architecture for Signed Radix-2m Pure Array Multipliers},
  booktitle    = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI}
                  in Computers and Processors, 16-18 September 2002, Freiburg, Germany,
                  Proceedings},
  pages        = {112--117},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ICCD.2002.1106756},
  doi          = {10.1109/ICCD.2002.1106756},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CostaBM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001B002,
  author       = {Eduardo Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Architecture for 2's Complement Gray Encoded Array Multiplier},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827361},
  doi          = {10.5555/827246.827361},
  timestamp    = {Fri, 10 Jun 2022 11:12:41 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001B002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001B001,
  author       = {Eduardo Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {Power Efficient Arithmetic Operand Encoding},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {201--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883905},
  doi          = {10.5555/882483.883905},
  timestamp    = {Fri, 03 Jun 2022 10:49:44 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001B001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaCCCB00,
  author       = {Eduardo A. C. da Costa and
                  Fernando Paix{\~{a}}o Cortes and
                  Rodrigo Ferrugem Cardoso and
                  Luigi Carro and
                  Sergio Bampi},
  title        = {Modeling of Short Circuit Power Consumption Using Timing-Only Logic
                  Cell Macromodels},
  booktitle    = {Proceedings of the 13th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000},
  pages        = {222--227},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://dl.acm.org/doi/10.5555/827245.827320},
  doi          = {10.5555/827245.827320},
  timestamp    = {Fri, 03 Jun 2022 10:50:13 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaCCCB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics