BibTeX records: Anupam Chattopadhyay

download as .bib file

@inproceedings{DBLP:conf/cosade/JatiGCS24,
  author       = {Arpan Jati and
                  Naina Gupta and
                  Anupam Chattopadhyay and
                  Somitra Kumar Sanadhya},
  editor       = {Romain Wacquez and
                  Naofumi Homma},
  title        = {{EFFLUX-F2:} {A} High Performance Hardware Security Evaluation Board},
  booktitle    = {Constructive Side-Channel Analysis and Secure Design - 15th International
                  Workshop, {COSADE} 2024, Gardanne, France, April 9-10, 2024, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {14595},
  pages        = {38--56},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-57543-3\_3},
  doi          = {10.1007/978-3-031-57543-3\_3},
  timestamp    = {Mon, 15 Apr 2024 21:35:29 +0200},
  biburl       = {https://dblp.org/rec/conf/cosade/JatiGCS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/VembuCS24,
  author       = {Swathi Kumar Vembu and
                  Anupam Chattopadhyay and
                  Sayandeep Saha},
  title        = {Authenticating Edge Neural Network through Hardware Security Modules
                  and Quantum-Safe Key Management},
  booktitle    = {37th International Conference on {VLSI} Design and 23rd International
                  Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January
                  6-10, 2024},
  pages        = {318--323},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/VLSID60093.2024.00059},
  doi          = {10.1109/VLSID60093.2024.00059},
  timestamp    = {Mon, 08 Apr 2024 20:48:39 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/VembuCS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/RajendranZTSMRC24,
  author       = {Gokulnath Rajendran and
                  Furqan Zahoor and
                  Sidhaant Sachin Thakker and
                  Simranjeet Singh and
                  Farhad Merchant and
                  Vikas Rana and
                  Anupam Chattopadhyay},
  title        = {Harnessing Entropy: {RRAM} Crossbar-based Unified {PUF} and {RNG}},
  booktitle    = {37th International Conference on {VLSI} Design and 23rd International
                  Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January
                  6-10, 2024},
  pages        = {560--564},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/VLSID60093.2024.00099},
  doi          = {10.1109/VLSID60093.2024.00099},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/RajendranZTSMRC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/indocrypt/2023-1,
  editor       = {Anupam Chattopadhyay and
                  Shivam Bhasin and
                  Stjepan Picek and
                  Chester Rebeiro},
  title        = {Progress in Cryptology - {INDOCRYPT} 2023 - 24th International Conference
                  on Cryptology in India, Goa, India, December 10-13, 2023, Proceedings,
                  Part {I}},
  series       = {Lecture Notes in Computer Science},
  volume       = {14459},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-56232-7},
  doi          = {10.1007/978-3-031-56232-7},
  isbn         = {978-3-031-56231-0},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/2023-1.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/indocrypt/2023-2,
  editor       = {Anupam Chattopadhyay and
                  Shivam Bhasin and
                  Stjepan Picek and
                  Chester Rebeiro},
  title        = {Progress in Cryptology - {INDOCRYPT} 2023 - 24th International Conference
                  on Cryptology in India, Goa, India, December 10-13, 2023, Proceedings,
                  Part {II}},
  series       = {Lecture Notes in Computer Science},
  volume       = {14460},
  publisher    = {Springer},
  year         = {2024},
  url          = {https://doi.org/10.1007/978-3-031-56235-8},
  doi          = {10.1007/978-3-031-56235-8},
  isbn         = {978-3-031-56234-1},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/2023-2.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-00896,
  author       = {Alka Luqman and
                  Riya Mahesh and
                  Anupam Chattopadhyay},
  title        = {Privacy and Security Implications of Cloud-Based {AI} Services : {A}
                  Survey},
  journal      = {CoRR},
  volume       = {abs/2402.00896},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.00896},
  doi          = {10.48550/ARXIV.2402.00896},
  eprinttype    = {arXiv},
  eprint       = {2402.00896},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-00896.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-01206,
  author       = {Siyi Wang and
                  Eugene Lim and
                  Anupam Chattopadhyay},
  title        = {Boosting the Efficiency of Quantum Divider through Effective Design
                  Space Exploration},
  journal      = {CoRR},
  volume       = {abs/2403.01206},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.01206},
  doi          = {10.48550/ARXIV.2403.01206},
  eprinttype    = {arXiv},
  eprint       = {2403.01206},
  timestamp    = {Wed, 10 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-01206.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviJBC24,
  author       = {Prasanna Ravi and
                  Dirmanto Jap and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Machine Learning based Blind Side-Channel Attacks on PQC-based KEMs
                  - {A} Case Study of Kyber {KEM}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {169},
  year         = {2024},
  url          = {https://eprint.iacr.org/2024/169},
  timestamp    = {Fri, 16 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviJBC24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-qtc/ChatterjeeDBSCC23,
  author       = {Turbasu Chatterjee and
                  Arnav Das and
                  Subhayu Kumar Bala and
                  Amit Saha and
                  Anupam Chattopadhyay and
                  Amlan Chakrabarti},
  title        = {QuDiet: {A} classical simulation platform for qubit-qudit hybrid quantum
                  systems},
  journal      = {{IET} Quantum Commun.},
  volume       = {4},
  number       = {4},
  pages        = {167--180},
  year         = {2023},
  url          = {https://doi.org/10.1049/qtc2.12058},
  doi          = {10.1049/QTC2.12058},
  timestamp    = {Tue, 02 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-qtc/ChatterjeeDBSCC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChattopadhyayBM23,
  author       = {Anupam Chattopadhyay and
                  Debjyoti Bhattacharjee and
                  Subhamoy Maitra},
  title        = {Improved Linear Decomposition of Majority and Threshold Boolean Functions},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {11},
  pages        = {3951--3957},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2023.3257082},
  doi          = {10.1109/TCAD.2023.3257082},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ChattopadhyayBM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/0001JCJ23,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Anupam Chattopadhyay and
                  Gautam Jha},
  title        = {Lightweight Hardware Accelerator for Post-Quantum Digital Signature
                  CRYSTALS-Dilithium},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {8},
  pages        = {3234--3243},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2023.3274599},
  doi          = {10.1109/TCSI.2023.3274599},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/0001JCJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/RajendranRDBC23,
  author       = {Gokulnath Rajendran and
                  Prasanna Ravi and
                  Jan{-}Pieter D'Anvers and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Pushing the Limits of Generic Side-Channel Attacks on LWE-based KEMs
                  - Parallel {PC} Oracle Attacks on Kyber {KEM} and Beyond},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2023},
  number       = {2},
  pages        = {418--446},
  year         = {2023},
  url          = {https://doi.org/10.46586/tches.v2023.i2.418-446},
  doi          = {10.46586/TCHES.V2023.I2.418-446},
  timestamp    = {Sat, 13 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tches/RajendranRDBC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/RaviYBZC23,
  author       = {Prasanna Ravi and
                  Bolin Yang and
                  Shivam Bhasin and
                  Fan Zhang and
                  Anupam Chattopadhyay},
  title        = {Fiddling the Twiddle Constants - Fault Injection Analysis of the Number
                  Theoretic Transform},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2023},
  number       = {2},
  pages        = {447--481},
  year         = {2023},
  url          = {https://doi.org/10.46586/tches.v2023.i2.447-481},
  doi          = {10.46586/TCHES.V2023.I2.447-481},
  timestamp    = {Sat, 13 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tches/RaviYBZC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MondalKMRSC23,
  author       = {Anindan Mondal and
                  Shubrojyoti Karmakar and
                  Mahabub Hasan Mahalat and
                  Suchismita Roy and
                  Bibhash Sen and
                  Anupam Chattopadhyay},
  title        = {Hardware Trojan Detection using Transition Probability with Minimal
                  Test Vectors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {22},
  number       = {1},
  pages        = {11:1--11:21},
  year         = {2023},
  url          = {https://doi.org/10.1145/3545000},
  doi          = {10.1145/3545000},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/MondalKMRSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SinghZRPCM23,
  author       = {Simranjeet Singh and
                  Furqan Zahoor and
                  Gokulnath Rajendran and
                  Sachin B. Patkar and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  editor       = {Atsushi Takahashi},
  title        = {Hardware Security Primitives Using Passive {RRAM} Crossbar Array:
                  Novel {TRNG} and {PUF} Designs},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {449--454},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3568348},
  doi          = {10.1145/3566097.3568348},
  timestamp    = {Mon, 26 Jun 2023 20:46:40 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SinghZRPCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaJC23,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Anupam Chattopadhyay},
  title        = {CRYSTALS-Dilithium on {RISC-V} Processor: Lightweight Secure Boot
                  Using Post-Quantum Digital Signature},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323688},
  doi          = {10.1109/ICCAD57390.2023.10323688},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaJC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaviJBC23,
  author       = {Prasanna Ravi and
                  Dirmanto Jap and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Invited Paper: Machine Learning Based Blind Side-Channel Attacks on
                  PQC-Based KEMs - {A} Case Study of Kyber {KEM}},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323721},
  doi          = {10.1109/ICCAD57390.2023.10323721},
  timestamp    = {Wed, 03 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RaviJBC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SinghZRRPCM23,
  author       = {Simranjeet Singh and
                  Furqan Zahoor and
                  Gokulnath Rajendran and
                  Vikas Rana and
                  Sachin B. Patkar and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  title        = {Integrated Architecture for Neural Networks and Security Primitives
                  using {RRAM} Crossbar},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198126},
  doi          = {10.1109/NEWCAS57931.2023.10198126},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/newcas/SinghZRRPCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/DebCM23,
  author       = {Suman Deb and
                  Anupam Chattopadhyay and
                  Avi Mendelson},
  title        = {A {RISC-V} SoC with Hardware Trojans: Case Study on Trojan-ing the
                  On-Chip Protocol Conversion},
  booktitle    = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321883},
  doi          = {10.1109/VLSI-SOC57769.2023.10321883},
  timestamp    = {Wed, 06 Dec 2023 13:14:06 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/DebCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/LimKJWBCS23,
  author       = {Sejin Lim and
                  Hyunjun Kim and
                  Kyungbae Jang and
                  Siyi Wang and
                  Anubhab Baksi and
                  Anupam Chattopadhyay and
                  Hwajeong Seo},
  title        = {Optimized Quantum Circuit Implementation of Payoff Function},
  booktitle    = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321843},
  doi          = {10.1109/VLSI-SOC57769.2023.10321843},
  timestamp    = {Wed, 06 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/LimKJWBCS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RajendranZSMRC23,
  author       = {Gokulnath Rajendran and
                  Furqan Zahoor and
                  Simranjeet Singh and
                  Farhad Merchant and
                  Vikas Rana and
                  Anupam Chattopadhyay},
  title        = {{PR-PUF:} {A} Reconfigurable Strong {RRAM} {PUF}},
  booktitle    = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321884},
  doi          = {10.1109/VLSI-SOC57769.2023.10321884},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/RajendranZSMRC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/WangC23,
  author       = {Siyi Wang and
                  Anupam Chattopadhyay},
  title        = {Reducing Depth of Quantum Adder using Ling Structure},
  booktitle    = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321948},
  doi          = {10.1109/VLSI-SOC57769.2023.10321948},
  timestamp    = {Wed, 06 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/WangC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2304-02921,
  author       = {Siyi Wang and
                  Anubhab Baksi and
                  Anupam Chattopadhyay},
  title        = {A Higher Radix Architecture for Quantum Carry-lookahead Adder},
  journal      = {CoRR},
  volume       = {abs/2304.02921},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2304.02921},
  doi          = {10.48550/ARXIV.2304.02921},
  eprinttype    = {arXiv},
  eprint       = {2304.02921},
  timestamp    = {Thu, 20 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2304-02921.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2304-13531,
  author       = {Simranjeet Singh and
                  Furqan Zahoor and
                  Gokulnath Rajendran and
                  Vikas Rana and
                  Sachin B. Patkar and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  title        = {Integrated Architecture for Neural Networks and Security Primitives
                  using {RRAM} Crossbar},
  journal      = {CoRR},
  volume       = {abs/2304.13531},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2304.13531},
  doi          = {10.48550/ARXIV.2304.13531},
  eprinttype    = {arXiv},
  eprint       = {2304.13531},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2304-13531.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ChunBC23,
  author       = {Matthew Chun and
                  Anubhab Baksi and
                  Anupam Chattopadhyay},
  title        = {{DORCIS:} Depth Optimized Quantum Implementation of Substitution Boxes},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {286},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/286},
  timestamp    = {Tue, 28 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ChunBC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/GuptaJC23,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Anupam Chattopadhyay},
  title        = {{AI} Attacks {AI:} Recovering Neural Network architecture from {NVDLA}
                  using AI-assisted Side Channel Attack},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {368},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/368},
  timestamp    = {Fri, 31 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/GuptaJC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RoyBC23,
  author       = {Soham Roy and
                  Anubhab Baksi and
                  Anupam Chattopadhyay},
  title        = {Quantum Implementation of {ASCON} Linear Layer},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {617},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/617},
  timestamp    = {Mon, 05 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RoyBC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/WadhwaBHCIS23,
  author       = {Manas Wadhwa and
                  Anubhab Baksi and
                  Kai Hu and
                  Anupam Chattopadhyay and
                  Takanori Isobe and
                  Dhiman Saha},
  title        = {Finding Desirable Substitution Box with {SASQUATCH}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {742},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/742},
  timestamp    = {Mon, 05 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/WadhwaBHCIS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BaksiBCGGGHIJJKLMSSSS23,
  author       = {Anubhab Baksi and
                  Jakub Breier and
                  Anupam Chattopadhyay and
                  Tomas Gerlich and
                  Sylvain Guilley and
                  Naina Gupta and
                  Kai Hu and
                  Takanori Isobe and
                  Arpan Jati and
                  Petr Jedlicka and
                  Hyunjun Kim and
                  Fukang Liu and
                  Zdenek Martinasek and
                  Kosei Sakamoto and
                  Hwajeong Seo and
                  Rentaro Shiba and
                  Ritu Ranjan Shrivastwa},
  title        = {{BAKSHEESH:} Similar Yet Different From {GIFT}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {750},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/750},
  timestamp    = {Mon, 05 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/BaksiBCGGGHIJJKLMSSSS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ChattopadhyayMMRT23,
  author       = {Anupam Chattopadhyay and
                  Subhamoy Maitra and
                  Bimal Mandal and
                  Manmatha Roy and
                  Deng Tang},
  title        = {Efficient Hardware Implementation for Maiorana-McFarland type Functions},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1970},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/1970},
  timestamp    = {Wed, 10 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ChattopadhyayMMRT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/csur/RaviHCB21,
  author       = {Prasanna Ravi and
                  James Howe and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Lattice-based Key-sharing Schemes: {A} Survey},
  journal      = {{ACM} Comput. Surv.},
  volume       = {54},
  number       = {1},
  pages        = {9:1--9:39},
  year         = {2022},
  url          = {https://doi.org/10.1145/3422178},
  doi          = {10.1145/3422178},
  timestamp    = {Wed, 23 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/csur/RaviHCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/RonenELPKCPK22,
  author       = {Ronny Ronen and
                  Adi Eliahu and
                  Orian Leitersdorf and
                  Natan Peled and
                  Kunal Korgaonkar and
                  Anupam Chattopadhyay and
                  Ben Perach and
                  Shahar Kvatinsky},
  title        = {The Bitlet Model: {A} Parameterized Analytical Model to Compare {PIM}
                  and {CPU} Systems},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {18},
  number       = {2},
  pages        = {43:1--43:29},
  year         = {2022},
  url          = {https://doi.org/10.1145/3465371},
  doi          = {10.1145/3465371},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/RonenELPKCPK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/RaviEBCR22,
  author       = {Prasanna Ravi and
                  Martianus Frederic Ezerman and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Sujoy Sinha Roy},
  title        = {Will You Cross the Threshold for Me? Generic Side-Channel Assisted
                  Chosen-Ciphertext Attacks on NTRU-based KEMs},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2022},
  number       = {1},
  pages        = {722--761},
  year         = {2022},
  url          = {https://doi.org/10.46586/tches.v2022.i1.722-761},
  doi          = {10.46586/TCHES.V2022.I1.722-761},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tches/RaviEBCR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/RaviBRC22,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Sujoy Sinha Roy and
                  Anupam Chattopadhyay},
  title        = {On Exploiting Message Leakage in (Few) {NIST} {PQC} Candidates for
                  Practical Message Recovery Attacks},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {17},
  pages        = {684--699},
  year         = {2022},
  url          = {https://doi.org/10.1109/TIFS.2021.3139268},
  doi          = {10.1109/TIFS.2021.3139268},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tifs/RaviBRC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/BeegalaRRBCM22,
  author       = {Piyush Beegala and
                  Debapriya Basu Roy and
                  Prasanna Ravi and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay},
  editor       = {Luca Cassano and
                  Sreejit Chakravarty and
                  Alberto Bosio},
  title        = {Efficient Loop Abort Fault Attacks on Supersingular Isogeny based
                  Key Exchange {(SIKE)}},
  booktitle    = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI}
                  and Nanotechnology Systems, {DFT} 2022, Austin, TX, USA, October 19-21,
                  2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/DFT56152.2022.9962359},
  doi          = {10.1109/DFT56152.2022.9962359},
  timestamp    = {Thu, 08 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/BeegalaRRBCM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/ChattopadhyayKC22,
  author       = {Nandish Chattopadhyay and
                  Rajan Kataria and
                  Anupam Chattopadhyay},
  title        = {TextBack: Watermarking Text Classifiers using Backdooring},
  booktitle    = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas,
                  Spain, August 31 - Sept. 2, 2022},
  pages        = {340--347},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/DSD57027.2022.00053},
  doi          = {10.1109/DSD57027.2022.00053},
  timestamp    = {Mon, 09 Jan 2023 17:07:14 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/ChattopadhyayKC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdcs/ChattopadhyaySC22,
  author       = {Nandish Chattopadhyay and
                  Arpit Singh and
                  Anupam Chattopadhyay},
  title        = {{ROFL:} RObust privacy preserving Federated Learning},
  booktitle    = {42nd {IEEE} International Conference on Distributed Computing Systems,
                  {ICDCS} Workshops, Bologna, Italy, July 10, 2022},
  pages        = {125--132},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICDCSW56584.2022.00033},
  doi          = {10.1109/ICDCSW56584.2022.00033},
  timestamp    = {Wed, 07 Dec 2022 23:06:24 +0100},
  biburl       = {https://dblp.org/rec/conf/icdcs/ChattopadhyaySC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/JangBKSC22,
  author       = {Kyungbae Jang and
                  Anubhab Baksi and
                  Hyunji Kim and
                  Hwajeong Seo and
                  Anupam Chattopadhyay},
  editor       = {Takanori Isobe and
                  Santanu Sarkar},
  title        = {Improved Quantum Analysis of {SPECK} and LowMC},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2022 - 23rd International Conference
                  on Cryptology in India, Kolkata, India, December 11-14, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13774},
  pages        = {517--540},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-22912-1\_23},
  doi          = {10.1007/978-3-031-22912-1\_23},
  timestamp    = {Mon, 09 Jan 2023 17:58:33 +0100},
  biburl       = {https://dblp.org/rec/conf/indocrypt/JangBKSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/RaviCB22,
  author       = {Prasanna Ravi and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Security and Quantum Computing: An Overview},
  booktitle    = {23rd {IEEE} Latin American Test Symposium, {LATS} 2022, Montevideo,
                  Uruguay, September 5-8, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/LATS57337.2022.9936966},
  doi          = {10.1109/LATS57337.2022.9936966},
  timestamp    = {Sun, 20 Nov 2022 22:42:09 +0100},
  biburl       = {https://dblp.org/rec/conf/latw/RaviCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/NgoCCCC22,
  author       = {Tu Anh Ngo and
                  Reuben Jon Chia and
                  Jonathan Chan and
                  Nandish Chattopadhyay and
                  Anupam Chattopadhyay},
  editor       = {Lejla Batina and
                  Stjepan Picek and
                  Mainack Mondal},
  title        = {How Many Cameras Do You Need? Adversarial Attacks and Countermeasures
                  for Robust Perception in Autonomous Vehicles},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 12th International
                  Conference, {SPACE} 2022, Jaipur, India, December 9-12, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13783},
  pages        = {249--263},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-22829-2\_14},
  doi          = {10.1007/978-3-031-22829-2\_14},
  timestamp    = {Sun, 25 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/space/NgoCCCC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/trustcom/GargCC22,
  author       = {Shivam Garg and
                  Nandish Chattopadhyay and
                  Anupam Chattopadhyay},
  title        = {Robust Perception for Autonomous Vehicles using Dimensionality Reduction},
  booktitle    = {{IEEE} International Conference on Trust, Security and Privacy in
                  Computing and Communications, TrustCom 2022, Wuhan, China, December
                  9-11, 2022},
  pages        = {1516--1521},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/TrustCom56396.2022.00216},
  doi          = {10.1109/TRUSTCOM56396.2022.00216},
  timestamp    = {Mon, 27 Mar 2023 16:44:39 +0200},
  biburl       = {https://dblp.org/rec/conf/trustcom/GargCC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/SinghBPLCM22,
  author       = {Simranjeet Singh and
                  Srinivasu Bodapati and
                  Sachin B. Patkar and
                  Rainer Leupers and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  title        = {{PA-PUF:} {A} Novel Priority Arbiter {PUF}},
  booktitle    = {30th {IFIP/IEEE} 30th International Conference on Very Large Scale
                  Integration, VLSI-SoC 2022, Patras, Greece, October 3-5, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSI-SoC54400.2022.9939642},
  doi          = {10.1109/VLSI-SOC54400.2022.9939642},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/SinghBPLCM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/vlsi/2021socs,
  editor       = {Victor Grimblatt and
                  Chip{-}Hong Chang and
                  Ricardo Reis and
                  Anupam Chattopadhyay and
                  Andrea Calimera},
  title        = {VLSI-SoC: Technology Advancement on SoC Design - 29th {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2021, Singapore, October 4-8, 2021, Revised and Extended
                  Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {661},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-16818-5},
  doi          = {10.1007/978-3-031-16818-5},
  isbn         = {978-3-031-16817-8},
  timestamp    = {Tue, 29 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/2021socs.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2206-01926,
  author       = {Anupam Chattopadhyay and
                  Arnab Chakrabarti},
  title        = {Optimal Codeword Construction for DNA-based Finite Automata},
  journal      = {CoRR},
  volume       = {abs/2206.01926},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2206.01926},
  doi          = {10.48550/ARXIV.2206.01926},
  eprinttype    = {arXiv},
  eprint       = {2206.01926},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2206-01926.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-10526,
  author       = {Simranjeet Singh and
                  Srinivasu Bodapati and
                  Sachin B. Patkar and
                  Rainer Leupers and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  title        = {{PA-PUF:} {A} Novel Priority Arbiter {PUF}},
  journal      = {CoRR},
  volume       = {abs/2207.10526},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.10526},
  doi          = {10.48550/ARXIV.2207.10526},
  eprinttype    = {arXiv},
  eprint       = {2207.10526},
  timestamp    = {Sun, 19 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-10526.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2211-03526,
  author       = {Simranjeet Singh and
                  Furqan Zahoor and
                  Gokulnath Rajendran and
                  Sachin B. Patkar and
                  Anupam Chattopadhyay and
                  Farhad Merchant},
  title        = {Hardware Security Primitives using Passive {RRAM} Crossbar Array:
                  Novel {TRNG} and {PUF} Designs},
  journal      = {CoRR},
  volume       = {abs/2211.03526},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2211.03526},
  doi          = {10.48550/ARXIV.2211.03526},
  eprinttype    = {arXiv},
  eprint       = {2211.03526},
  timestamp    = {Sun, 19 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2211-03526.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/GuptaJCJ22,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Anupam Chattopadhyay and
                  Gautam Jha},
  title        = {Lightweight Hardware Accelerator for Post-Quantum Digital Signature
                  CRYSTALS-Dilithium},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {496},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/496},
  timestamp    = {Mon, 16 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/GuptaJCJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/JangBBSC22,
  author       = {Kyungbae Jang and
                  Anubhab Baksi and
                  Jakub Breier and
                  Hwajeong Seo and
                  Anupam Chattopadhyay},
  title        = {Quantum Implementation and Analysis of {DEFAULT}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {647},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/647},
  timestamp    = {Mon, 20 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/JangBBSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/JangBSKSC22,
  author       = {Kyungbae Jang and
                  Anubhab Baksi and
                  Hyunji Kim and
                  Gyeongju Song and
                  Hwajeong Seo and
                  Anupam Chattopadhyay},
  title        = {Quantum Analysis of {AES}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {683},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/683},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/JangBSKSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviCB22,
  author       = {Prasanna Ravi and
                  Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {Side-channel and Fault-injection attacks over Lattice-based Post-quantum
                  Schemes (Kyber, Dilithium): Survey and New Results},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {737},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/737},
  timestamp    = {Mon, 20 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviCB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviYB0C22,
  author       = {Prasanna Ravi and
                  Bolin Yang and
                  Shivam Bhasin and
                  Fan Zhang and
                  Anupam Chattopadhyay},
  title        = {Fiddling the Twiddle Constants - Fault Injection Analysis of the Number
                  Theoretic Transform},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {824},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/824},
  timestamp    = {Tue, 27 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviYB0C22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RajendranRDBC22,
  author       = {Gokulnath Rajendran and
                  Prasanna Ravi and
                  Jan{-}Pieter D'Anvers and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Pushing the Limits of Generic Side-Channel Attacks on LWE-based KEMs
                  - Parallel {PC} Oracle Attacks on Kyber {KEM} and Beyond},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {931},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/931},
  timestamp    = {Tue, 27 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RajendranRDBC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviCB22a,
  author       = {Prasanna Ravi and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Security and Quantum Computing: An Overview},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1372},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/1372},
  timestamp    = {Wed, 01 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviCB22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/JangBKSC22,
  author       = {Kyungbae Jang and
                  Anubhab Baksi and
                  Hyunji Kim and
                  Hwajeong Seo and
                  Anupam Chattopadhyay},
  title        = {Improved Quantum Analysis of {SPECK} and LowMC (Full Version)},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1427},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/1427},
  timestamp    = {Wed, 23 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/JangBKSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviBCAR22,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Aikata and
                  Sujoy Sinha Roy},
  title        = {Backdooring Post-Quantum Cryptography: Kleptographic Attacks on Lattice-based
                  KEMs},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1681},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/1681},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviBCAR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/caaitrit/BaksiSSAC21,
  author       = {Anubhab Baksi and
                  Santanu Sarkar and
                  Akhilesh Siddhanti and
                  Ravi Anand and
                  Anupam Chattopadhyay},
  title        = {Differential fault location identification by machine learning},
  journal      = {{CAAI} Trans. Intell. Technol.},
  volume       = {6},
  number       = {1},
  pages        = {17--24},
  year         = {2021},
  url          = {https://doi.org/10.1049/cit2.12027},
  doi          = {10.1049/CIT2.12027},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/caaitrit/BaksiSSAC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/caaitrit/ChakrabortyADCM21,
  author       = {Anirban Chakraborty and
                  Manaar Alam and
                  Vishal Dey and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay},
  title        = {A survey on adversarial attacks and defences},
  journal      = {{CAAI} Trans. Intell. Technol.},
  volume       = {6},
  number       = {1},
  pages        = {25--45},
  year         = {2021},
  url          = {https://doi.org/10.1049/cit2.12028},
  doi          = {10.1049/CIT2.12028},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/caaitrit/ChakrabortyADCM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iotj/GuptaJC21,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Anupam Chattopadhyay},
  title        = {MemEnc: {A} Lightweight, Low-Power, and Transparent Memory Encryption
                  Engine for IoT},
  journal      = {{IEEE} Internet Things J.},
  volume       = {8},
  number       = {9},
  pages        = {7182--7191},
  year         = {2021},
  url          = {https://doi.org/10.1109/JIOT.2020.3040846},
  doi          = {10.1109/JIOT.2020.3040846},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iotj/GuptaJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tits/ChattopadhyayLT21,
  author       = {Anupam Chattopadhyay and
                  Kwok{-}Yan Lam and
                  Yaswanth Tavva},
  title        = {Autonomous Vehicle: Security by Design},
  journal      = {{IEEE} Trans. Intell. Transp. Syst.},
  volume       = {22},
  number       = {11},
  pages        = {7015--7029},
  year         = {2021},
  url          = {https://doi.org/10.1109/TITS.2020.3000797},
  doi          = {10.1109/TITS.2020.3000797},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tits/ChattopadhyayLT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/GuptaJCC21,
  author       = {Naina Gupta and
                  Arpan Jati and
                  Amit Kumar Chauhan and
                  Anupam Chattopadhyay},
  title        = {{PQC} Acceleration Using GPUs: FrodoKEM, NewHope, and Kyber},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {32},
  number       = {3},
  pages        = {575--586},
  year         = {2021},
  url          = {https://doi.org/10.1109/TPDS.2020.3025691},
  doi          = {10.1109/TPDS.2020.3025691},
  timestamp    = {Wed, 07 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/GuptaJCC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ctrsa/ChattopadhyayKL21,
  author       = {Anupam Chattopadhyay and
                  Mustafa Khairallah and
                  Ga{\"{e}}tan Leurent and
                  Zakaria Najm and
                  Thomas Peyrin and
                  Vesselin Velichkov},
  editor       = {Kenneth G. Paterson},
  title        = {On the Cost of {ASIC} Hardware Crackers: {A} {SHA-1} Case Study},
  booktitle    = {Topics in Cryptology - {CT-RSA} 2021 - Cryptographers' Track at the
                  {RSA} Conference 2021, Virtual Event, May 17-20, 2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12704},
  pages        = {657--681},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-75539-3\_27},
  doi          = {10.1007/978-3-030-75539-3\_27},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ctrsa/ChattopadhyayKL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BaksiBBCK21,
  author       = {Anubhab Baksi and
                  Shivam Bhasin and
                  Jakub Breier and
                  Anupam Chattopadhyay and
                  Vinay B. Y. Kumar},
  title        = {Feeding Three Birds With One Scone: {A} Generic Duplication Based
                  Countermeasure To Fault Attacks},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {561--564},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474072},
  doi          = {10.23919/DATE51398.2021.9474072},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BaksiBBCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaiLGBCHCT021,
  author       = {Shubham Rai and
                  Mengyun Liu and
                  Anteneh Gebregiorgis and
                  Debjyoti Bhattacharjee and
                  Krishnendu Chakrabarty and
                  Said Hamdioui and
                  Anupam Chattopadhyay and
                  Jens Trommer and
                  Akash Kumar},
  title        = {Perspectives on Emerging Computation-in-Memory Paradigms},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1925--1934},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473976},
  doi          = {10.23919/DATE51398.2021.9473976},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaiLGBCHCT021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icmla/ChattopadhyayC21,
  author       = {Nandish Chattopadhyay and
                  Anupam Chattopadhyay},
  editor       = {M. Arif Wani and
                  Ishwar K. Sethi and
                  Weisong Shi and
                  Guangzhi Qu and
                  Daniela Stan Raicu and
                  Ruoming Jin},
  title        = {{ROWBACK:} RObust Watermarking for neural networks using BACKdoors},
  booktitle    = {20th {IEEE} International Conference on Machine Learning and Applications,
                  {ICMLA} 2021, Pasadena, CA, USA, December 13-16, 2021},
  pages        = {1728--1735},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICMLA52953.2021.00274},
  doi          = {10.1109/ICMLA52953.2021.00274},
  timestamp    = {Tue, 01 Feb 2022 17:24:07 +0100},
  biburl       = {https://dblp.org/rec/conf/icmla/ChattopadhyayC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/BaksiDKCI21,
  author       = {Anubhab Baksi and
                  Vishnu Asutosh Dasu and
                  Banashri Karmakar and
                  Anupam Chattopadhyay and
                  Takanori Isobe},
  editor       = {Avishek Adhikari and
                  Ralf K{\"{u}}sters and
                  Bart Preneel},
  title        = {Three Input Exclusive-OR Gate Support for Boyar-Peralta's Algorithm},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2021 - 22nd International Conference
                  on Cryptology in India, Jaipur, India, December 12-15, 2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13143},
  pages        = {141--158},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-92518-5\_7},
  doi          = {10.1007/978-3-030-92518-5\_7},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/indocrypt/BaksiDKCI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/RaviDBCBM21,
  author       = {Prasanna Ravi and
                  Suman Deb and
                  Anubhab Baksi and
                  Anupam Chattopadhyay and
                  Shivam Bhasin and
                  Avi Mendelson},
  editor       = {Lejla Batina and
                  Stjepan Picek and
                  Mainack Mondal},
  title        = {On Threat of Hardware Trojan to Post-Quantum Lattice-Based Schemes:
                  {A} Key Recovery Attack on {SABER} and Beyond},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 11th International
                  Conference, {SPACE} 2021, Kolkata, India, December 10-13, 2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13162},
  pages        = {81--103},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-95085-9\_5},
  doi          = {10.1007/978-3-030-95085-9\_5},
  timestamp    = {Thu, 03 Feb 2022 09:28:39 +0100},
  biburl       = {https://dblp.org/rec/conf/space/RaviDBCBM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/ChattopadhyayCC21,
  author       = {Nandish Chattopadhyay and
                  Subhrojyoti Chatterjee and
                  Anupam Chattopadhyay},
  editor       = {Lejla Batina and
                  Stjepan Picek and
                  Mainack Mondal},
  title        = {Robustness Against Adversarial Attacks Using Dimensionality},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 11th International
                  Conference, {SPACE} 2021, Kolkata, India, December 10-13, 2021, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13162},
  pages        = {226--241},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-95085-9\_12},
  doi          = {10.1007/978-3-030-95085-9\_12},
  timestamp    = {Thu, 03 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/space/ChattopadhyayCC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/GuptaC21,
  author       = {Naina Gupta and
                  Anupam Chattopadhyay},
  title        = {In Quest for Fast and Secure SoC},
  booktitle    = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VLSI-SoC53125.2021.9607004},
  doi          = {10.1109/VLSI-SOC53125.2021.9607004},
  timestamp    = {Fri, 19 Nov 2021 16:08:44 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/GuptaC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RaviCB21,
  author       = {Prasanna Ravi and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Practical Side-Channel and Fault Attacks on Lattice-Based Cryptography},
  booktitle    = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VLSI-SoC53125.2021.9607000},
  doi          = {10.1109/VLSI-SOC53125.2021.9607000},
  timestamp    = {Fri, 19 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/RaviCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BhattacharjeeMC21,
  author       = {Debjyoti Bhattacharjee and
                  Anirban Majumder and
                  Anupam Chattopadhyay},
  title        = {In-memory realization of {SHA-2} using ReVAMP architecture},
  booktitle    = {34th International Conference on {VLSI} Design and 20th International
                  Conference on Embedded Systems, {VLSID} 2021, Guwahati, India, February
                  20-24, 2021},
  pages        = {47--53},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VLSID51830.2021.00013},
  doi          = {10.1109/VLSID51830.2021.00013},
  timestamp    = {Mon, 14 Nov 2022 15:28:08 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BhattacharjeeMC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2107-10308,
  author       = {Ronny Ronen and
                  Adi Eliahu and
                  Orian Leitersdorf and
                  Natan Peled and
                  Kunal Korgaonkar and
                  Anupam Chattopadhyay and
                  Ben Perach and
                  Shahar Kvatinsky},
  title        = {The Bitlet Model: {A} Parameterized Analytical Model to Compare {PIM}
                  and {CPU} Systems},
  journal      = {CoRR},
  volume       = {abs/2107.10308},
  year         = {2021},
  url          = {https://arxiv.org/abs/2107.10308},
  eprinttype    = {arXiv},
  eprint       = {2107.10308},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2107-10308.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviEBCR21,
  author       = {Prasanna Ravi and
                  Martianus Frederic Ezerman and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Sujoy Sinha Roy},
  title        = {Generic Side-Channel Assisted Chosen-Ciphertext Attacks on Streamlined
                  {NTRU} Prime},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {718},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/718},
  timestamp    = {Mon, 07 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviEBCR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/JatiGCS21,
  author       = {Arpan Jati and
                  Naina Gupta and
                  Anupam Chattopadhyay and
                  Somitra Kumar Sanadhya},
  title        = {A Configurable Crystals-Kyber Hardware Implementation with Side-Channel
                  Protection},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1189},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1189},
  timestamp    = {Mon, 25 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/JatiGCS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BaksiDKCI21,
  author       = {Anubhab Baksi and
                  Vishnu Asutosh Dasu and
                  Banashri Karmakar and
                  Anupam Chattopadhyay and
                  Takanori Isobe},
  title        = {Three Input Exclusive-OR Gate Support For Boyar-Peralta's Algorithm
                  (Extended Version)},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1400},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1400},
  timestamp    = {Fri, 12 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/BaksiDKCI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/bmcbi/KhalilKCS20,
  author       = {Ahmed Ibrahim S. Khalil and
                  Costerwell Khyriem and
                  Anupam Chattopadhyay and
                  Amartya Sanyal},
  title        = {Hierarchical discovery of large-scale and focal copy number alterations
                  in low-coverage cancer genomes},
  journal      = {{BMC} Bioinform.},
  volume       = {21},
  number       = {1},
  pages        = {147},
  year         = {2020},
  url          = {https://doi.org/10.1186/s12859-020-3480-3},
  doi          = {10.1186/S12859-020-3480-3},
  timestamp    = {Tue, 16 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/bmcbi/KhalilKCS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/bmcbi/KhalilMCS20,
  author       = {Ahmed Ibrahim S. Khalil and
                  Siti Rawaidah Binte Mohammad Muzaki and
                  Anupam Chattopadhyay and
                  Amartya Sanyal},
  title        = {Identification and utilization of copy number information for correcting
                  Hi-C contact map of cancer cell lines},
  journal      = {{BMC} Bioinform.},
  volume       = {21},
  number       = {1},
  pages        = {506},
  year         = {2020},
  url          = {https://doi.org/10.1186/s12859-020-03832-8},
  doi          = {10.1186/S12859-020-03832-8},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/bmcbi/KhalilMCS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/ShrivastwaPCSCG20,
  author       = {Ritu Ranjan Shrivastwa and
                  Vikramkumar Pudi and
                  Duo Chen and
                  Rosa Q. So and
                  Anupam Chattopadhyay and
                  Cuntai Guan},
  title        = {A Brain-Computer Interface Framework Based on Compressive Sensing
                  and Deep Learning},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {9},
  number       = {3},
  pages        = {90--96},
  year         = {2020},
  url          = {https://doi.org/10.1109/MCE.2019.2959059},
  doi          = {10.1109/MCE.2019.2959059},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cem/ShrivastwaPCSCG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/KumarDGBHCM20,
  author       = {Vinay B. Y. Kumar and
                  Suman Deb and
                  Naina Gupta and
                  Shivam Bhasin and
                  Jawad Haj{-}Yahya and
                  Anupam Chattopadhyay and
                  Avi Mendelson},
  title        = {Towards Designing a Secure {RISC-V} System-on-Chip: {ITUS}},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {4},
  number       = {4},
  pages        = {329--342},
  year         = {2020},
  url          = {https://doi.org/10.1007/s41635-020-00108-8},
  doi          = {10.1007/S41635-020-00108-8},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/KumarDGBHCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mvl/BaksiSC20,
  author       = {Anubhab Baksi and
                  Sumanta Sarkar and
                  Anupam Chattopadhyay},
  title        = {A Coding Theoretic Approach towards Symmetrization in Reversible Circuit
                  Synthesis},
  journal      = {J. Multiple Valued Log. Soft Comput.},
  volume       = {35},
  number       = {3-4},
  pages        = {239--262},
  year         = {2020},
  url          = {https://www.oldcitypublishing.com/journals/mvlsc-home/mvlsc-issue-contents/mvlsc-volume-35-number-3-4-2021/mvlsc-35-3-4-p-239-262/},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mvl/BaksiSC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BhattacharjeeTE20,
  author       = {Debjyoti Bhattacharjee and
                  Yaswanth Tavva and
                  Arvind Easwaran and
                  Anupam Chattopadhyay},
  title        = {Crossbar-Constrained Technology Mapping for ReRAM Based In-Memory
                  Computing},
  journal      = {{IEEE} Trans. Computers},
  volume       = {69},
  number       = {5},
  pages        = {734--748},
  year         = {2020},
  url          = {https://doi.org/10.1109/TC.2020.2964671},
  doi          = {10.1109/TC.2020.2964671},
  timestamp    = {Mon, 04 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BhattacharjeeTE20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/RaviRCB20,
  author       = {Prasanna Ravi and
                  Sujoy Sinha Roy and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Generic Side-channel attacks on CCA-secure lattice-based {PKE} and
                  KEMs},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2020},
  number       = {3},
  pages        = {307--335},
  year         = {2020},
  url          = {https://doi.org/10.13154/tches.v2020.i3.307-335},
  doi          = {10.13154/TCHES.V2020.I3.307-335},
  timestamp    = {Thu, 02 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tches/RaviRCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/JatiGCSC20,
  author       = {Arpan Jati and
                  Naina Gupta and
                  Anupam Chattopadhyay and
                  Somitra Kumar Sanadhya and
                  Donghoon Chang},
  title        = {Threshold Implementations of \texttt{GIFT}: {A} Trade-Off Analysis},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {15},
  pages        = {2110--2120},
  year         = {2020},
  url          = {https://doi.org/10.1109/TIFS.2019.2957974},
  doi          = {10.1109/TIFS.2019.2957974},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/JatiGCSC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acisp/BaksiKKBSC20,
  author       = {Anubhab Baksi and
                  Vinay B. Y. Kumar and
                  Banashri Karmakar and
                  Shivam Bhasin and
                  Dhiman Saha and
                  Anupam Chattopadhyay},
  editor       = {Joseph K. Liu and
                  Hui Cui},
  title        = {A Novel Duplication Based Countermeasure to Statistical Ineffective
                  Fault Analysis},
  booktitle    = {Information Security and Privacy - 25th Australasian Conference, {ACISP}
                  2020, Perth, WA, Australia, November 30 - December 2, 2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12248},
  pages        = {525--542},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-55304-3\_27},
  doi          = {10.1007/978-3-030-55304-3\_27},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/acisp/BaksiKKBSC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KnechtelK0HCMDF20,
  author       = {Johann Knechtel and
                  Elif Bilge Kavun and
                  Francesco Regazzoni and
                  Annelie Heuser and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay and
                  Soumyajit Dey and
                  Yunsi Fei and
                  Yaacov Belenky and
                  Itamar Levi and
                  Tim G{\"{u}}neysu and
                  Patrick Schaumont and
                  Ilia Polian},
  title        = {Towards Secure Composition of Integrated Circuits and Electronic Systems:
                  On the Role of {EDA}},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {508--513},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116483},
  doi          = {10.23919/DATE48585.2020.9116483},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KnechtelK0HCMDF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Kumar0CKKN20,
  author       = {Vinay B. Y. Kumar and
                  Naina Gupta and
                  Anupam Chattopadhyay and
                  Michael Kasper and
                  Christoph Krau{\ss} and
                  Ruben Niederhagen},
  title        = {Post-Quantum Secure Boot},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1582--1585},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116252},
  doi          = {10.23919/DATE48585.2020.9116252},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/Kumar0CKKN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BhattacharjeeCD20,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay and
                  Srijit Dutta and
                  Ronny Ronen and
                  Shahar Kvatinsky},
  title        = {{CONTRA:} Area-Constrained Technology Mapping Framework For Memristive
                  Memory Processing Unit},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {150:1--150:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415681},
  doi          = {10.1145/3400302.3415681},
  timestamp    = {Mon, 18 Jan 2021 09:56:56 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BhattacharjeeCD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlamALCG20,
  author       = {Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Junde Li and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  title        = {Noise Resilient Compilation Policies for Quantum Approximate Optimization
                  Algorithm},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {155:1--155:7},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415745},
  doi          = {10.1145/3400302.3415745},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AlamALCG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdcs/ChattopadhyayMC20,
  author       = {Nandish Chattopadhyay and
                  Ritabrata Maiti and
                  Anupam Chattopadhyay},
  title        = {Deploy-able Privacy Preserving Collaborative {ML}},
  booktitle    = {40th {IEEE} International Conference on Distributed Computing Systems,
                  {ICDCS} 2020, Singapore, November 29 - December 1, 2020},
  pages        = {1397--1402},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICDCS47774.2020.00184},
  doi          = {10.1109/ICDCS47774.2020.00184},
  timestamp    = {Tue, 02 Mar 2021 12:16:24 +0100},
  biburl       = {https://dblp.org/rec/conf/icdcs/ChattopadhyayMC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DebC20,
  author       = {Suman Deb and
                  Anupam Chattopadhyay},
  title        = {Enabling Efficient Mapping of XMG-Synthesized Networks to Spintronic
                  Hardware},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180657},
  doi          = {10.1109/ISCAS45731.2020.9180657},
  timestamp    = {Mon, 18 Jan 2021 08:38:59 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DebC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaviSCBE20,
  author       = {Prasanna Ravi and
                  Vijaya Kumar Sundar and
                  Anupam Chattopadhyay and
                  Shivam Bhasin and
                  Arvind Easwaran},
  title        = {Authentication Protocol for Secure Automotive Systems: Benchmarking
                  Post-Quantum Cryptography},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180847},
  doi          = {10.1109/ISCAS45731.2020.9180847},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RaviSCBE20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ises/PudiBKC20,
  author       = {Vikramkumar Pudi and
                  Srinivasu Bodapati and
                  Sachin Kumar and
                  Anupam Chattopadhyay},
  title        = {Cyber Security Protocol for Secure Traffic Monitoring Systems using
                  PUF-based Key Management},
  booktitle    = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2020
                  (Formerly iNiS), Chennai, India, December 14-16, 2020},
  pages        = {103--108},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/iSES50453.2020.00033},
  doi          = {10.1109/ISES50453.2020.00033},
  timestamp    = {Mon, 17 May 2021 14:36:31 +0200},
  biburl       = {https://dblp.org/rec/conf/ises/PudiBKC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/Haj-YahyaAK0RMC20,
  author       = {Jawad Haj{-}Yahya and
                  Mohammed Alser and
                  Jeremie S. Kim and
                  Lois Orosa and
                  Efraim Rotem and
                  Avi Mendelson and
                  Anupam Chattopadhyay and
                  Onur Mutlu},
  title        = {FlexWatts: {A} Power- and Workload-Aware Hybrid Power Delivery Network
                  for Energy-Efficient Microprocessors},
  booktitle    = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2020, Athens, Greece, October 17-21, 2020},
  pages        = {1051--1066},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MICRO50266.2020.00088},
  doi          = {10.1109/MICRO50266.2020.00088},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/Haj-YahyaAK0RMC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ndss/BhasinCHJPS20,
  author       = {Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Annelie Heuser and
                  Dirmanto Jap and
                  Stjepan Picek and
                  Ritu Ranjan Shrivastwa},
  title        = {Mind the Portability: {A} Warriors Guide through Realistic Profiled
                  Side-channel Analysis},
  booktitle    = {27th Annual Network and Distributed System Security Symposium, {NDSS}
                  2020, San Diego, California, USA, February 23-26, 2020},
  publisher    = {The Internet Society},
  year         = {2020},
  url          = {https://www.ndss-symposium.org/ndss-paper/mind-the-portability-a-warriors-guide-through-realistic-profiled-side-channel-analysis/},
  timestamp    = {Mon, 01 Feb 2021 08:42:10 +0100},
  biburl       = {https://dblp.org/rec/conf/ndss/BhasinCHJPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BhasinCCKMPT20,
  author       = {Shivam Bhasin and
                  Trevor E. Carlson and
                  Anupam Chattopadhyay and
                  Vinay B. Y. Kumar and
                  Avi Mendelson and
                  Romain Poussier and
                  Yaswanth Tavva},
  title        = {Secure Your SoC: Building System-an-Chip Designs for Security},
  booktitle    = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las
                  Vegas, NV, USA, September 8-11, 2020},
  pages        = {248--253},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SOCC49529.2020.9524760},
  doi          = {10.1109/SOCC49529.2020.9524760},
  timestamp    = {Tue, 14 Sep 2021 10:14:37 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BhasinCCKMPT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/ChattopadhyayVC20,
  author       = {Nandish Chattopadhyay and
                  Chua Sheng Yang Viroy and
                  Anupam Chattopadhyay},
  editor       = {Lejla Batina and
                  Stjepan Picek and
                  Mainack Mondal},
  title        = {Re-markable: Stealing Watermarked Neural Networks Through Synthesis},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 10th International
                  Conference, {SPACE} 2020, Kolkata, India, December 17-21, 2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12586},
  pages        = {46--65},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-66626-2\_3},
  doi          = {10.1007/978-3-030-66626-2\_3},
  timestamp    = {Tue, 05 Jan 2021 17:43:06 +0100},
  biburl       = {https://dblp.org/rec/conf/space/ChattopadhyayVC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/RaviPBC20,
  author       = {Prasanna Ravi and
                  Romain Poussier and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  editor       = {Lejla Batina and
                  Stjepan Picek and
                  Mainack Mondal},
  title        = {On Configurable {SCA} Countermeasures Against Single Trace Attacks
                  for the {NTT} - {A} Performance Evaluation Study over Kyber and Dilithium
                  on the {ARM} Cortex-M4},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 10th International
                  Conference, {SPACE} 2020, Kolkata, India, December 17-21, 2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12586},
  pages        = {123--146},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-66626-2\_7},
  doi          = {10.1007/978-3-030-66626-2\_7},
  timestamp    = {Tue, 05 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/space/RaviPBC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DuttaTBC20,
  author       = {Srijit Dutta and
                  Yaswanth Tavva and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Efficient Quantum Circuits for Square-Root and Inverse Square-Root},
  booktitle    = {33rd International Conference on {VLSI} Design and 19th International
                  Conference on Embedded Systems, {VLSID} 2020, Bangalore, India, January
                  4-8, 2020},
  pages        = {55--60},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSID49098.2020.00027},
  doi          = {10.1109/VLSID49098.2020.00027},
  timestamp    = {Mon, 14 Nov 2022 15:28:08 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DuttaTBC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2001-09672,
  author       = {Johann Knechtel and
                  Elif Bilge Kavun and
                  Francesco Regazzoni and
                  Annelie Heuser and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay and
                  Soumyajit Dey and
                  Yunsi Fei and
                  Yaacov Belenky and
                  Itamar Levi and
                  Tim G{\"{u}}neysu and
                  Patrick Schaumont and
                  Ilia Polian},
  title        = {Towards Secure Composition of Integrated Circuits and Electronic Systems:
                  On the Role of {EDA}},
  journal      = {CoRR},
  volume       = {abs/2001.09672},
  year         = {2020},
  url          = {https://arxiv.org/abs/2001.09672},
  eprinttype    = {arXiv},
  eprint       = {2001.09672},
  timestamp    = {Tue, 31 Mar 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2001-09672.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2004-01712,
  author       = {Manaar Alam and
                  Sayan Sinha and
                  Sarani Bhattacharya and
                  Swastika Dutta and
                  Debdeep Mukhopadhyay and
                  Anupam Chattopadhyay},
  title        = {{RAPPER:} Ransomware Prevention via Performance Counters},
  journal      = {CoRR},
  volume       = {abs/2004.01712},
  year         = {2020},
  url          = {https://arxiv.org/abs/2004.01712},
  eprinttype    = {arXiv},
  eprint       = {2004.01712},
  timestamp    = {Wed, 08 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2004-01712.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-00881,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay and
                  Srijit Dutta and
                  Ronny Ronen and
                  Shahar Kvatinsky},
  title        = {{CONTRA:} Area-Constrained Technology Mapping Framework For Memristive
                  Memory Processing Unit},
  journal      = {CoRR},
  volume       = {abs/2009.00881},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.00881},
  eprinttype    = {arXiv},
  eprint       = {2009.00881},
  timestamp    = {Wed, 16 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-00881.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-09094,
  author       = {Jawad Haj{-}Yahya and
                  Mohammed Alser and
                  Jeremie S. Kim and
                  Lois Orosa and
                  Efraim Rotem and
                  Avi Mendelson and
                  Anupam Chattopadhyay and
                  Onur Mutlu},
  title        = {FlexWatts: {A} Power- and Workload-Aware Hybrid Power Delivery Network
                  for Energy-Efficient Microprocessors},
  journal      = {CoRR},
  volume       = {abs/2009.09094},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.09094},
  eprinttype    = {arXiv},
  eprint       = {2009.09094},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-09094.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-10794,
  author       = {Nandish Chattopadhyay and
                  Lionell Yip En Zhi and
                  Bryan Tan Bing Xing and
                  Anupam Chattopadhyay},
  title        = {Spatially Correlated Patterns in Adversarial Images},
  journal      = {CoRR},
  volume       = {abs/2011.10794},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.10794},
  eprinttype    = {arXiv},
  eprint       = {2011.10794},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-10794.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviBRC20,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Sujoy Sinha Roy and
                  Anupam Chattopadhyay},
  title        = {Drop by Drop you break the rock - Exploiting generic vulnerabilities
                  in Lattice-based PKE/KEMs using EM-based Physical Attacks},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {549},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/549},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviBRC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BaksiSSAC20,
  author       = {Anubhab Baksi and
                  Santanu Sarkar and
                  Akhilesh Siddhanti and
                  Ravi Anand and
                  Anupam Chattopadhyay},
  title        = {Fault Location Identification By Machine Learning},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {717},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/717},
  timestamp    = {Tue, 30 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/BaksiSSAC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviPBC20,
  author       = {Prasanna Ravi and
                  Romain Poussier and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {On Configurable {SCA} Countermeasures Against Single Trace Attacks
                  for the {NTT} - {A} Performance Evaluation Study over Kyber and Dilithium
                  on the {ARM} Cortex-M4},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1038},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1038},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviPBC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BaksiKKBSC20,
  author       = {Anubhab Baksi and
                  Vinay B. Y. Kumar and
                  Banashri Karmakar and
                  Shivam Bhasin and
                  Dhiman Saha and
                  Anupam Chattopadhyay},
  title        = {A Novel Duplication Based Countermeasure To Statistical Ineffective
                  Fault Analysis},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1268},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1268},
  timestamp    = {Fri, 30 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/BaksiKKBSC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviHCB20,
  author       = {Prasanna Ravi and
                  James Howe and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Lattice-based Key Sharing Schemes - {A} Survey},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1276},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1276},
  timestamp    = {Fri, 30 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviHCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KhairallahPC20,
  author       = {Mustafa Khairallah and
                  Thomas Peyrin and
                  Anupam Chattopadhyay},
  title        = {Preliminary Hardware Benchmarking of a Group of Round 2 {NIST} Lightweight
                  {AEAD} Candidates},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1459},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1459},
  timestamp    = {Wed, 02 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/KhairallahPC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BaksiBBCK20,
  author       = {Anubhab Baksi and
                  Shivam Bhasin and
                  Jakub Breier and
                  Anupam Chattopadhyay and
                  Vinay B. Y. Kumar},
  title        = {Feeding Three Birds With One Scone: {A} Generic Duplication Based
                  Countermeasure To Fault Attacks (Extended Version)},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1542},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1542},
  timestamp    = {Mon, 04 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/BaksiBBCK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviBRC20a,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Sujoy Sinha Roy and
                  Anupam Chattopadhyay},
  title        = {On Exploiting Message Leakage in (few) {NIST} {PQC} Candidates for
                  Practical Message Recovery and Key Recovery Attacks},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1559},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/1559},
  timestamp    = {Mon, 04 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviBRC20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ccds/HodzicPC19,
  author       = {Samir Hodzic and
                  Enes Pasalic and
                  Anupam Chattopadhyay},
  title        = {An iterative method for linear decomposition of index generating functions},
  journal      = {Cryptogr. Commun.},
  volume       = {11},
  number       = {5},
  pages        = {1079--1102},
  year         = {2019},
  url          = {https://doi.org/10.1007/s12095-019-0351-8},
  doi          = {10.1007/S12095-019-0351-8},
  timestamp    = {Sun, 20 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ccds/HodzicPC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BandyopadhyayDC19,
  author       = {Chandan Bandyopadhyay and
                  Rakesh Das and
                  Anupam Chattopadhyay and
                  Hafizur Rahaman},
  title        = {Design and synthesis of improved reversible circuits using {AIG-}
                  and MIG-based graph data structures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {13},
  number       = {1},
  pages        = {38--48},
  year         = {2019},
  url          = {https://doi.org/10.1049/iet-cdt.2017.0097},
  doi          = {10.1049/IET-CDT.2017.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BandyopadhyayDC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/RaviNBKGC19,
  author       = {Prasanna Ravi and
                  Zakaria Najm and
                  Shivam Bhasin and
                  Mustafa Khairallah and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay},
  title        = {Security is an architectural design constraint},
  journal      = {Microprocess. Microsystems},
  volume       = {68},
  pages        = {17--27},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.micpro.2019.03.003},
  doi          = {10.1016/J.MICPRO.2019.03.003},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/RaviNBKGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChattopadhyayGB19,
  author       = {Anupam Chattopadhyay and
                  Swaroop Ghosh and
                  Wayne P. Burleson and
                  Debdeep Mukhopadhyay},
  title        = {Guest Editorial Special Section on Security Challenges and Solutions
                  With Emerging Computing Technologies},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {11},
  pages        = {2469--2472},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2945850},
  doi          = {10.1109/TVLSI.2019.2945850},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChattopadhyayGB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/KumarDKKCM19,
  author       = {Vinay B. Y. Kumar and
                  Suman Deb and
                  Rupesh Kumar and
                  Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Avi Mendelson},
  title        = {Recruiting Fault Tolerance Techniques for Microprocessor Security},
  booktitle    = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December
                  10-13, 2019},
  pages        = {80--85},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ATS47505.2019.00015},
  doi          = {10.1109/ATS47505.2019.00015},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/KumarDKKCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cardis/RaviGCB19,
  author       = {Prasanna Ravi and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  editor       = {Sonia Bela{\"{\i}}d and
                  Tim G{\"{u}}neysu},
  title        = {Improving Speed of Dilithium's Signing Procedure},
  booktitle    = {Smart Card Research and Advanced Applications - 18th International
                  Conference, {CARDIS} 2019, Prague, Czech Republic, November 11-13,
                  2019, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {11833},
  pages        = {57--73},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-42068-0\_4},
  doi          = {10.1007/978-3-030-42068-0\_4},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cardis/RaviGCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/RaviJHCB19,
  author       = {Prasanna Ravi and
                  Mahabir Prasad Jhanwar and
                  James Howe and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  editor       = {Steven D. Galbraith and
                  Giovanni Russello and
                  Willy Susilo and
                  Dieter Gollmann and
                  Engin Kirda and
                  Zhenkai Liang},
  title        = {Exploiting Determinism in Lattice-based Signatures: Practical Fault
                  Attacks on pqm4 Implementations of {NIST} Candidates},
  booktitle    = {Proceedings of the 2019 {ACM} Asia Conference on Computer and Communications
                  Security, AsiaCCS 2019, Auckland, New Zealand, July 09-12, 2019},
  pages        = {427--440},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3321705.3329821},
  doi          = {10.1145/3321705.3329821},
  timestamp    = {Tue, 10 Nov 2020 16:06:16 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/RaviJHCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cosade/RaviRBCM19,
  author       = {Prasanna Ravi and
                  Debapriya Basu Roy and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay},
  editor       = {Ilia Polian and
                  Marc St{\"{o}}ttinger},
  title        = {Number "Not Used" Once - Practical Fault Attack on pqm4
                  Implementations of {NIST} Candidates},
  booktitle    = {Constructive Side-Channel Analysis and Secure Design - 10th International
                  Workshop, {COSADE} 2019, Darmstadt, Germany, April 3-5, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11421},
  pages        = {232--250},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-16350-1\_13},
  doi          = {10.1007/978-3-030-16350-1\_13},
  timestamp    = {Tue, 14 May 2019 10:00:39 +0200},
  biburl       = {https://dblp.org/rec/conf/cosade/RaviRBCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cw/KannappanFCTHSL19,
  author       = {Vinayak Teoh Kannappan and
                  Owen Noel Newton Fernando and
                  Anupam Chattopadhyay and
                  Xavier Tan and
                  Jeffrey Yan Jack Hong and
                  Hock Soon Seah and
                  Hui En Lye},
  title        = {La Petite Fee Cosmo: Learning Data Structures Through Game-Based Learning},
  booktitle    = {2019 International Conference on Cyberworlds, {CW} 2019, Kyoto, Japan,
                  October 2-4, 2019},
  pages        = {207--210},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CW.2019.00041},
  doi          = {10.1109/CW.2019.00041},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cw/KannappanFCTHSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/TenaceRBCC19,
  author       = {Valerio Tenace and
                  Roberto Giorgio Rizzo and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay and
                  Andrea Calimera},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {{SAID:} {A} Supergate-Aided Logic Synthesis Flow for Memristive Crossbars},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {372--377},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714939},
  doi          = {10.23919/DATE.2019.8714939},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/TenaceRBCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/AlamBDSMC19,
  author       = {Manaar Alam and
                  Sarani Bhattacharya and
                  Swastika Dutta and
                  Sayan Sinha and
                  Debdeep Mukhopadhyay and
                  Anupam Chattopadhyay},
  title        = {{RATAFIA:} Ransomware Analysis using Time And Frequency Informed Autoencoders},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2019, McLean, VA, USA, May 5-10, 2019},
  pages        = {218--227},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HST.2019.8740837},
  doi          = {10.1109/HST.2019.8740837},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/AlamBDSMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BhattacharjeeAA19,
  author       = {Debjyoti Bhattacharjee and
                  Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  editor       = {David Z. Pan},
  title        = {{MUQUT:} Multi-Constraint Quantum Circuit Mapping on {NISQ} Computers:
                  Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942132},
  doi          = {10.1109/ICCAD45719.2019.8942132},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BhattacharjeeAA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/ChattopadhyayCG19,
  author       = {Nandish Chattopadhyay and
                  Anupam Chattopadhyay and
                  Sourav Sen Gupta and
                  Michael Kasper},
  title        = {Curse of Dimensionality in Adversarial Examples},
  booktitle    = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest,
                  Hungary, July 14-19, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IJCNN.2019.8851795},
  doi          = {10.1109/IJCNN.2019.8851795},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ijcnn/ChattopadhyayCG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/SiddhantiBCM0S19,
  author       = {Akhilesh Anilkumar Siddhanti and
                  Srinivasu Bodapati and
                  Anupam Chattopadhyay and
                  Subhamoy Maitra and
                  Dibyendu Roy and
                  Pantelimon Stanica},
  editor       = {Feng Hao and
                  Sushmita Ruj and
                  Sourav Sen Gupta},
  title        = {Analysis of the Strict Avalanche Criterion in Variants of Arbiter-Based
                  Physically Unclonable Functions},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2019 - 20th International Conference
                  on Cryptology in India, Hyderabad, India, December 15-18, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11898},
  pages        = {556--577},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-35423-7\_28},
  doi          = {10.1007/978-3-030-35423-7\_28},
  timestamp    = {Wed, 20 Mar 2024 15:23:22 +0100},
  biburl       = {https://dblp.org/rec/conf/indocrypt/SiddhantiBCM0S19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DebC19,
  author       = {Suman Deb and
                  Anupam Chattopadhyay},
  title        = {Spintronic Device-Structure for Low-Energy {XOR} Logic using Domain
                  Wall Motion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702160},
  doi          = {10.1109/ISCAS.2019.8702160},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DebC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NagarajanEKGC19,
  author       = {Karthikeyan Nagarajan and
                  Sina Sayyah Ensan and
                  Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh and
                  Anupam Chattopadhyay},
  title        = {{SHINE:} {A} Novel {SHA-3} Implementation Using ReRAM-based In-Memory
                  Computing},
  booktitle    = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISLPED.2019.8824979},
  doi          = {10.1109/ISLPED.2019.8824979},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NagarajanEKGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/BhattacharjeeSD19,
  author       = {Debjyoti Bhattacharjee and
                  Mathias Soeken and
                  Srijit Dutta and
                  Anupam Chattopadhyay and
                  Giovanni De Micheli},
  title        = {Reversible Pebble Games for Reducing Qubits in Hierarchical Quantum
                  Circuit Synthesis},
  booktitle    = {2019 {IEEE} 49th International Symposium on Multiple-Valued Logic
                  (ISMVL), Fredericton, NB, Canada, May 21-23, 2019},
  pages        = {102--107},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISMVL.2019.00026},
  doi          = {10.1109/ISMVL.2019.00026},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ismvl/BhattacharjeeSD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Haj-YahyaRMC19,
  author       = {Jawad Haj{-}Yahya and
                  Efraim Rotem and
                  Avi Mendelson and
                  Anupam Chattopadhyay},
  title        = {A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {123--130},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697544},
  doi          = {10.1109/ISQED.2019.8697544},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Haj-YahyaRMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Haj-YahyaWPBC19,
  author       = {Jawad Haj{-}Yahya and
                  Ming Ming Wong and
                  Vikramkumar Pudi and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Lightweight Secure-Boot Architecture for {RISC-V} System-on-Chip},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {216--223},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697657},
  doi          = {10.1109/ISQED.2019.8697657},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Haj-YahyaWPBC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/NagarajanEMGC19,
  author       = {Karthikeyan Nagarajan and
                  Sina Sayyah Ensan and
                  Swagata Mandal and
                  Swaroop Ghosh and
                  Anupam Chattopadhyay},
  title        = {iMACE: In-Memory Acceleration of Classic McEliece Encoder},
  booktitle    = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019,
                  Miami, FL, USA, July 15-17, 2019},
  pages        = {513--518},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISVLSI.2019.00098},
  doi          = {10.1109/ISVLSI.2019.00098},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/NagarajanEMGC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwasi/KhairallahBC19,
  author       = {Mustafa Khairallah and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {On Misuse of Nonce-Misuse Resistance : Adapting Differential Fault
                  Attacks on (few) {CAESAR} Winners},
  booktitle    = {{IEEE} 8th International Workshop on Advances in Sensors and Interfaces,
                  {IWASI} 2019, Otranto, Italy, June 13-14, 2019},
  pages        = {189--193},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IWASI.2019.8791393},
  doi          = {10.1109/IWASI.2019.8791393},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iwasi/KhairallahBC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BhattacharjeeCL19,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay and
                  Ricardo Jack Liwongan},
  title        = {Accelerating Binary-Matrix Multiplication on {FPGA}},
  booktitle    = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019,
                  Singapore, September 3-6, 2019},
  pages        = {254--259},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SOCC46988.2019.1570544215},
  doi          = {10.1109/SOCC46988.2019.1570544215},
  timestamp    = {Tue, 19 May 2020 13:56:11 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BhattacharjeeCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/DasuBSC19,
  author       = {Vishnu Asutosh Dasu and
                  Anubhab Baksi and
                  Sumanta Sarkar and
                  Anupam Chattopadhyay},
  title        = {{LIGHTER-R:} Optimized Reversible Circuit Implementation For SBoxes},
  booktitle    = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019,
                  Singapore, September 3-6, 2019},
  pages        = {260--265},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SOCC46988.2019.1570548320},
  doi          = {10.1109/SOCC46988.2019.1570548320},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/DasuBSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/KumarCHM19,
  author       = {Vinay B. Y. Kumar and
                  Anupam Chattopadhyay and
                  Jawad Haj{-}Yahya and
                  Avi Mendelson},
  title        = {{ITUS:} {A} Secure {RISC-V} System-on-Chip},
  booktitle    = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019,
                  Singapore, September 3-6, 2019},
  pages        = {418--423},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SOCC46988.2019.1570564307},
  doi          = {10.1109/SOCC46988.2019.1570564307},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/KumarCHM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MerchantVCRNNL19,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan and
                  Rainer Leupers},
  title        = {A Systematic Approach for Acceleration of Matrix-Vector Operations
                  in {CGRA} through Algorithm-Architecture Co-Design},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {64--69},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00030},
  doi          = {10.1109/VLSID.2019.00030},
  timestamp    = {Mon, 14 Nov 2022 15:28:06 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MerchantVCRNNL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MandalSWCC19,
  author       = {Swagata Mandal and
                  Sreetama Sarkar and
                  Ming Ming Wong and
                  Anupam Chattopadhyay and
                  Amlan Chakrabarti},
  title        = {Criticality Aware Soft Error Mitigation in the Configuration Memory
                  of {SRAM} Based {FPGA}},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {257--262},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00063},
  doi          = {10.1109/VLSID.2019.00063},
  timestamp    = {Mon, 14 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MandalSWCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Sayed-AhmedHC19,
  author       = {Amr Sayed{-}Ahmed and
                  Jawad Haj{-}Yahya and
                  Anupam Chattopadhyay},
  title        = {SoCINT: Resilient System-on-Chip via Dynamic Intrusion Detection},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {359--364},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00080},
  doi          = {10.1109/VLSID.2019.00080},
  timestamp    = {Mon, 14 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Sayed-AhmedHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DasCR19,
  author       = {Rakesh Das and
                  Anupam Chattopadhyay and
                  Hafizur Rahaman},
  title        = {Optimizing Quantum Circuits for Modular Exponentiation},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {407--412},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00088},
  doi          = {10.1109/VLSID.2019.00088},
  timestamp    = {Mon, 14 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DasCR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MerchantVCRNNL19a,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan and
                  Rainer Leupers},
  title        = {Applying Modified Householder Transform to Kalman Filter},
  booktitle    = {32nd International Conference on {VLSI} Design and 18th International
                  Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January
                  5-9, 2019},
  pages        = {431--436},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSID.2019.00092},
  doi          = {10.1109/VLSID.2019.00092},
  timestamp    = {Mon, 14 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MerchantVCRNNL19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vrcai/FernandoKTHCS19,
  author       = {Owen Noel Newton Fernando and
                  Vinayak Teoh Kannappan and
                  Xavier Tan and
                  Jeffrey Yan Jack Hong and
                  Anupam Chattopadhyay and
                  Hock Soon Seah},
  editor       = {Tomas Trescak and
                  Simeon Simoff and
                  Deborah Richards and
                  Anton Bogdanovych and
                  Thierry Duval and
                  Torsten W. Kuhlen and
                  Huyen Nguyen and
                  Shigeo Morishima and
                  Yuichi Itoh and
                  Richard Skarbez and
                  Martin Masek},
  title        = {La Petite Fee Cosmo},
  booktitle    = {The 17th International Conference on Virtual-Reality Continuum and
                  its Applications in Industry, {VRCAI} 2019, Brisbane, QLD, Australia,
                  November 14-16, 2019},
  pages        = {20:1--20:9},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3359997.3365688},
  doi          = {10.1145/3359997.3365688},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vrcai/FernandoKTHCS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1904-06920,
  author       = {Laxmidhar Biswal and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay and
                  Hafizur Rahaman},
  title        = {New techniques for fault-tolerant decomposition of Multi-Controlled
                  Toffoli gate},
  journal      = {CoRR},
  volume       = {abs/1904.06920},
  year         = {2019},
  url          = {http://arxiv.org/abs/1904.06920},
  eprinttype    = {arXiv},
  eprint       = {1904.06920},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1904-06920.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-10234,
  author       = {Kunal Korgaonkar and
                  Ronny Ronen and
                  Anupam Chattopadhyay and
                  Shahar Kvatinsky},
  title        = {The Bitlet Model: Defining a Litmus Test for the Bitwise Processing-in-Memory
                  Paradigm},
  journal      = {CoRR},
  volume       = {abs/1910.10234},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.10234},
  eprinttype    = {arXiv},
  eprint       = {1910.10234},
  timestamp    = {Fri, 25 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-10234.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviGCKNB19,
  author       = {Prasanna Ravi and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Mustafa Khairallah and
                  Zakaria Najm and
                  Shivam Bhasin},
  title        = {Security is an Architectural Design Constraint},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {182},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/182},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviGCKNB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviGCB19,
  author       = {Prasanna Ravi and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Improving Speed of Dilithium's Signing Procedure},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {420},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/420},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviGCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KhairallahBC19,
  author       = {Mustafa Khairallah and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {On Misuse of Nonce-Misuse Resistance: Adapting Differential Fault
                  Attacks on (few) {CAESAR} Winners},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {585},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/585},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KhairallahBC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/BhasinCHJPS19,
  author       = {Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Annelie Heuser and
                  Dirmanto Jap and
                  Stjepan Picek and
                  Ritu Ranjan Shrivastwa},
  title        = {Mind the Portability: {A} Warriors Guide through Realistic Profiled
                  Side-channel Analysis},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {661},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/661},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/BhasinCHJPS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/JatiGCS19,
  author       = {Arpan Jati and
                  Naina Gupta and
                  Anupam Chattopadhyay and
                  Somitra Kumar Sanadhya},
  title        = {SPQCop: Side-channel protected Post-Quantum Cryptoprocessor},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {765},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/765},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/JatiGCS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviJHCB19,
  author       = {Prasanna Ravi and
                  Mahabir Prasad Jhanwar and
                  James Howe and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Exploiting Determinism in Lattice-based Signatures - Practical Fault
                  Attacks on pqm4 Implementations of {NIST} candidates},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {769},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/769},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviJHCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviRCB19,
  author       = {Prasanna Ravi and
                  Sujoy Sinha Roy and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Generic Side-channel attacks on CCA-secure lattice-based {PKE} and
                  {KEM} schemes},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {948},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/948},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviRCB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jce/ChakrabortiCHN18,
  author       = {Avik Chakraborti and
                  Anupam Chattopadhyay and
                  Muhammad Hassan and
                  Mridul Nandi},
  title        = {TriviA and uTriviA: two fast and secure authenticated encryption schemes},
  journal      = {J. Cryptogr. Eng.},
  volume       = {8},
  number       = {1},
  pages        = {29--48},
  year         = {2018},
  url          = {https://doi.org/10.1007/s13389-016-0137-2},
  doi          = {10.1007/S13389-016-0137-2},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jce/ChakrabortiCHN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/BhattacharjeeSL18,
  author       = {Debjyoti Bhattacharjee and
                  Anne Siemon and
                  Eike Linn and
                  Stephan Menzel and
                  Anupam Chattopadhyay},
  title        = {Kogge-Stone Adder Realization using 1S1R Resistive Switching Crossbar
                  Arrays},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {30:1--30:14},
  year         = {2018},
  url          = {https://doi.org/10.1145/3183352},
  doi          = {10.1145/3183352},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/BhattacharjeeSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/BiswalDBCR18,
  author       = {Laxmidhar Biswal and
                  Rakesh Das and
                  Chandan Bandyopadhyay and
                  Anupam Chattopadhyay and
                  Hafizur Rahaman},
  title        = {A template-based technique for efficient Clifford+T-based quantum
                  circuit implementation},
  journal      = {Microelectron. J.},
  volume       = {81},
  pages        = {58--68},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.mejo.2018.08.011},
  doi          = {10.1016/J.MEJO.2018.08.011},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/BiswalDBCR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/BurgCL18,
  author       = {Andreas Burg and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {Wireless Communication and Security Issues for Cyber-Physical Systems
                  and the Internet-of-Things},
  journal      = {Proc. {IEEE}},
  volume       = {106},
  number       = {1},
  pages        = {38--60},
  year         = {2018},
  url          = {https://doi.org/10.1109/JPROC.2017.2780172},
  doi          = {10.1109/JPROC.2017.2780172},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/BurgCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/DebVCBF18,
  author       = {Suman Deb and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Arindam Basu and
                  Xuanyao Fong},
  title        = {Domain Wall Motion-Based Dual-Threshold Activation Unit for Low-Power
                  Classification of Non-Linearly Separable Functions},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {12},
  number       = {6},
  pages        = {1410--1421},
  year         = {2018},
  url          = {https://doi.org/10.1109/TBCAS.2018.2867038},
  doi          = {10.1109/TBCAS.2018.2867038},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/DebVCBF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/PudiCL18,
  author       = {Vikramkumar Pudi and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {Secure and Lightweight Compressive Sensing Using Stream Cipher},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {65-II},
  number       = {3},
  pages        = {371--375},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCSII.2017.2715659},
  doi          = {10.1109/TCSII.2017.2715659},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/PudiCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tii/ChattopadhyayUJ18,
  author       = {Anupam Chattopadhyay and
                  Abhisek Ukil and
                  Dirmanto Jap and
                  Shivam Bhasin},
  title        = {Toward Threat of Implementation Attacks on Substation Security: Case
                  Study on Fault Detection and Isolation},
  journal      = {{IEEE} Trans. Ind. Informatics},
  volume       = {14},
  number       = {6},
  pages        = {2442--2451},
  year         = {2018},
  url          = {https://doi.org/10.1109/TII.2017.2770096},
  doi          = {10.1109/TII.2017.2770096},
  timestamp    = {Thu, 21 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tii/ChattopadhyayUJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/MerchantVCRNN18,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Efficient Realization of Householder Transform Through Algorithm-Architecture
                  Co-Design for Acceleration of {QR} Factorization},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {29},
  number       = {8},
  pages        = {1707--1720},
  year         = {2018},
  url          = {https://doi.org/10.1109/TPDS.2018.2803820},
  doi          = {10.1109/TPDS.2018.2803820},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/MerchantVCRNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SrinivasuPCL18,
  author       = {B. Srinivasu and
                  Vikramkumar Pudi and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {CoLPUF : {A} Novel Configurable LFSR-based {PUF}},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {358--361},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605643},
  doi          = {10.1109/APCCAS.2018.8605643},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SrinivasuPCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BhattacharjeeDC18,
  author       = {Debjyoti Bhattacharjee and
                  Arko Dutt and
                  Anupam Chattopadhyay},
  title        = {{MAMI:} Majority and Multi-Input Logic on Memristive Crossbar Array},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {435--438},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605573},
  doi          = {10.1109/APCCAS.2018.8605573},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BhattacharjeeDC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MitraBGC18,
  author       = {Sananda Mitra and
                  Sumanta Bose and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay},
  title        = {Secure and Tamper-resilient Distributed Ledger for Data Aggregation
                  in Autonomous Vehicles},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {548--551},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605625},
  doi          = {10.1109/APCCAS.2018.8605625},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/MitraBGC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/MerchantVCRNN18,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Achieving Efficient Realization of Kalman Filter on {CGRA} Through
                  Algorithm-Architecture Co-design},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {119--131},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_10},
  doi          = {10.1007/978-3-319-78890-6\_10},
  timestamp    = {Wed, 28 Apr 2021 16:06:57 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/MerchantVCRNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KhairallahSSBBC18,
  author       = {Mustafa Khairallah and
                  Rajat Sadhukhan and
                  Radhamanjari Samanta and
                  Jakub Breier and
                  Shivam Bhasin and
                  Rajat Subhra Chakraborty and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {{DFARPA:} Differential fault attack resistant physical design automation},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1171--1174},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342190},
  doi          = {10.23919/DATE.2018.8342190},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KhairallahSSBBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BhattacharjeeAC18,
  author       = {Debjyoti Bhattacharjee and
                  Luca G. Amar{\`{u}} and
                  Anupam Chattopadhyay},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Technology-aware logic synthesis for ReRAM based in-memory computing},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1435--1440},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342237},
  doi          = {10.23919/DATE.2018.8342237},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BhattacharjeeAC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdm/MadalaJC18,
  author       = {D. S. V. Madala and
                  Mahabir Prasad Jhanwar and
                  Anupam Chattopadhyay},
  editor       = {Hanghang Tong and
                  Zhenhui Jessie Li and
                  Feida Zhu and
                  Jeffrey Yu},
  title        = {Certificate Transparency Using Blockchain},
  booktitle    = {2018 {IEEE} International Conference on Data Mining Workshops, {ICDM}
                  Workshops, Singapore, Singapore, November 17-20, 2018},
  pages        = {71--80},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICDMW.2018.00018},
  doi          = {10.1109/ICDMW.2018.00018},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icdm/MadalaJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/VaccaTCC18,
  author       = {Marco Vacca and
                  Yaswanth Tavva and
                  Anupam Chattopadhyay and
                  Andrea Calimera},
  title        = {Logic-In-Memory Architecture For Min/Max Search},
  booktitle    = {25th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018},
  pages        = {853--856},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICECS.2018.8617879},
  doi          = {10.1109/ICECS.2018.8617879},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/VaccaTCC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/DebVCBF18,
  author       = {Suman Deb and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Arindam Basu and
                  Xuanyao Fong},
  title        = {Domain Wall Motion-based XOR-like Activation Unit With {A} Programmable
                  Threshold},
  booktitle    = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018,
                  Rio de Janeiro, Brazil, July 8-13, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IJCNN.2018.8489146},
  doi          = {10.1109/IJCNN.2018.8489146},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/DebVCBF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/WongHC18,
  author       = {Ming Ming Wong and
                  Jawad Haj{-}Yahya and
                  Anupam Chattopadhyay},
  editor       = {Jakub Szefer and
                  Weidong Shi and
                  Ruby B. Lee},
  title        = {{SMARTS:} secure memory assurance of {RISC-V} trusted SoC},
  booktitle    = {Proceedings of the 7th International Workshop on Hardware and Architectural
                  Support for Security and Privacy, HASP@ISCA 2018, Los Angeles, CA,
                  USA, June 02-02, 2018},
  pages        = {6:1--6:8},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3214292.3214298},
  doi          = {10.1145/3214292.3214298},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/WongHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarHC18,
  author       = {Sachin Kumar and
                  Jawad Haj{-}Yahya and
                  Anupam Chattopadhyay},
  title        = {Efficient Hardware Accelerator for {NORX} Authenticated Encryption},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351145},
  doi          = {10.1109/ISCAS.2018.8351145},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PudiCL18,
  author       = {Vikramkumar Pudi and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {Efficient and Lightweight Quantized Compressive Sensing using {\(\mu\)}-Law},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351505},
  doi          = {10.1109/ISCAS.2018.8351505},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PudiCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WongHSC18,
  author       = {Ming Ming Wong and
                  Jawad Haj{-}Yahya and
                  Suman Sau and
                  Anupam Chattopadhyay},
  title        = {A New High Throughput and Area Efficient {SHA-3} Implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351649},
  doi          = {10.1109/ISCAS.2018.8351649},
  timestamp    = {Wed, 24 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WongHSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/SurhonneBC18,
  author       = {Anmol Prakash Surhonne and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Synthesis of Multi-valued Literal Using Lukasiewicz Logic},
  booktitle    = {48th {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL}
                  2018, Linz, Austria, May 16-18, 2018},
  pages        = {204--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISMVL.2018.00043},
  doi          = {10.1109/ISMVL.2018.00043},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/SurhonneBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/BaksiPMC18,
  author       = {Anubhab Baksi and
                  Vikramkumar Pudi and
                  Swagata Mandal and
                  Anupam Chattopadhyay},
  title        = {Lightweight {ASIC} Implementation of {AEGIS-128}},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {251--256},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00054},
  doi          = {10.1109/ISVLSI.2018.00054},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/BaksiPMC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ElmohrKKC18,
  author       = {Mahmoud A. Elmohr and
                  Sachin Kumar and
                  Mustafa Khairallah and
                  Anupam Chattopadhyay},
  title        = {A Hardware-Efficient Implementation of {CLOC} for On-chip Authenticated
                  Encryption},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {311--315},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00064},
  doi          = {10.1109/ISVLSI.2018.00064},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ElmohrKKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/BhattacharjeeC18,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Synthesis, Technology Mapping and Optimization for Emerging Technologies},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {369--374},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00074},
  doi          = {10.1109/ISVLSI.2018.00074},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/BhattacharjeeC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/RaviBBC18,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Jakub Breier and
                  Anupam Chattopadhyay},
  title        = {{PPAP} and iPPAP: PLL-Based Protection Against Physical Attacks},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {620--625},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00118},
  doi          = {10.1109/ISVLSI.2018.00118},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/RaviBBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ShrivastwaPC18,
  author       = {Ritu Ranjan Shrivastwa and
                  Vikramkumar Pudi and
                  Anupam Chattopadhyay},
  title        = {An FPGA-Based Brain Computer Interfacing Using Compressive Sensing
                  and Machine Learning},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {726--731},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00137},
  doi          = {10.1109/ISVLSI.2018.00137},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ShrivastwaPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ithings/BoseRMCL18,
  author       = {Sumanta Bose and
                  Mayank Raikwar and
                  Debdeep Mukhopadhyay and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {{BLIC:} {A} Blockchain Protocol for Manufacturing and Supply Chain
                  Management of {ICS}},
  booktitle    = {{IEEE} International Conference on Internet of Things (iThings) and
                  {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber,
                  Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData),
                  iThings/GreenCom/CPSCom/SmartData 2018, Halifax, NS, Canada, July
                  30 - August 3, 2018},
  pages        = {1326--1335},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/Cybermatics\_2018.2018.00229},
  doi          = {10.1109/CYBERMATICS\_2018.2018.00229},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/ithings/BoseRMCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ivs/KumarJPC18,
  author       = {Sachin Kumar and
                  Sonu Jha and
                  Sumit Kumar Pandey and
                  Anupam Chattopadhyay},
  title        = {A Security Model for Intelligent Vehicles and Smart Traffic Infrastructure},
  booktitle    = {2018 {IEEE} Intelligent Vehicles Symposium, {IV} 2018, Changshu, Suzhou,
                  China, June 26-30, 2018},
  pages        = {162--167},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IVS.2018.8500423},
  doi          = {10.1109/IVS.2018.8500423},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/ivs/KumarJPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ntms/RaikwarMRGCL18,
  author       = {Mayank Raikwar and
                  Subhra Mazumdar and
                  Sushmita Ruj and
                  Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {A Blockchain Framework for Insurance Processes},
  booktitle    = {9th {IFIP} International Conference on New Technologies, Mobility
                  and Security, {NTMS} 2018, Paris, France, February 26-28, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NTMS.2018.8328731},
  doi          = {10.1109/NTMS.2018.8328731},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ntms/RaikwarMRGCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/KhairallahNCP18,
  author       = {Mustafa Khairallah and
                  Zakaria Najm and
                  Anupam Chattopadhyay and
                  Thomas Peyrin},
  editor       = {Trevor N. Mudge and
                  Dionisios N. Pnevmatikatos},
  title        = {Crack me if you can: hardware acceleration bridging the gap between
                  practical and theoretical cryptanalysis?: a Survey},
  booktitle    = {Proceedings of the 18th International Conference on Embedded Computer
                  Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece,
                  July 15-19, 2018},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3229631.3239366},
  doi          = {10.1145/3229631.3239366},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/samos/KhairallahNCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MandalBTC18,
  author       = {Swagata Mandal and
                  Debjyoti Bhattacharjee and
                  Yaswanth Tavva and
                  Anupam Chattopadhyay},
  title        = {ReRAM-based In-Memory Computation of Galois Field arithmetic},
  booktitle    = {{IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2018, Verona, Italy, October 8-10, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSI-SoC.2018.8644772},
  doi          = {10.1109/VLSI-SOC.2018.8644772},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MandalBTC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/WongPC18,
  author       = {Ming Ming Wong and
                  Vikramkumar Pudi and
                  Anupam Chattopadhyay},
  title        = {Lightweight and High Performance {SHA-256} using Architectural Folding
                  and 4-2 Adder Compressor},
  booktitle    = {{IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2018, Verona, Italy, October 8-10, 2018},
  pages        = {95--100},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSI-SoC.2018.8644825},
  doi          = {10.1109/VLSI-SOC.2018.8644825},
  timestamp    = {Tue, 26 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/WongPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MandalTBC18,
  author       = {Swagata Mandal and
                  Yaswanth Tavva and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  editor       = {Nicola Bombieri and
                  Graziano Pravadelli and
                  Masahiro Fujita and
                  Todd M. Austin and
                  Ricardo Reis},
  title        = {ReRAM Based In-Memory Computation of Single Bit Error Correcting {BCH}
                  Code},
  booktitle    = {VLSI-SoC: Design and Engineering of Electronics Systems Based on New
                  Computing Paradigms - 26th {IFIP} {WG} 10.5/IEEE International Conference
                  on Very Large Scale Integration, VLSI-SoC 2018, Verona, Italy, October
                  8-10, 2018, Revised and Extended Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {561},
  pages        = {128--146},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-030-23425-6\_7},
  doi          = {10.1007/978-3-030-23425-6\_7},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MandalTBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/SivanesanCB18,
  author       = {Mayuran Sivanesan and
                  Anupam Chattopadhyay and
                  Bajaj Ronak},
  title        = {Accelerating Hash Computations Through Efficient Instruction-Set Customisation},
  booktitle    = {31st International Conference on {VLSI} Design and 17th International
                  Conference on Embedded Systems, {VLSID} 2018, Pune, India, January
                  6-10, 2018},
  pages        = {362--367},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSID.2018.91},
  doi          = {10.1109/VLSID.2018.91},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/SivanesanCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DeyPCE18,
  author       = {Vishal Dey and
                  Vikramkumar Pudi and
                  Anupam Chattopadhyay and
                  Yuval Elovici},
  title        = {Security Vulnerabilities of Unmanned Aerial Vehicles and Countermeasures:
                  An Experimental Study},
  booktitle    = {31st International Conference on {VLSI} Design and 17th International
                  Conference on Embedded Systems, {VLSID} 2018, Pune, India, January
                  6-10, 2018},
  pages        = {398--403},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSID.2018.97},
  doi          = {10.1109/VLSID.2018.97},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DeyPCE18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/VatwaniDBC18,
  author       = {Tarun Vatwani and
                  Arko Dutt and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Floating Point Multiplication Mapping on ReRAM Based In-memory Computing
                  Architecture},
  booktitle    = {31st International Conference on {VLSI} Design and 17th International
                  Conference on Embedded Systems, {VLSID} 2018, Pune, India, January
                  6-10, 2018},
  pages        = {439--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSID.2018.104},
  doi          = {10.1109/VLSID.2018.104},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/VatwaniDBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/waifi/KhairallahCMM18,
  author       = {Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Bimal Mandal and
                  Subhamoy Maitra},
  editor       = {Lilya Budaghyan and
                  Francisco Rodr{\'{\i}}guez{-}Henr{\'{\i}}quez},
  title        = {On Hardware Implementation of Tang-Maitra Boolean Functions},
  booktitle    = {Arithmetic of Finite Fields - 7th International Workshop, {WAIFI}
                  2018, Bergen, Norway, June 14-16, 2018, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {11321},
  pages        = {111--127},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-030-05153-2\_6},
  doi          = {10.1007/978-3-030-05153-2\_6},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/waifi/KhairallahCMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/space/2018,
  editor       = {Anupam Chattopadhyay and
                  Chester Rebeiro and
                  Yuval Yarom},
  title        = {Security, Privacy, and Applied Cryptography Engineering - 8th International
                  Conference, {SPACE} 2018, Kanpur, India, December 15-19, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11348},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-030-05072-6},
  doi          = {10.1007/978-3-030-05072-6},
  isbn         = {978-3-030-05071-9},
  timestamp    = {Tue, 14 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/space/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1802-03650,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Achieving Efficient Realization of Kalman Filter on {CGRA} through
                  Algorithm-Architecture Co-design},
  journal      = {CoRR},
  volume       = {abs/1802.03650},
  year         = {2018},
  url          = {http://arxiv.org/abs/1802.03650},
  eprinttype    = {arXiv},
  eprint       = {1802.03650},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1802-03650.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1802-03909,
  author       = {Manaar Alam and
                  Sarani Bhattacharya and
                  Debdeep Mukhopadhyay and
                  Anupam Chattopadhyay},
  title        = {{RAPPER:} Ransomware Prevention via Performance Counters},
  journal      = {CoRR},
  volume       = {abs/1802.03909},
  year         = {2018},
  url          = {http://arxiv.org/abs/1802.03909},
  eprinttype    = {arXiv},
  eprint       = {1802.03909},
  timestamp    = {Tue, 17 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1802-03909.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1803-05320,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan and
                  Rainer Leupers},
  title        = {Efficient Realization of Givens Rotation through Algorithm-Architecture
                  Co-design for Acceleration of {QR} Factorization},
  journal      = {CoRR},
  volume       = {abs/1803.05320},
  year         = {2018},
  url          = {http://arxiv.org/abs/1803.05320},
  eprinttype    = {arXiv},
  eprint       = {1803.05320},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1803-05320.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1805-02342,
  author       = {Srijit Dutta and
                  Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Quantum Circuits for Toom-Cook Multiplication},
  journal      = {CoRR},
  volume       = {abs/1805.02342},
  year         = {2018},
  url          = {http://arxiv.org/abs/1805.02342},
  eprinttype    = {arXiv},
  eprint       = {1805.02342},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1805-02342.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1809-08195,
  author       = {Debjyoti Bhattacharjee and
                  Yaswanth Tavva and
                  Arvind Easwaran and
                  Anupam Chattopadhyay},
  title        = {Crossbar-Constrained Technology Mapping for ReRAM based In-Memory
                  Computing},
  journal      = {CoRR},
  volume       = {abs/1809.08195},
  year         = {2018},
  url          = {http://arxiv.org/abs/1809.08195},
  eprinttype    = {arXiv},
  eprint       = {1809.08195},
  timestamp    = {Fri, 05 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1809-08195.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1810-00069,
  author       = {Anirban Chakraborty and
                  Manaar Alam and
                  Vishal Dey and
                  Anupam Chattopadhyay and
                  Debdeep Mukhopadhyay},
  title        = {Adversarial Attacks and Defences: {A} Survey},
  journal      = {CoRR},
  volume       = {abs/1810.00069},
  year         = {2018},
  url          = {http://arxiv.org/abs/1810.00069},
  eprinttype    = {arXiv},
  eprint       = {1810.00069},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1810-00069.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1810-00545,
  author       = {Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {Autonomous Vehicle: Security by Design},
  journal      = {CoRR},
  volume       = {abs/1810.00545},
  year         = {2018},
  url          = {http://arxiv.org/abs/1810.00545},
  eprinttype    = {arXiv},
  eprint       = {1810.00545},
  timestamp    = {Sat, 23 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1810-00545.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1810-09661,
  author       = {Swagata Mandal and
                  Sreetama Sarkar and
                  Ming Ming Wong and
                  Anupam Chattopadhyay and
                  Amlan Chakrabarti},
  title        = {Criticality Aware Soft Error Mitigation in the Configuration Memory
                  of {SRAM} based {FPGA}},
  journal      = {CoRR},
  volume       = {abs/1810.09661},
  year         = {2018},
  url          = {http://arxiv.org/abs/1810.09661},
  eprinttype    = {arXiv},
  eprint       = {1810.09661},
  timestamp    = {Wed, 31 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1810-09661.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviBC18,
  author       = {Prasanna Ravi and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Number "Not" Used Once - Key Recovery Fault Attacks on {LWE}
                  Based Lattice Cryptographic Schemes},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {211},
  year         = {2018},
  url          = {http://eprint.iacr.org/2018/211},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KhairallahCMM18,
  author       = {Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Bimal Mandal and
                  Subhamoy Maitra},
  title        = {On Hardware Implementation of Tang-Maitra Boolean Functions},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {667},
  year         = {2018},
  url          = {https://eprint.iacr.org/2018/667},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KhairallahCMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RaviJHCB18,
  author       = {Prasanna Ravi and
                  Mahabir Prasad Jhanwar and
                  James Howe and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {Side-channel Assisted Existential Forgery Attack on Dilithium - {A}
                  {NIST} {PQC} candidate},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {821},
  year         = {2018},
  url          = {https://eprint.iacr.org/2018/821},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RaviJHCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/MadalaJC18,
  author       = {D. S. V. Madala and
                  Mahabir Prasad Jhanwar and
                  Anupam Chattopadhyay},
  title        = {Certificate Transparency Using Blockchain},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1232},
  year         = {2018},
  url          = {https://eprint.iacr.org/2018/1232},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/MadalaJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cogcom/CambriaCLMW17,
  author       = {Erik Cambria and
                  Anupam Chattopadhyay and
                  Eike Linn and
                  Bappaditya Mandal and
                  Bebo White},
  title        = {Storages Are Not Forever},
  journal      = {Cogn. Comput.},
  volume       = {9},
  number       = {5},
  pages        = {646--658},
  year         = {2017},
  url          = {https://doi.org/10.1007/s12559-017-9482-4},
  doi          = {10.1007/S12559-017-9482-4},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cogcom/CambriaCLMW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dam/PasalicCC17,
  author       = {Enes Pasalic and
                  Anupam Chattopadhyay and
                  Debabani Chowdhury},
  title        = {An analysis of root functions - {A} subclass of the Impossible Class
                  of Faulty Functions {(ICFF)}},
  journal      = {Discret. Appl. Math.},
  volume       = {222},
  pages        = {1--13},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.dam.2016.12.001},
  doi          = {10.1016/J.DAM.2016.12.001},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dam/PasalicCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jce/PasalicCZ17,
  author       = {Enes Pasalic and
                  Anupam Chattopadhyay and
                  WeiGuo Zhang},
  title        = {Efficient implementation of generalized Maiorana-McFarland class of
                  cryptographic functions},
  journal      = {J. Cryptogr. Eng.},
  volume       = {7},
  number       = {4},
  pages        = {287--295},
  year         = {2017},
  url          = {https://doi.org/10.1007/s13389-016-0139-0},
  doi          = {10.1007/S13389-016-0139-0},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jce/PasalicCZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/BreierHJBC17,
  author       = {Jakub Breier and
                  Wei He and
                  Dirmanto Jap and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Attacks in Reality: the Limits of Concurrent Error Detection Codes
                  Against Laser Fault Injection},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {4},
  pages        = {298--310},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0020-3},
  doi          = {10.1007/S41635-017-0020-3},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/BreierHJBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/BhattacharjeeSL17,
  author       = {Debjyoti Bhattacharjee and
                  Anne Siemon and
                  Eike Linn and
                  Anupam Chattopadhyay},
  title        = {Efficient complementary resistive switch-based crossbar array Booth
                  multiplier},
  journal      = {Microelectron. J.},
  volume       = {64},
  pages        = {78--85},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.mejo.2017.04.010},
  doi          = {10.1016/J.MEJO.2017.04.010},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/BhattacharjeeSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ppl/MerchantCRNN17,
  author       = {Farhad Merchant and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Accelerating {BLAS} and {LAPACK} via Efficient Floating Point Architecture
                  Design},
  journal      = {Parallel Process. Lett.},
  volume       = {27},
  number       = {3-4},
  pages        = {1750006:1--1750006:17},
  year         = {2017},
  url          = {https://doi.org/10.1142/S0129626417500062},
  doi          = {10.1142/S0129626417500062},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ppl/MerchantCRNN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BouraouiJCH17,
  author       = {Hasna Bouraoui and
                  Chadlia Jerad and
                  Anupam Chattopadhyay and
                  Nejib Ben Hadj{-}Alouane},
  title        = {Hardware Architectures for Embedded Speaker Recognition Applications:
                  {A} Survey},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {16},
  number       = {3},
  pages        = {78:1--78:28},
  year         = {2017},
  url          = {https://doi.org/10.1145/2975161},
  doi          = {10.1145/2975161},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/BouraouiJCH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KhalidPC17,
  author       = {Ayesha Khalid and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {RC4-AccSuite: {A} Hardware Acceleration Suite for RC4-Like Stream
                  Ciphers},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {3},
  pages        = {1072--1084},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2016.2606554},
  doi          = {10.1109/TVLSI.2016.2606554},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KhalidPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuanLTHCAX17,
  author       = {Luechao Yuan and
                  Cang Liu and
                  Chuan Tang and
                  Shan Huang and
                  Anupam Chattopadhyay and
                  Gerd Ascheid and
                  Zuocheng Xing},
  title        = {A Flexible Divide-and-Conquer MPSoC Architecture for {MIMO} Interference
                  Cancellation},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {10},
  pages        = {2789--2802},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2728609},
  doi          = {10.1109/TVLSI.2017.2728609},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuanLTHCAX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BhattacharjeeEC17,
  author       = {Debjyoti Bhattacharjee and
                  Arvind Easwaran and
                  Anupam Chattopadhyay},
  title        = {Area-constrained technology mapping for in-memory computing using
                  ReRAM devices},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {69--74},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858298},
  doi          = {10.1109/ASPDAC.2017.7858298},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/BhattacharjeeEC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/EaswaranCB17,
  author       = {Arvind Easwaran and
                  Anupam Chattopadhyay and
                  Shivam Bhasin},
  title        = {A systematic security analysis of real-time cyber-physical systems},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {206--213},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858321},
  doi          = {10.1109/ASPDAC.2017.7858321},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/EaswaranCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BhattacharjeeDC17,
  author       = {Debjyoti Bhattacharjee and
                  Rajeswari Devadoss and
                  Anupam Chattopadhyay},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {ReVAMP: ReRAM based {VLIW} architecture for in-memory computing},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {782--787},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927095},
  doi          = {10.23919/DATE.2017.7927095},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BhattacharjeeDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChattopadhyayPS17,
  author       = {Anupam Chattopadhyay and
                  Alok Prakash and
                  Muhammad Shafique},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Secure Cyber-Physical Systems: Current trends, tools and open research
                  problems},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1104--1109},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927154},
  doi          = {10.23919/DATE.2017.7927154},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChattopadhyayPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fdtc/KumarPBMBCB17,
  author       = {S. V. Dilip Kumar and
                  Sikhar Patranabis and
                  Jakub Breier and
                  Debdeep Mukhopadhyay and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {A Practical Fault Attack on ARX-Like Ciphers with a Case Study on
                  ChaCha20},
  booktitle    = {2017 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC}
                  2017, Taipei, Taiwan, September 25, 2017},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/FDTC.2017.14},
  doi          = {10.1109/FDTC.2017.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fdtc/KumarPBMBCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhanBYCG17,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Shivam Bhasin and
                  Alex Yuan and
                  Anupam Chattopadhyay and
                  Swaroop Ghosh},
  title        = {Side-Channel Attack on {STTRAM} Based Cache for Cryptographic Application},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.14},
  doi          = {10.1109/ICCD.2017.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhanBYCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/KhairallahCP17,
  author       = {Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Thomas Peyrin},
  editor       = {Arpita Patra and
                  Nigel P. Smart},
  title        = {Looting the LUTs: {FPGA} Optimization of {AES} and AES-like Ciphers
                  for Authenticated Encryption},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2017 - 18th International Conference
                  on Cryptology in India, Chennai, India, December 10-13, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10698},
  pages        = {282--301},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-71667-1\_15},
  doi          = {10.1007/978-3-319-71667-1\_15},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/KhairallahCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/ChattopadhyayL17,
  author       = {Anupam Chattopadhyay and
                  Kwok{-}Yan Lam},
  title        = {Security of autonomous vehicle as a cyber-physical system},
  booktitle    = {7th International Symposium on Embedded Computing and System Design,
                  {ISED} 2017, Durgapur, India, December 18-20, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISED.2017.8303906},
  doi          = {10.1109/ISED.2017.8303906},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ised/ChattopadhyayL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BhattacharjeePC17,
  author       = {Debjyoti Bhattacharjee and
                  Vikramkumar Pudi and
                  Anupam Chattopadhyay},
  title        = {{SHA-3} implementation using ReRAM based in-memory computing architecture},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {325--330},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918336},
  doi          = {10.1109/ISQED.2017.7918336},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BhattacharjeePC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rc/PaulCC17,
  author       = {Goutam Paul and
                  Anupam Chattopadhyay and
                  Chander Chandak},
  editor       = {Iain Phillips and
                  Hafizur Rahaman},
  title        = {Designing Parity Preserving Reversible Circuits},
  booktitle    = {Reversible Computation - 9th International Conference, {RC} 2017,
                  Kolkata, India, July 6-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10301},
  pages        = {77--89},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-59936-6\_6},
  doi          = {10.1007/978-3-319-59936-6\_6},
  timestamp    = {Tue, 22 Oct 2019 15:21:14 +0200},
  biburl       = {https://dblp.org/rec/conf/rc/PaulCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rc/SurhonneCW17,
  author       = {Anmol Prakash Surhonne and
                  Anupam Chattopadhyay and
                  Robert Wille},
  editor       = {Iain Phillips and
                  Hafizur Rahaman},
  title        = {Automatic Test Pattern Generation for Multiple Missing Gate Faults
                  in Reversible Circuits - Work in Progress Report},
  booktitle    = {Reversible Computation - 9th International Conference, {RC} 2017,
                  Kolkata, India, July 6-7, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10301},
  pages        = {176--182},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-59936-6\_14},
  doi          = {10.1007/978-3-319-59936-6\_14},
  timestamp    = {Mon, 26 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rc/SurhonneCW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/SauHWLC17,
  author       = {Suman Sau and
                  Jawad Haj{-}Yahya and
                  Ming Ming Wong and
                  Kwok{-}Yan Lam and
                  Anupam Chattopadhyay},
  editor       = {Yale N. Patt and
                  S. K. Nandy},
  title        = {Survey of secure processors},
  booktitle    = {2017 International Conference on Embedded Computer Systems: Architectures,
                  Modeling, and Simulation, {SAMOS} 2017, Pythagorion, Greece, July
                  17-20, 2017},
  pages        = {253--260},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SAMOS.2017.8344637},
  doi          = {10.1109/SAMOS.2017.8344637},
  timestamp    = {Tue, 27 Apr 2021 15:13:55 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/SauHWLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/space/SiddhantiSMC17,
  author       = {Akhilesh Siddhanti and
                  Santanu Sarkar and
                  Subhamoy Maitra and
                  Anupam Chattopadhyay},
  editor       = {Sk Subidh Ali and
                  Jean{-}Luc Danger and
                  Thomas Eisenbarth},
  title        = {Differential Fault Attack on Grain v1, {ACORN} v3 and Lizard},
  booktitle    = {Security, Privacy, and Applied Cryptography Engineering - 7th International
                  Conference, {SPACE} 2017, Goa, India, December 13-17, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10662},
  pages        = {247--263},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-71501-8\_14},
  doi          = {10.1007/978-3-319-71501-8\_14},
  timestamp    = {Tue, 14 May 2019 10:00:40 +0200},
  biburl       = {https://dblp.org/rec/conf/space/SiddhantiSMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BhattacharjeeC17,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Efficient Binary Basic Linear Algebra Operations on ReRAM Crossbar
                  Arrays},
  booktitle    = {30th International Conference on {VLSI} Design and 16th International
                  Conference on Embedded Systems, {VLSID} 2017, Hyderabad, India, January
                  7-11, 2017},
  pages        = {277--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/VLSID.2017.10},
  doi          = {10.1109/VLSID.2017.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BhattacharjeeC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/BhattacharjeeC17,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  title        = {Depth-Optimal Quantum Circuit Placement for Arbitrary Topologies},
  journal      = {CoRR},
  volume       = {abs/1703.08540},
  year         = {2017},
  url          = {http://arxiv.org/abs/1703.08540},
  eprinttype    = {arXiv},
  eprint       = {1703.08540},
  timestamp    = {Sat, 23 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/BhattacharjeeC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/SiddhantiSMC17,
  author       = {Akhilesh Siddhanti and
                  Santanu Sarkar and
                  Subhamoy Maitra and
                  Anupam Chattopadhyay},
  title        = {Differential Fault Attack on Grain v1, {ACORN} v3 and Lizard},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {678},
  year         = {2017},
  url          = {http://eprint.iacr.org/2017/678},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/SiddhantiSMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KhairallahCP17,
  author       = {Mustafa Khairallah and
                  Anupam Chattopadhyay and
                  Thomas Peyrin},
  title        = {Looting the LUTs : {FPGA} Optimization of {AES} and AES-like Ciphers
                  for Authenticated Encryption},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1019},
  year         = {2017},
  url          = {http://eprint.iacr.org/2017/1019},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KhairallahCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KumarPBMBCB17,
  author       = {S. V. Dilip Kumar and
                  Sikhar Patranabis and
                  Jakub Breier and
                  Debdeep Mukhopadhyay and
                  Shivam Bhasin and
                  Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {A Practical Fault Attack on ARX-like Ciphers with a Case Study on
                  ChaCha20},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1074},
  year         = {2017},
  url          = {http://eprint.iacr.org/2017/1074},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KumarPBMBCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KumarHKC17,
  author       = {Sachin Kumar and
                  Jawad Haj{-}Yihia and
                  Mustafa Khairallah and
                  Anupam Chattopadhyay},
  title        = {A Comprehensive Performance Analysis of Hardware Implementations of
                  {CAESAR} Candidates},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1261},
  year         = {2017},
  url          = {http://eprint.iacr.org/2017/1261},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KumarHKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jce/KhalidHPC16,
  author       = {Ayesha Khalid and
                  Muhammad Hassan and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {RunFein: a rapid prototyping framework for Feistel and SPN-based block
                  ciphers},
  journal      = {J. Cryptogr. Eng.},
  volume       = {6},
  number       = {4},
  pages        = {299--323},
  year         = {2016},
  url          = {https://doi.org/10.1007/s13389-016-0116-7},
  doi          = {10.1007/S13389-016-0116-7},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jce/KhalidHPC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/PaulC16,
  author       = {Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {Three Snakes in One Hole: The First Systematic Hardware Accelerator
                  Design for {SOSEMANUK} with Optional Serpent and {SNOW} 2.0 Modes},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {2},
  pages        = {640--653},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2435775},
  doi          = {10.1109/TC.2015.2435775},
  timestamp    = {Sun, 17 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/PaulC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/AmaruGCM16,
  author       = {Luca Gaetano Amar{\`{u}} and
                  Pierre{-}Emmanuel Gaillardon and
                  Anupam Chattopadhyay and
                  Giovanni De Micheli},
  title        = {A Sound and Complete Axiomatization of Majority-n Logic},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {9},
  pages        = {2889--2895},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2506566},
  doi          = {10.1109/TC.2015.2506566},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/AmaruGCM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KhalidPCADHBR16,
  author       = {Ayesha Khalid and
                  Goutam Paul and
                  Anupam Chattopadhyay and
                  Faezeh Abediostad and
                  Syed Imad Ud Din and
                  Muhammad Hassan and
                  Baishik Biswas and
                  Prasanna Ravi},
  title        = {RunStream: {A} High-Level Rapid Prototyping Framework for Stream Ciphers},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {15},
  number       = {3},
  pages        = {61:1--61:27},
  year         = {2016},
  url          = {https://doi.org/10.1145/2891412},
  doi          = {10.1145/2891412},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/KhalidPCADHBR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WilleKWRCD16,
  author       = {Robert Wille and
                  Oliver Kesz{\"{o}}cze and
                  Marcel Walter and
                  Patrick Rohrs and
                  Anupam Chattopadhyay and
                  Rolf Drechsler},
  title        = {Look-ahead schemes for nearest neighbor optimization of 1D and 2D
                  quantum circuits},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {292--297},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428026},
  doi          = {10.1109/ASPDAC.2016.7428026},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WilleKWRCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BianS0HCS16,
  author       = {Song Bian and
                  Michihiro Shintani and
                  Zheng Wang and
                  Masayuki Hiromoto and
                  Anupam Chattopadhyay and
                  Takashi Sato},
  title        = {Runtime {NBTI} Mitigation for Processor Lifespan Extension via Selective
                  Node Control},
  booktitle    = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November
                  21-24, 2016},
  pages        = {234--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ATS.2016.31},
  doi          = {10.1109/ATS.2016.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BianS0HCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/HeBBC16,
  author       = {Wei He and
                  Jakub Breier and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  editor       = {Jianying Zhou and
                  Javier L{\'{o}}pez},
  title        = {Bypassing Parity Protected Cryptography using Laser Fault Injection
                  in Cyber-Physical System},
  booktitle    = {Proceedings of the 2nd {ACM} International Workshop on Cyber-Physical
                  System Security, CPSS@AsiaCCS, Xi'an, China, May 30, 2016},
  pages        = {15--21},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2899015.2899019},
  doi          = {10.1145/2899015.2899019},
  timestamp    = {Tue, 10 Nov 2020 16:06:16 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/HeBBC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ConstantinBWCK16,
  author       = {Jeremy Constantin and
                  Andreas Peter Burg and
                  Zheng Wang and
                  Anupam Chattopadhyay and
                  Georgios Karakonstantis},
  title        = {Statistical fault injection for impact-evaluation of timing errors
                  on application performance},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {13:1--13:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898095},
  doi          = {10.1145/2897937.2898095},
  timestamp    = {Tue, 06 Nov 2018 16:58:19 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ConstantinBWCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SoekenC16,
  author       = {Mathias Soeken and
                  Anupam Chattopadhyay},
  title        = {Unlocking efficiency and scalability of reversible logic synthesis
                  using conventional logic synthesis},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {149:1--149:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898107},
  doi          = {10.1145/2897937.2898107},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SoekenC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GaillardonASLWC16,
  author       = {Pierre{-}Emmanuel Gaillardon and
                  Luca Gaetano Amar{\`{u}} and
                  Anne Siemon and
                  Eike Linn and
                  Rainer Waser and
                  Anupam Chattopadhyay and
                  Giovanni De Micheli},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {The Programmable Logic-in-Memory (PLiM) computer},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {427--432},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459349/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GaillardonASLWC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangKC16,
  author       = {Zheng Wang and
                  Georgios Karakonstantis and
                  Anupam Chattopadhyay},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {A low overhead error confinement method based on application statistical
                  characteristics},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {1168--1171},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459488/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BhattacharjeeC16,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  editor       = {Frank Liu},
  title        = {Delay-optimal technology mapping for in-memory computing using ReRAM
                  devices},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {119},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967020},
  doi          = {10.1145/2966986.2967020},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BhattacharjeeC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChattopadhyayB16,
  author       = {Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {Low-quantum cost circuit constructions for adder and symmetric Boolean
                  functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2294--2297},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539042},
  doi          = {10.1109/ISCAS.2016.7539042},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChattopadhyayB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/PudiCS16,
  author       = {Vikramkumar Pudi and
                  Anupam Chattopadhyay and
                  Thambipillai Srikanthan},
  title        = {Modified projected Landweber method for Compressive-Sensing reconstruction
                  of images with non-orthogonal matrices},
  booktitle    = {International Symposium on Integrated Circuits, {ISIC} 2016, Singapore,
                  December 12-14, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISICIR.2016.7829716},
  doi          = {10.1109/ISICIR.2016.7829716},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isicir/PudiCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/ChattopadhyayAS16,
  author       = {Anupam Chattopadhyay and
                  Luca Gaetano Amar{\`{u}} and
                  Mathias Soeken and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli},
  title        = {Notes on Majority Boolean Algebra},
  booktitle    = {46th {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL}
                  2016, Sapporo, Japan, May 18-20, 2016},
  pages        = {50--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISMVL.2016.21},
  doi          = {10.1109/ISMVL.2016.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/ChattopadhyayAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/RahmanDCW16,
  author       = {Md. Mazder Rahman and
                  Gerhard W. Dueck and
                  Anupam Chattopadhyay and
                  Robert Wille},
  title        = {Integrated Synthesis of Linear Nearest Neighbor Ancilla-Free {MCT}
                  Circuits},
  booktitle    = {46th {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL}
                  2016, Sapporo, Japan, May 18-20, 2016},
  pages        = {144--149},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISMVL.2016.54},
  doi          = {10.1109/ISMVL.2016.54},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/RahmanDCW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/BiswalBCWDR16,
  author       = {Laxmidhar Biswal and
                  Chandan Bandyopadhyay and
                  Anupam Chattopadhyay and
                  Robert Wille and
                  Rolf Drechsler and
                  Hafizur Rahaman},
  title        = {Nearest-Neighbor and Fault-Tolerant Quantum Circuit Implementation},
  booktitle    = {46th {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL}
                  2016, Sapporo, Japan, May 18-20, 2016},
  pages        = {156--161},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISMVL.2016.48},
  doi          = {10.1109/ISMVL.2016.48},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/BiswalBCWDR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ChattopadhyayPB16,
  author       = {Anupam Chattopadhyay and
                  Vikramkumar Pudi and
                  Anubhab Baksi and
                  Thambipillai Srikanthan},
  title        = {{FPGA} Based Cyber Security Protocol for Automated Traffic Monitoring
                  Systems: Proposal and Implementation},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {18--23},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.97},
  doi          = {10.1109/ISVLSI.2016.97},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ChattopadhyayPB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/DebCY16,
  author       = {Suman Deb and
                  Anupam Chattopadhyay and
                  Hao Yu},
  title        = {Energy Optimization of Racetrack Memory-Based {SIMON} Block Cipher},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {431--436},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.103},
  doi          = {10.1109/ISVLSI.2016.103},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/DebCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/0020LUKC16,
  author       = {Zheng Wang and
                  Alessandro Littarru and
                  Emmanuel Ikechukwu Ugwu and
                  Shazia Kanwal and
                  Anupam Chattopadhyay},
  title        = {Reliable Many-Core System-on-Chip Design Using K-Node Fault Tolerant
                  Graphs},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {619--624},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.40},
  doi          = {10.1109/ISVLSI.2016.40},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/0020LUKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/BhattacharjeeSL16,
  author       = {Debjyoti Bhattacharjee and
                  Anne Siemon and
                  Eike Linn and
                  Stephan Menzel and
                  Anupam Chattopadhyay},
  title        = {Efficient implementation of multiplexer and priority multiplexer using
                  1S1R ReRAM crossbar arrays},
  booktitle    = {{IEEE} 59th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/MWSCAS.2016.7870133},
  doi          = {10.1109/MWSCAS.2016.7870133},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/BhattacharjeeSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/WilleCD16,
  author       = {Robert Wille and
                  Anupam Chattopadhyay and
                  Rolf Drechsler},
  editor       = {Walid A. Najjar and
                  Andreas Gerstlauer},
  title        = {From reversible logic to quantum circuits: Logic design for an emerging
                  technology},
  booktitle    = {International Conference on Embedded Computer Systems: Architectures,
                  Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island,
                  Greece, July 17-21, 2016},
  pages        = {268--274},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SAMOS.2016.7818357},
  doi          = {10.1109/SAMOS.2016.7818357},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/WilleCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/DebNYC16,
  author       = {Suman Deb and
                  Leibin Ni and
                  Hao Yu and
                  Anupam Chattopadhyay},
  editor       = {Walid A. Najjar and
                  Andreas Gerstlauer},
  title        = {Racetrack memory-based encoder/decoder for low-power interconnect
                  architectures},
  booktitle    = {International Conference on Embedded Computer Systems: Architectures,
                  Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island,
                  Greece, July 17-21, 2016},
  pages        = {281--287},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SAMOS.2016.7818359},
  doi          = {10.1109/SAMOS.2016.7818359},
  timestamp    = {Tue, 24 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/DebNYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/secrypt/BhattacharjeeC16,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  editor       = {Christian Callegari and
                  Marten van Sinderen and
                  Panagiotis G. Sarigiannidis and
                  Pierangela Samarati and
                  Enrique Cabello and
                  Pascal Lorenz and
                  Mohammad S. Obaidat},
  title        = {Hardware Accelerator for Stream Cipher Spritz},
  booktitle    = {Proceedings of the 13th International Joint Conference on e-Business
                  and Telecommunications {(ICETE} 2016) - Volume 4: SECRYPT, Lisbon,
                  Portugal, July 26-28, 2016},
  pages        = {215--222},
  publisher    = {SciTePress},
  year         = {2016},
  url          = {https://doi.org/10.5220/0005951302150222},
  doi          = {10.5220/0005951302150222},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/secrypt/BhattacharjeeC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/BhattacharjeeMC16,
  author       = {Debjyoti Bhattacharjee and
                  Farhad Merchant and
                  Anupam Chattopadhyay},
  title        = {Enabling in-memory computation of binary {BLAS} using ReRAM crossbar
                  arrays},
  booktitle    = {2016 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSI-SoC.2016.7753568},
  doi          = {10.1109/VLSI-SOC.2016.7753568},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/BhattacharjeeMC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MerchantVCRNN16,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Achieving Efficient {QR} Factorization by Algorithm-Architecture Co-design
                  of Householder Transformation},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {98--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.109},
  doi          = {10.1109/VLSID.2016.109},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MerchantVCRNN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/ChattopadhyayB16,
  author       = {Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {Reversible Logic Circuit Complexity Analysis via Functional Decomposition},
  journal      = {CoRR},
  volume       = {abs/1602.00101},
  year         = {2016},
  url          = {http://arxiv.org/abs/1602.00101},
  eprinttype    = {arXiv},
  eprint       = {1602.00101},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/ChattopadhyayB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/ChattopadhyayH16,
  author       = {Anupam Chattopadhyay and
                  Sharif Md Khairul Hossain},
  title        = {Ancilla-free Reversible Logic Synthesis via Sorting},
  journal      = {CoRR},
  volume       = {abs/1605.05989},
  year         = {2016},
  url          = {http://arxiv.org/abs/1605.05989},
  eprinttype    = {arXiv},
  eprint       = {1605.05989},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/ChattopadhyayH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/MerchantVCRNN16,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Accelerating {BLAS} on Custom Architecture through Algorithm-Architecture
                  Co-design},
  journal      = {CoRR},
  volume       = {abs/1610.06385},
  year         = {2016},
  url          = {http://arxiv.org/abs/1610.06385},
  eprinttype    = {arXiv},
  eprint       = {1610.06385},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/MerchantVCRNN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/MerchantCRNN16,
  author       = {Farhad Merchant and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Accelerating {BLAS} and {LAPACK} via Efficient Floating Point Architecture
                  Design},
  journal      = {CoRR},
  volume       = {abs/1610.08705},
  year         = {2016},
  url          = {http://arxiv.org/abs/1610.08705},
  eprinttype    = {arXiv},
  eprint       = {1610.08705},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/MerchantCRNN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/MerchantVCRNN16a,
  author       = {Farhad Merchant and
                  Tarun Vatwani and
                  Anupam Chattopadhyay and
                  Soumyendu Raha and
                  S. K. Nandy and
                  Ranjani Narayan},
  title        = {Efficient Realization of Householder Transform through Algorithm-Architecture
                  Co-design for Acceleration of {QR} Factorization},
  journal      = {CoRR},
  volume       = {abs/1612.04470},
  year         = {2016},
  url          = {http://arxiv.org/abs/1612.04470},
  eprinttype    = {arXiv},
  eprint       = {1612.04470},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/MerchantVCRNN16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChenMHCAL15,
  author       = {Xiaolin Chen and
                  Andreas Minwegen and
                  Bilal Syed Hussain and
                  Anupam Chattopadhyay and
                  Gerd Ascheid and
                  Rainer Leupers},
  title        = {Flexible, Efficient Multimode {MIMO} Detection by Using Reconfigurable
                  {ASIP}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {10},
  pages        = {2173--2186},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2361206},
  doi          = {10.1109/TVLSI.2014.2361206},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChenMHCAL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/ChattopadhyayC15,
  author       = {Anupam Chattopadhyay and
                  Xiaolin Chen},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable
                  Architectures},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {293--300},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_24},
  doi          = {10.1007/978-3-319-16214-0\_24},
  timestamp    = {Wed, 28 Apr 2021 16:06:56 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/ChattopadhyayC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/RakossySACBC15,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Dominik Stengele and
                  Axel Acosta{-}Aponte and
                  Saumitra Chafekar and
                  Paolo Bientinesi and
                  Anupam Chattopadhyay},
  editor       = {Kentaro Sano and
                  Dimitrios Soudris and
                  Michael H{\"{u}}bner and
                  Pedro C. Diniz},
  title        = {Scalable and Efficient Linear Algebra Kernel Mapping for Low Energy
                  Consumption on the Layers {CGRA}},
  booktitle    = {Applied Reconfigurable Computing - 11th International Symposium, {ARC}
                  2015, Bochum, Germany, April 13-17, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9040},
  pages        = {301--310},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-16214-0\_25},
  doi          = {10.1007/978-3-319-16214-0\_25},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/RakossySACBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ches/ChakrabortiCHN15,
  author       = {Avik Chakraborti and
                  Anupam Chattopadhyay and
                  Muhammad Hassan and
                  Mridul Nandi},
  editor       = {Tim G{\"{u}}neysu and
                  Helena Handschuh},
  title        = {TriviA: {A} Fast and Secure Authenticated Encryption Scheme},
  booktitle    = {Cryptographic Hardware and Embedded Systems - {CHES} 2015 - 17th International
                  Workshop, Saint-Malo, France, September 13-16, 2015, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9293},
  pages        = {330--353},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-662-48324-4\_17},
  doi          = {10.1007/978-3-662-48324-4\_17},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ches/ChakrabortiCHN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ConstantinWKCB15,
  author       = {Jeremy Constantin and
                  Lai Wang and
                  Georgios Karakonstantis and
                  Anupam Chattopadhyay and
                  Andreas Burg},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Exploiting dynamic timing margins in microprocessors for frequency-over-scaling
                  with instruction-based clock adjustment},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {381--386},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755839},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ConstantinWKCB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/HassanKCRGP15,
  author       = {Muhammad Hassan and
                  Ayesha Khalid and
                  Anupam Chattopadhyay and
                  Christian Rechberger and
                  Tim G{\"{u}}neysu and
                  Christof Paar},
  title        = {New {ASIC/FPGA} Cost Estimates for {SHA-1} Collisions},
  booktitle    = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira,
                  Portugal, August 26-28, 2015},
  pages        = {669--676},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DSD.2015.78},
  doi          = {10.1109/DSD.2015.78},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/HassanKCRGP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/i2mtc/Cernazanu-Glavan15,
  author       = {Cosmin Cernazanu{-}Glavan and
                  Marius Marcu and
                  Alexandru Amaricai and
                  Stefan Fedeac and
                  Madalin Ghenea and
                  Zheng Wang and
                  Anupam Chattopadhyay and
                  Jan Weinstock and
                  Rainer Leupers},
  title        = {Direct FPGA-based power profiling for a {RISC} processor},
  booktitle    = {2015 {IEEE} International Instrumentation and Measurement Technology
                  Conference {(I2MTC)} Proceedings, Pisa, Italy, May 11-14, 2015},
  pages        = {1578--1583},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/I2MTC.2015.7151514},
  doi          = {10.1109/I2MTC.2015.7151514},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/i2mtc/Cernazanu-Glavan15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SiemonMCWL15,
  author       = {Anne Siemon and
                  Stephan Menzel and
                  Anupam Chattopadhyay and
                  Rainer Waser and
                  Eike Linn},
  title        = {In-memory adder functionality in 1S1R arrays},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {1338--1341},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168889},
  doi          = {10.1109/ISCAS.2015.7168889},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SiemonMCWL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/ChattopadhyayLA15,
  author       = {Anupam Chattopadhyay and
                  Alessandro Littarru and
                  Luca Gaetano Amar{\`{u}} and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli},
  title        = {Reversible Logic Synthesis via Biconditional Binary Decision Diagrams},
  booktitle    = {2015 {IEEE} International Symposium on Multiple-Valued Logic, Waterloo,
                  ON, Canada, May 18-20, 2015},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISMVL.2015.21},
  doi          = {10.1109/ISMVL.2015.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/ChattopadhyayLA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/SoekenC15,
  author       = {Mathias Soeken and
                  Anupam Chattopadhyay},
  title        = {Fredkin-Enabled Transformation-Based Reversible Logic Synthesis},
  booktitle    = {2015 {IEEE} International Symposium on Multiple-Valued Logic, Waterloo,
                  ON, Canada, May 18-20, 2015},
  pages        = {60--65},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISMVL.2015.37},
  doi          = {10.1109/ISMVL.2015.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/SoekenC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangYC15,
  author       = {Zheng Wang and
                  Liu Yang and
                  Anupam Chattopadhyay},
  title        = {Architectural reliability estimation using design diversity},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {112--117},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085409},
  doi          = {10.1109/ISQED.2015.7085409},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WangYC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RakossyANALC15,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Axel Acosta{-}Aponte and
                  Tobias G. Noll and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Anupam Chattopadhyay},
  editor       = {Michael H{\"{u}}bner and
                  Maya B. Gokhale and
                  Ren{\'{e}} Cumplido},
  title        = {Design and synthesis of reconfigurable control-flow structures for
                  {CGRA}},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2015, Riviera Maya, Mexico, December 7-9, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ReConFig.2015.7393298},
  doi          = {10.1109/RECONFIG.2015.7393298},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/RakossyANALC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RakossySALC15,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Dominik Stengele and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Anupam Chattopadhyay},
  title        = {Exploiting scalable {CGRA} mapping of {LU} for energy efficiency using
                  the Layers architecture},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {337--342},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314440},
  doi          = {10.1109/VLSI-SOC.2015.7314440},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/RakossySALC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/HuangCM15,
  author       = {Yuanwen Huang and
                  Anupam Chattopadhyay and
                  Prabhat Mishra},
  title        = {Trace Buffer Attack: Security versus observability study in post-silicon
                  debug},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {355--360},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314443},
  doi          = {10.1109/VLSI-SOC.2015.7314443},
  timestamp    = {Mon, 18 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/HuangCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BhattacharjeeBC15,
  author       = {Debjyoti Bhattacharjee and
                  Ansuman Banerjee and
                  Anupam Chattopadhyay},
  title        = {EvoDeb: Debugging Evolving Hardware Designs},
  booktitle    = {28th International Conference on {VLSI} Design, {VLSID} 2015, Bangalore,
                  India, January 3-7, 2015},
  pages        = {481--486},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSID.2015.87},
  doi          = {10.1109/VLSID.2015.87},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BhattacharjeeBC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/AmaruGCM15,
  author       = {Luca Gaetano Amar{\`{u}} and
                  Pierre{-}Emmanuel Gaillardon and
                  Anupam Chattopadhyay and
                  Giovanni De Micheli},
  title        = {A Sound and Complete Axiomatization of Majority-n Logic},
  journal      = {CoRR},
  volume       = {abs/1502.06359},
  year         = {2015},
  url          = {http://arxiv.org/abs/1502.06359},
  eprinttype    = {arXiv},
  eprint       = {1502.06359},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/AmaruGCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ChakrabortiCHN15,
  author       = {Avik Chakraborti and
                  Anupam Chattopadhyay and
                  Muhammad Hassan and
                  Mridul Nandi},
  title        = {TriviA: {A} Fast and Secure Authenticated Encryption Scheme},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {590},
  year         = {2015},
  url          = {http://eprint.iacr.org/2015/590},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/ChakrabortiCHN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jce/PaulC14,
  author       = {Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {Designing stream ciphers with scalable data-widths: a case study with
                  {HC-128}},
  journal      = {J. Cryptogr. Eng.},
  volume       = {4},
  number       = {2},
  pages        = {135--143},
  year         = {2014},
  url          = {https://doi.org/10.1007/s13389-014-0071-0},
  doi          = {10.1007/S13389-014-0071-0},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jce/PaulC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/RakossyMANC14,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Farhad Merchant and
                  Axel Acosta{-}Aponte and
                  S. K. Nandy and
                  Anupam Chattopadhyay},
  title        = {Efficient and scalable CGRA-based implementation of Column-wise Givens
                  Rotation},
  booktitle    = {{IEEE} 25th International Conference on Application-Specific Systems,
                  Architectures and Processors, {ASAP} 2014, Zurich, Switzerland, June
                  18-20, 2014},
  pages        = {188--189},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASAP.2014.6868659},
  doi          = {10.1109/ASAP.2014.6868659},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/RakossyMANC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cisc/BhattacharjeeC14,
  author       = {Debjyoti Bhattacharjee and
                  Anupam Chattopadhyay},
  editor       = {Dongdai Lin and
                  Moti Yung and
                  Jianying Zhou},
  title        = {Efficient Hardware Accelerator for {AEGIS-128} Authenticated Encryption},
  booktitle    = {Information Security and Cryptology - 10th International Conference,
                  Inscrypt 2014, Beijing, China, December 13-15, 2014, Revised Selected
                  Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {8957},
  pages        = {385--402},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-16745-9\_21},
  doi          = {10.1007/978-3-319-16745-9\_21},
  timestamp    = {Fri, 09 Apr 2021 18:52:22 +0200},
  biburl       = {https://dblp.org/rec/conf/cisc/BhattacharjeeC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/WangCSC14,
  author       = {Zheng Wang and
                  Chao Chen and
                  Piyush Sharma and
                  Anupam Chattopadhyay},
  editor       = {Joseph R. Cavallaro and
                  Tong Zhang and
                  Alex K. Jones and
                  Hai (Helen) Li},
  title        = {System-level reliability exploration framework for heterogeneous MPSoC},
  booktitle    = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX,
                  {USA} - May 21 - 23, 2014},
  pages        = {9--14},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2591513.2591519},
  doi          = {10.1145/2591513.2591519},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/WangCSC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/BanikCC14,
  author       = {Subhadeep Banik and
                  Anupam Chattopadhyay and
                  Anusha Chowdhury},
  editor       = {Willi Meier and
                  Debdeep Mukhopadhyay},
  title        = {Cryptanalysis of the Double-Feedback XOR-Chain Scheme Proposed in
                  Indocrypt 2013},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2014 - 15th International Conference
                  on Cryptology in India, New Delhi, India, December 14-17, 2014, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8885},
  pages        = {179--196},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-13039-2\_11},
  doi          = {10.1007/978-3-319-13039-2\_11},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/BanikCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/KhalidRCP14,
  author       = {Ayesha Khalid and
                  Prasanna Ravi and
                  Anupam Chattopadhyay and
                  Goutam Paul},
  editor       = {Willi Meier and
                  Debdeep Mukhopadhyay},
  title        = {One Word/Cycle {HC-128} Accelerator via State-Splitting Optimization},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2014 - 15th International Conference
                  on Cryptology in India, New Delhi, India, December 14-17, 2014, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8885},
  pages        = {283--303},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-13039-2\_17},
  doi          = {10.1007/978-3-319-13039-2\_17},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/indocrypt/KhalidRCP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/KrishnaC14,
  author       = {Mridul Krishna and
                  Anupam Chattopadhyay},
  title        = {Efficient Reversible Logic Synthesis via Isomorphic Subgraph Matching},
  booktitle    = {{IEEE} 44th International Symposium on Multiple-Valued Logic, {ISMVL}
                  2014, Bremen, Germany, May 19-21, 2014},
  pages        = {103--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISMVL.2014.26},
  doi          = {10.1109/ISMVL.2014.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/KrishnaC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/WangPC14,
  author       = {Zheng Wang and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {Processor Design with Asymmetric Reliability},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa,
                  FL, USA, July 9-11, 2014},
  pages        = {565--570},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISVLSI.2014.63},
  doi          = {10.1109/ISVLSI.2014.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/WangPC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rc/ChattopadhyayMCC14,
  author       = {Anupam Chattopadhyay and
                  Soumajit Majumder and
                  Chander Chandak and
                  Nahian Chowdhury},
  editor       = {Shigeru Yamashita and
                  Shin{-}ichi Minato},
  title        = {Constructive Reversible Logic Synthesis for Boolean Functions with
                  Special Properties},
  booktitle    = {Reversible Computation - 6th International Conference, {RC} 2014,
                  Kyoto, Japan, July 10-11, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8507},
  pages        = {95--110},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-08494-7\_8},
  doi          = {10.1007/978-3-319-08494-7\_8},
  timestamp    = {Tue, 14 May 2019 10:00:38 +0200},
  biburl       = {https://dblp.org/rec/conf/rc/ChattopadhyayMCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/FellRC14,
  author       = {Alexander Fell and
                  Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Anupam Chattopadhyay},
  title        = {Force-directed scheduling for Data Flow Graph mapping on Coarse-Grained
                  Reconfigurable Architectures},
  booktitle    = {2014 International Conference on ReConFigurable Computing and FPGAs,
                  ReConFig14, Cancun, Mexico, December 8-10, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ReConFig.2014.7032519},
  doi          = {10.1109/RECONFIG.2014.7032519},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/FellRC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/RakossyMANC14,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Farhad Merchant and
                  Axel Acosta{-}Aponte and
                  S. K. Nandy and
                  Anupam Chattopadhyay},
  editor       = {Lorena Garcia},
  title        = {Scalable and energy-efficient reconfigurable accelerator for column-wise
                  givens rotation},
  booktitle    = {22nd International Conference on Very Large Scale Integration, VLSI-SoC,
                  Playa del Carmen, Mexico, October 6-8, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSI-SoC.2014.7004166},
  doi          = {10.1109/VLSI-SOC.2014.7004166},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/RakossyMANC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DeCB14,
  author       = {Barun Kumar De and
                  Anupam Chattopadhyay and
                  Ansuman Banerjee},
  title        = {Tutorial {T2B:} Cost / Application / Time to Market Driven SoC Design
                  and Manufacturing Strategy},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {3--4},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.116},
  doi          = {10.1109/VLSID.2014.116},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DeCB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MerchantCGNNG14,
  author       = {Farhad Merchant and
                  Anupam Chattopadhyay and
                  Ganesh Garga and
                  S. K. Nandy and
                  Ranjani Narayan and
                  Nandhini Gopalan},
  title        = {Efficient {QR} Decomposition Using Low Complexity Column-wise Givens
                  Rotation {(CGR)}},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {258--263},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.51},
  doi          = {10.1109/VLSID.2014.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MerchantCGNNG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/ChattopadhyayCC14,
  author       = {Anupam Chattopadhyay and
                  Chander Chandak and
                  Kaushik Chakraborty},
  title        = {Complexity Analysis of Reversible Logic Synthesis},
  journal      = {CoRR},
  volume       = {abs/1402.0491},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.0491},
  eprinttype    = {arXiv},
  eprint       = {1402.0491},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/ChattopadhyayCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/ChattopadhyayPM14,
  author       = {Anupam Chattopadhyay and
                  Nilanjan Pal and
                  Soumajit Majumder},
  title        = {Ancilla-Quantum Cost Trade-off during Reversible Logic Synthesis using
                  Exclusive Sum-of-Products},
  journal      = {CoRR},
  volume       = {abs/1405.6073},
  year         = {2014},
  url          = {http://arxiv.org/abs/1405.6073},
  eprinttype    = {arXiv},
  eprint       = {1405.6073},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/ChattopadhyayPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ccds/GuptaCK13,
  author       = {Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Ayesha Khalid},
  title        = {Designing integrated accelerator for stream ciphers with structural
                  similarities},
  journal      = {Cryptogr. Commun.},
  volume       = {5},
  number       = {1},
  pages        = {19--47},
  year         = {2013},
  url          = {https://doi.org/10.1007/s12095-012-0074-6},
  doi          = {10.1007/S12095-012-0074-6},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ccds/GuptaCK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/GuptaCSMS13,
  author       = {Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Koushik Sinha and
                  Subhamoy Maitra and
                  Bhabani P. Sinha},
  title        = {High-Performance Hardware Implementation for {RC4} Stream Cipher},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {4},
  pages        = {730--743},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.19},
  doi          = {10.1109/TC.2012.19},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/GuptaCSMS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/Chattopadhyay13,
  author       = {Anupam Chattopadhyay},
  title        = {Ingredients of Adaptability: {A} Survey of Reconfigurable Processors},
  journal      = {{VLSI} Design},
  volume       = {2013},
  pages        = {683615:1--683615:18},
  year         = {2013},
  url          = {https://doi.org/10.1155/2013/683615},
  doi          = {10.1155/2013/683615},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/Chattopadhyay13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/africacrypt/KhalidPC13,
  author       = {Ayesha Khalid and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  editor       = {Amr M. Youssef and
                  Abderrahmane Nitaj and
                  Aboul Ella Hassanien},
  title        = {New Speed Records for Salsa20 Stream Cipher Using an Autotuning Framework
                  on GPUs},
  booktitle    = {Progress in Cryptology - {AFRICACRYPT} 2013, 6th International Conference
                  on Cryptology in Africa, Cairo, Egypt, June 22-24, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7918},
  pages        = {189--207},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-38553-7\_11},
  doi          = {10.1007/978-3-642-38553-7\_11},
  timestamp    = {Fri, 31 Jan 2020 16:14:16 +0100},
  biburl       = {https://dblp.org/rec/conf/africacrypt/KhalidPC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShahzadKRPC13,
  author       = {Khawar Shahzad and
                  Ayesha Khalid and
                  Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {CoARX: a coprocessor for ARX-based cryptographic algorithms},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {133:1--133:10},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488898},
  doi          = {10.1145/2463209.2488898},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShahzadKRPC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangSCC13,
  author       = {Zheng Wang and
                  Kapil Singh and
                  Chao Chen and
                  Anupam Chattopadhyay},
  editor       = {Enrico Macii},
  title        = {Accurate and efficient reliability estimation techniques during ADL-driven
                  embedded processor design},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {547--552},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.122},
  doi          = {10.7873/DATE.2013.122},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangSCC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenLSCCAN13,
  author       = {Xiaolin Chen and
                  Shuai Li and
                  Jochen Schleifer and
                  Thomas Coenen and
                  Anupam Chattopadhyay and
                  Gerd Ascheid and
                  Tobias G. Noll},
  editor       = {Enrico Macii},
  title        = {High-level modeling and synthesis for embedded FPGAs},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1565--1570},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.318},
  doi          = {10.7873/DATE.2013.318},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChenLSCCAN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpsr/KhalidSC13,
  author       = {Ayesha Khalid and
                  Rajat Sen and
                  Anupam Chattopadhyay},
  title        = {{SI-DFA:} Sub-expression integrated Deterministic Finite Automata
                  for Deep Packet Inspection},
  booktitle    = {{IEEE} 14th International Conference on High Performance Switching
                  and Routing, {HPSR} 2013, Taipei, Taiwan, July 8-11, 2013},
  pages        = {164--170},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/HPSR.2013.6602307},
  doi          = {10.1109/HPSR.2013.6602307},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/hpsr/KhalidSC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iceac/WangWXC13,
  author       = {Zheng Wang and
                  Lai Wang and
                  Hui Xie and
                  Anupam Chattopadhyay},
  title        = {Power modeling and estimation during ADL-driven embedded processor
                  design},
  booktitle    = {4th Annual International Conference on Energy Aware Computing Systems
                  and Applications, {ICEAC} 2013, Istanbul, Turkey, December 16-18,
                  2013},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICEAC.2013.6737645},
  doi          = {10.1109/ICEAC.2013.6737645},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iceac/WangWXC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iciss/KhalidHCP13,
  author       = {Ayesha Khalid and
                  Muhammad Hassan and
                  Anupam Chattopadhyay and
                  Goutam Paul},
  editor       = {Aditya Bagchi and
                  Indrakshi Ray},
  title        = {RAPID-FeinSPN: {A} Rapid Prototyping Framework for Feistel and SPN-Based
                  Block Ciphers},
  booktitle    = {Information Systems Security - 9th International Conference, {ICISS}
                  2013, Kolkata, India, December 16-20, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8303},
  pages        = {169--190},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-45204-8\_13},
  doi          = {10.1007/978-3-642-45204-8\_13},
  timestamp    = {Tue, 14 May 2019 10:00:36 +0200},
  biburl       = {https://dblp.org/rec/conf/iciss/KhalidHCP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idt/WangLC13,
  author       = {Zheng Wang and
                  Renlin Li and
                  Anupam Chattopadhyay},
  title        = {Opportunistic redundancy for improving reliability of embedded processors},
  booktitle    = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh,
                  Morocco, 16-18 December, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IDT.2013.6727090},
  doi          = {10.1109/IDT.2013.6727090},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/idt/WangLC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismvl/ChandakCMM13,
  author       = {Chander Chandak and
                  Anupam Chattopadhyay and
                  Soumajit Majumder and
                  Subhamoy Maitra},
  title        = {Analysis and Improvement of Transformation-Based Reversible Logic
                  Synthesis},
  booktitle    = {43rd {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL}
                  2013, Toyama, Japan, May 22-24, 2013},
  pages        = {47--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISMVL.2013.14},
  doi          = {10.1109/ISMVL.2013.14},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismvl/ChandakCMM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangCC13,
  author       = {Zheng Wang and
                  Chao Chen and
                  Anupam Chattopadhyay},
  title        = {Fast reliability exploration for embedded processors via high-level
                  fault injection},
  booktitle    = {International Symposium on Quality Electronic Design, {ISQED} 2013,
                  Santa Clara, CA, USA, March 4-6, 2013},
  pages        = {265--272},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISQED.2013.6523621},
  doi          = {10.1109/ISQED.2013.6523621},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WangCC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RakossyAC13,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Axel Acosta{-}Aponte and
                  Anupam Chattopadhyay},
  title        = {Exploiting architecture description language for diverse {IP} synthesis
                  in heterogeneous MPSoC},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2013, Cancun, Mexico, December 9-11, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ReConFig.2013.6732287},
  doi          = {10.1109/RECONFIG.2013.6732287},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/RakossyAC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/PaulCC13,
  author       = {Goutam Paul and
                  Anupam Chattopadhyay and
                  Chander Chandak},
  title        = {Designing Parity Preserving Reversible Circuits},
  journal      = {CoRR},
  volume       = {abs/1308.0840},
  year         = {2013},
  url          = {http://arxiv.org/abs/1308.0840},
  eprinttype    = {arXiv},
  eprint       = {1308.0840},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/PaulCC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KhalidBPC13,
  author       = {Ayesha Khalid and
                  Deblin Bagchi and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {Optimized {GPU} Implementation and Performance Analysis of {HC} Series
                  of Stream Ciphers},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {59},
  year         = {2013},
  url          = {http://eprint.iacr.org/2013/059},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KhalidBPC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/PaulC13,
  author       = {Goutam Paul and
                  Anupam Chattopadhyay},
  title        = {Three Snakes in One Hole: {A} 67 Gbps Flexible Hardware for {SOSEMANUK}
                  with Optional Serpent and {SNOW} 2.0 Modes},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {282},
  year         = {2013},
  url          = {http://eprint.iacr.org/2013/282},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/PaulC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/PaulMC13,
  author       = {Goutam Paul and
                  Subhamoy Maitra and
                  Anupam Chattopadhyay},
  title        = {Quad-RC4: Merging Four {RC4} States towards a 32-bit Stream Cipher},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {572},
  year         = {2013},
  url          = {http://eprint.iacr.org/2013/572},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/PaulMC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijrc/RakossyWC12,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Zheng Wang and
                  Anupam Chattopadhyay},
  title        = {High-Level Design Space and Flexibility Exploration for Adaptive,
                  Energy-Efficient {WCDMA} Channel Estimation Architectures},
  journal      = {Int. J. Reconfigurable Comput.},
  volume       = {2012},
  pages        = {961950:1--961950:20},
  year         = {2012},
  url          = {https://doi.org/10.1155/2012/961950},
  doi          = {10.1155/2012/961950},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijrc/RakossyWC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ChenMHKLKCA12,
  author       = {Xiaolin Chen and
                  Andreas Minwegen and
                  Yahia Hassan and
                  David Kammler and
                  Shuai Li and
                  Torsten Kempf and
                  Anupam Chattopadhyay and
                  Gerd Ascheid},
  title        = {{FLEXDET:} Flexible, Efficient Multi-Mode {MIMO} Detection Using Reconfigurable
                  {ASIP}},
  booktitle    = {2012 {IEEE} 20th Annual International Symposium on Field-Programmable
                  Custom Computing Machines, {FCCM} 2012, 29 April - 1 May 2012, Toronto,
                  Ontario, Canada},
  pages        = {69--76},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/FCCM.2012.22},
  doi          = {10.1109/FCCM.2012.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/ChenMHKLKCA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icisc/KhalidBPC12,
  author       = {Ayesha Khalid and
                  Deblin Bagchi and
                  Goutam Paul and
                  Anupam Chattopadhyay},
  editor       = {Taekyoung Kwon and
                  Mun{-}Kyu Lee and
                  Daesung Kwon},
  title        = {Optimized {GPU} Implementation and Performance Analysis of {HC} Series
                  of Stream Ciphers},
  booktitle    = {Information Security and Cryptology - {ICISC} 2012 - 15th International
                  Conference, Seoul, Korea, November 28-30, 2012, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {7839},
  pages        = {293--308},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-37682-5\_21},
  doi          = {10.1007/978-3-642-37682-5\_21},
  timestamp    = {Thu, 12 Nov 2020 16:27:41 +0100},
  biburl       = {https://dblp.org/rec/conf/icisc/KhalidBPC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChattopadhyayKMR12,
  author       = {Anupam Chattopadhyay and
                  Ayesha Khalid and
                  Subhamoy Maitra and
                  Shashwat Raizada},
  title        = {Designing high-throughput hardware accelerator for stream cipher {HC-128}},
  booktitle    = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2012, Seoul, Korea (South), May 20-23, 2012},
  pages        = {1448--1451},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCAS.2012.6271518},
  doi          = {10.1109/ISCAS.2012.6271518},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChattopadhyayKMR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RakossyNC12,
  author       = {Zolt{\'{a}}n Endre R{\'{a}}kossy and
                  Tejas Naphade and
                  Anupam Chattopadhyay},
  title        = {Design and analysis of layered coarse-grained reconfigurable architecture},
  booktitle    = {2012 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2012, Cancun, Mexico, December 5-7, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ReConFig.2012.6416736},
  doi          = {10.1109/RECONFIG.2012.6416736},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/reconfig/RakossyNC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ChattopadhyayP12,
  author       = {Anupam Chattopadhyay and
                  Goutam Paul},
  editor       = {Srinivas Katkoori and
                  Matthew R. Guthaus and
                  Ayse K. Coskun and
                  Andreas Burg and
                  Ricardo Reis},
  title        = {Exploring security-performance trade-offs during hardware accelerator
                  design of stream cipher {RC4}},
  booktitle    = {20th {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012},
  pages        = {251--254},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-SoC.2012.6379039},
  doi          = {10.1109/VLSI-SOC.2012.6379039},
  timestamp    = {Tue, 06 Sep 2022 16:02:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/ChattopadhyayP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/WangWCR12,
  author       = {Zheng Wang and
                  Xiao Wang and
                  Anupam Chattopadhyay and
                  Zoltan Endre Rakosi},
  title        = {{ASIC} synthesis using Architecture Description Language},
  booktitle    = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation
                  and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-DAT.2012.6212614},
  doi          = {10.1109/VLSI-DAT.2012.6212614},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/WangWCR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/GuptaCK12,
  author       = {Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Ayesha Khalid},
  title        = {Designing Integrated Accelerator for Stream Ciphers with Structural
                  Similarities},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {48},
  year         = {2012},
  url          = {http://eprint.iacr.org/2012/048},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/GuptaCK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/indocrypt/GuptaCK11,
  author       = {Sourav Sen Gupta and
                  Anupam Chattopadhyay and
                  Ayesha Khalid},
  editor       = {Daniel J. Bernstein and
                  Sanjit Chatterjee},
  title        = {HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP {LTE}
                  Stream Ciphers},
  booktitle    = {Progress in Cryptology - {INDOCRYPT} 2011 - 12th International Conference
                  on Cryptology in India, Chennai, India, December 11-14, 2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7107},
  pages        = {196--215},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-25578-6\_16},
  doi          = {10.1007/978-3-642-25578-6\_16},
  timestamp    = {Wed, 20 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/indocrypt/GuptaCK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RakosiWC11,
  author       = {Zoltan Endre Rakosi and
                  Zheng Wang and
                  Anupam Chattopadhyay},
  editor       = {Peter M. Athanas and
                  J{\"{u}}rgen Becker and
                  Ren{\'{e}} Cumplido},
  title        = {Adaptive Energy-Efficient Architecture for {WCDMA} Channel Estimation},
  booktitle    = {2011 International Conference on Reconfigurable Computing and FPGAs,
                  ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011},
  pages        = {309--314},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ReConFig.2011.7},
  doi          = {10.1109/RECONFIG.2011.7},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/RakosiWC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ChattopadhyayR11,
  author       = {Anupam Chattopadhyay and
                  Zoltan Endre Rakosi},
  title        = {Combinational logic synthesis for material implication},
  booktitle    = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011},
  pages        = {200--203},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/VLSISoC.2011.6081665},
  doi          = {10.1109/VLSISOC.2011.6081665},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/ChattopadhyayR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijertcs/KammlerWCBALM10,
  author       = {David Kammler and
                  Ernst Martin Witte and
                  Anupam Chattopadhyay and
                  Bastian Bauwens and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr},
  title        = {Automatic Generation of Memory Interfaces for ASIPs},
  journal      = {Int. J. Embed. Real Time Commun. Syst.},
  volume       = {1},
  number       = {3},
  pages        = {1--23},
  year         = {2010},
  url          = {https://doi.org/10.4018/jertcs.2010070101},
  doi          = {10.4018/JERTCS.2010070101},
  timestamp    = {Sun, 06 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijertcs/KammlerWCBALM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/ChattopadhyaySZLAM09,
  author       = {Anupam Chattopadhyay and
                  Arnab Sinha and
                  Diandian Zhang and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  title        = {Integrated verification approach during ADL-driven processor design},
  journal      = {Microelectron. J.},
  volume       = {40},
  number       = {7},
  pages        = {1111--1123},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.mejo.2008.05.009},
  doi          = {10.1016/J.MEJO.2008.05.009},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/ChattopadhyaySZLAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KammlerBWALMC09,
  author       = {David Kammler and
                  Bastian Bauwens and
                  Ernst Martin Witte and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr and
                  Anupam Chattopadhyay},
  title        = {Automatic generation of memory interfaces},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {77--82},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335674},
  doi          = {10.1109/SOCC.2009.5335674},
  timestamp    = {Mon, 06 Apr 2020 12:19:37 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KammlerBWALMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcp/ZhangCKWALM08,
  author       = {Diandian Zhang and
                  Anupam Chattopadhyay and
                  David Kammler and
                  Ernst Martin Witte and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr},
  title        = {Power-efficient Instruction Encoding Optimization for Various Architecture
                  Classes},
  journal      = {J. Comput.},
  volume       = {3},
  number       = {3},
  pages        = {25--38},
  year         = {2008},
  url          = {https://doi.org/10.4304/jcp.3.3.25-38},
  doi          = {10.4304/JCP.3.3.25-38},
  timestamp    = {Tue, 15 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcp/ZhangCKWALM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ChattopadhyayICRKKLAM08,
  author       = {Anupam Chattopadhyay and
                  Harold Ishebabi and
                  Xiaolin Chen and
                  Zoltan Endre Rakosi and
                  Kingshuk Karuri and
                  David Kammler and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  title        = {Prefabrication and postfabrication architecture exploration for partially
                  reconfigurable {VLIW} processors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {7},
  number       = {4},
  pages        = {40:1--40:31},
  year         = {2008},
  url          = {https://doi.org/10.1145/1376804.1376808},
  doi          = {10.1145/1376804.1376808},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/ChattopadhyayICRKKLAM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KaruriCCKHLMA08,
  author       = {Kingshuk Karuri and
                  Anupam Chattopadhyay and
                  Xiaolin Chen and
                  David Kammler and
                  Ling Hao and
                  Rainer Leupers and
                  Heinrich Meyr and
                  Gerd Ascheid},
  title        = {A Design Flow for Architecture Exploration and Implementation of Partially
                  Reconfigurable Processors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {10},
  pages        = {1281--1294},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2002685},
  doi          = {10.1109/TVLSI.2008.2002685},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KaruriCCKHLMA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChattopadhyayCILAM08,
  author       = {Anupam Chattopadhyay and
                  Xiaolin Chen and
                  Harold Ishebabi and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  editor       = {Donatella Sciuto},
  title        = {High-level Modelling and Exploration of Coarse-grained Re-configurable
                  Architectures},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {1334--1339},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484864},
  doi          = {10.1109/DATE.2008.4484864},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChattopadhyayCILAM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChattopadhyayAKKLAM07,
  author       = {Anupam Chattopadhyay and
                  W. Ahmed and
                  Kingshuk Karuri and
                  David Kammler and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {Design space exploration of partially re-configurable embedded processors},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {319--324},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://doi.org/10.1109/DATE.2007.364611},
  doi          = {10.1109/DATE.2007.364611},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChattopadhyayAKKLAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KaruriCHLAM07,
  author       = {Kingshuk Karuri and
                  Anupam Chattopadhyay and
                  Manuel Hohenauer and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  editor       = {Georges G. E. Gielen},
  title        = {Increasing data-bandwidth to instruction-set extensions through register
                  clustering},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {166--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397261},
  doi          = {10.1109/ICCAD.2007.4397261},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KaruriCHLAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/ChattopadhyayRKKLAM07,
  author       = {Anupam Chattopadhyay and
                  Zoltan Endre Rakosi and
                  Kingshuk Karuri and
                  David Kammler and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  title        = {Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable
                  {VLIW} Processors},
  booktitle    = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2007), 28-30 May 2007, Porto Alegre, RS, Brazil},
  pages        = {189--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/RSP.2007.32},
  doi          = {10.1109/RSP.2007.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/ChattopadhyayRKKLAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/ChattopadhyayZKW07,
  author       = {Anupam Chattopadhyay and
                  Diandian Zhang and
                  David Kammler and
                  Ernst Martin Witte},
  title        = {Power-efficient Instruction Encoding Optimization for Embedded Processors},
  booktitle    = {20th International Conference on {VLSI} Design {(VLSI} Design 2007),
                  Sixth International Conference on Embedded Systems {(ICES} 2007),
                  6-10 January 2007, Bangalore, India},
  pages        = {595--600},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VLSID.2007.129},
  doi          = {10.1109/VLSID.2007.129},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/ChattopadhyayZKW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChattopadhyayGKWSILAM06,
  author       = {Anupam Chattopadhyay and
                  B. Geukes and
                  David Kammler and
                  Ernst Martin Witte and
                  Oliver Schliebusch and
                  Harold Ishebabi and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  editor       = {Georges G. E. Gielen},
  title        = {Automatic ADL-based operand isolation for embedded processors},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {600--605},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.243993},
  doi          = {10.1109/DATE.2006.243993},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChattopadhyayGKWSILAM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/ChattopadhyaySZLAM06,
  author       = {Anupam Chattopadhyay and
                  Arnab Sinha and
                  Diandian Zhang and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr},
  title        = {Integrated Verification Approach during ADL-Driven Processor Design},
  booktitle    = {17th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2006), 14-16 June 2006, Chania, Crete, Greece},
  pages        = {110--118},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/RSP.2006.21},
  doi          = {10.1109/RSP.2006.21},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/ChattopadhyaySZLAM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/MozumdarKCKSMAL05,
  author       = {Mohammad Mostafizur Rahman Mozumdar and
                  Kingshuk Karuri and
                  Anupam Chattopadhyay and
                  Stefan Kraemer and
                  Hanno Scharw{\"{a}}chter and
                  Heinrich Meyr and
                  Gerd Ascheid and
                  Rainer Leupers},
  title        = {Instruction Set Customization of Application Specific Processors for
                  Network Processing: {A} Case Study},
  booktitle    = {16th {IEEE} International Conference on Application-Specific Systems,
                  Architectures, and Processors {(ASAP} 2005), 23-25 July 2005, Samos,
                  Greece},
  pages        = {154--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ASAP.2005.41},
  doi          = {10.1109/ASAP.2005.41},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/MozumdarKCKSMAL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SchliebuschCKALMK05,
  author       = {Oliver Schliebusch and
                  Anupam Chattopadhyay and
                  David Kammler and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr and
                  Tim Kogel},
  editor       = {Tingao Tang},
  title        = {A framework for automated and optimized {ASIP} implementation supporting
                  multiple hardware description languages},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {280--285},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120850},
  doi          = {10.1145/1120725.1120850},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SchliebuschCKALMK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WitteCSK05,
  author       = {Ernst Martin Witte and
                  Anupam Chattopadhyay and
                  Oliver Schliebusch and
                  David Kammler},
  title        = {Applying Resource Sharing Algorithms to ADL-driven Automatic {ASIP}
                  Implementation},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {193--199},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.25},
  doi          = {10.1109/ICCD.2005.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WitteCSK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/SchliebuschCWKALM05,
  author       = {Oliver Schliebusch and
                  Anupam Chattopadhyay and
                  Ernst Martin Witte and
                  David Kammler and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr},
  title        = {Optimization Techniques for ADL-Driven {RTL} Processor Synthesis},
  booktitle    = {16th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2005), 8-10 June 2005, Montreal, Canada},
  pages        = {165--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/RSP.2005.36},
  doi          = {10.1109/RSP.2005.36},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/SchliebuschCWKALM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SchliebuschCLAMSBN04,
  author       = {Oliver Schliebusch and
                  Anupam Chattopadhyay and
                  Rainer Leupers and
                  Gerd Ascheid and
                  Heinrich Meyr and
                  Mario Steinert and
                  Gunnar Braun and
                  Achim Nohl},
  title        = {{RTL} Processor Synthesis for Architecture Exploration and Implementation},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {156--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269223},
  doi          = {10.1109/DATE.2004.1269223},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SchliebuschCLAMSBN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics