BibTeX records: Asim J. Al-Khalili

download as .bib file

@article{DBLP:journals/mbec/BerthelsonGWSAJ21,
  author       = {P. R. Berthelson and
                  Payam Ghassemi and
                  J. W. Wood and
                  G. G. Stubblefield and
                  Asim J. Al{-}Khalili and
                  M. D. Jones and
                  Mark F. Horstemeyer and
                  Souma Chowdhury and
                  R. K. Prabhu},
  title        = {A finite element-guided mathematical surrogate modeling approach for
                  assessing occupant injury trends across variations in simplified vehicular
                  impact conditions},
  journal      = {Medical Biol. Eng. Comput.},
  volume       = {59},
  number       = {5},
  pages        = {1065--1079},
  year         = {2021},
  url          = {https://doi.org/10.1007/s11517-021-02349-3},
  doi          = {10.1007/S11517-021-02349-3},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mbec/BerthelsonGWSAJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/15/ZarrabiAS15,
  author       = {Houman Zarrabi and
                  A. J. Al{-}Khalili and
                  Yvon Savaria},
  editor       = {Mourad Fakhfakh and
                  Esteban Tlelo{-}Cuautle and
                  Patrick Siarry},
  title        = {Design Intelligence for Interconnection Realization in Power-Managed
                  SoCs},
  booktitle    = {Computational Intelligence in Digital and Network Designs and Applications},
  pages        = {69--96},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-20071-2\_3},
  doi          = {10.1007/978-3-319-20071-2\_3},
  timestamp    = {Wed, 29 May 2019 09:31:44 +0200},
  biburl       = {https://dblp.org/rec/books/sp/15/ZarrabiAS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccece/EsmaeiliA14,
  author       = {Seyed Ebrahim Esmaeili and
                  Asim J. Al{-}Khalili},
  title        = {10 GHz throughput FinFET dual-edge triggered flip-flops},
  booktitle    = {{IEEE} 27th Canadian Conference on Electrical and Computer Engineering,
                  {CCECE} 2014, Toronto, ON, Canada, May 4-7, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CCECE.2014.6900947},
  doi          = {10.1109/CCECE.2014.6900947},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/ccece/EsmaeiliA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/ZarrabiAS14,
  author       = {Houman Zarrabi and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Vt-conscious repeater insertion in power-managed {VLSI}},
  booktitle    = {2014 International Symposium on Integrated Circuits (ISIC), Singapore,
                  December 10-12, 2014},
  pages        = {99--102},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISICIR.2014.7029470},
  doi          = {10.1109/ISICIR.2014.7029470},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isicir/ZarrabiAS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/EsmaeiliA13,
  author       = {Seyed Ebrahim Esmaeili and
                  Asim J. Al{-}Khalili},
  title        = {Integrated Power and Clock Distribution Network},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {10},
  pages        = {1941--1945},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2219630},
  doi          = {10.1109/TVLSI.2012.2219630},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/EsmaeiliA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ValaeeA12,
  author       = {Ali Valaee and
                  A. J. Al{-}Khalili},
  title        = {High-performance low-power sensing scheme for nanoscale SRAMs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {6},
  number       = {6},
  pages        = {406--413},
  year         = {2012},
  url          = {https://doi.org/10.1049/iet-cdt.2012.0038},
  doi          = {10.1049/IET-CDT.2012.0038},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ValaeeA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/NourivandAS12,
  author       = {Afshin Nourivand and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Postsilicon Tuning of Standby Supply Voltage in SRAMs to Reduce Yield
                  Losses Due to Parametric Data-Retention Failures},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {1},
  pages        = {29--41},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2010.2093938},
  doi          = {10.1109/TVLSI.2010.2093938},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/NourivandAS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/EsmaeiliAC12,
  author       = {Seyed Ebrahim Esmaeili and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Low-Swing Differential Conditional Capturing Flip-Flop for {LC} Resonant
                  Clock Distribution Networks},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {8},
  pages        = {1547--1551},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2158613},
  doi          = {10.1109/TVLSI.2011.2158613},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/EsmaeiliAC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccece/EsmaeiliFAC12,
  author       = {Seyed Ebrahim Esmaeili and
                  Ali M. Farhangi and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Clock tree structure with reduced wire length using the matched-delay
                  skew compensation technique},
  booktitle    = {25th {IEEE} Canadian Conference on Electrical and Computer Engineering,
                  {CCECE} 2012, Montreal, QC, Canada, April 29 - May 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/CCECE.2012.6335054},
  doi          = {10.1109/CCECE.2012.6335054},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/ccece/EsmaeiliFAC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/EsmaeiliIAC12,
  author       = {Seyed Ebrahim Esmaeili and
                  Riadul Islam and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Dual-edge triggered sense amplifier flip-flop utilizing an improved
                  scheme to reduce area, power, and complexity},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {292--295},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463565},
  doi          = {10.1109/ICECS.2012.6463565},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/EsmaeiliIAC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/NourivandAS11,
  author       = {Afshin Nourivand and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Analysis of Resistive Open Defects in Drowsy {SRAM} Cells},
  journal      = {J. Electron. Test.},
  volume       = {27},
  number       = {2},
  pages        = {203--213},
  year         = {2011},
  url          = {https://doi.org/10.1007/s10836-011-5206-y},
  doi          = {10.1007/S10836-011-5206-Y},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/NourivandAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZarrabiAS11,
  author       = {Houman Zarrabi and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  editor       = {David Atienza and
                  Yuan Xie and
                  Jos{\'{e}} L. Ayala and
                  Ken S. Stevens},
  title        = {Repeater insertion in power-managed {VLSI} systems},
  booktitle    = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010,
                  Lausanne, Switzerland, May 2-6, 2011},
  pages        = {395--398},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1973009.1973092},
  doi          = {10.1145/1973009.1973092},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZarrabiAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/ZarrabiAS11,
  author       = {Houman Zarrabi and
                  A. J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Activity management in battery-powered embedded systems: {A} case
                  study of ZigBee{\textregistered} {WSN}},
  booktitle    = {18th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2011, Beirut, Lebanon, December 11-14, 2011},
  pages        = {727--731},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICECS.2011.6122377},
  doi          = {10.1109/ICECS.2011.6122377},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/ZarrabiAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ValaeeA11,
  author       = {Ali Valaee and
                  Asim J. Al{-}Khalili},
  title        = {{SRAM} read-assist scheme for high performanc low power applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea,
                  November 17-18, 2011},
  pages        = {179--182},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISOCC.2011.6138676},
  doi          = {10.1109/ISOCC.2011.6138676},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ValaeeA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EsmaeiliFAC10,
  author       = {Seyed Ebrahim Esmaeili and
                  Ali M. Farhangi and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Skew compensation in energy recovery clock distribution networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {1},
  pages        = {56--72},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0155},
  doi          = {10.1049/IET-CDT.2008.0155},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EsmaeiliFAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/EsmaeiliAC10,
  author       = {Seyed Ebrahim Esmaeili and
                  A. J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Dual-edge triggered sense amplifier flip-flop for resonant clock distribution
                  networks},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {4},
  number       = {6},
  pages        = {499--514},
  year         = {2010},
  url          = {https://doi.org/10.1049/iet-cdt.2010.0005},
  doi          = {10.1049/IET-CDT.2010.0005},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/EsmaeiliAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/EsmaeiliAC10,
  author       = {Seyed Ebrahim Esmaeili and
                  Asim J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Estimating required driver strength in the resonant clock generator},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010,
                  Kuala Lumpur, Malaysia, December 6-9, 2010},
  pages        = {927--930},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/APCCAS.2010.5774879},
  doi          = {10.1109/APCCAS.2010.5774879},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/EsmaeiliAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZarrabiAS10,
  author       = {Houman Zarrabi and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {An interconnect-aware Dynamic Voltage Scaling scheme for {DSM} {VLSI}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537106},
  doi          = {10.1109/ISCAS.2010.5537106},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZarrabiAS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/FarhangiAA10,
  author       = {Ali M. Farhangi and
                  Asim J. Al{-}Khalili and
                  Dhamin Al{-}Khalili},
  title        = {Pattern-Driven Clock Tree Routing with Via Minimization},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7
                  July 2010, Lixouri Kefalonia, Greece},
  pages        = {216--221},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISVLSI.2010.82},
  doi          = {10.1109/ISVLSI.2010.82},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/FarhangiAA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecctd/EsmaeiliAC09,
  author       = {Seyed Ebrahim Esmaeili and
                  A. J. Al{-}Khalili and
                  Glenn E. R. Cowan},
  title        = {Dual-edge triggered energy recovery {DCCER} flip-flop for low energy
                  applications},
  booktitle    = {19th European Conference on Circuit Theory and Design, {ECCTD} 2009,
                  Antalya, Turkey, August 23-27, 2009},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ECCTD.2009.5275131},
  doi          = {10.1109/ECCTD.2009.5275131},
  timestamp    = {Fri, 13 Nov 2020 09:23:49 +0100},
  biburl       = {https://dblp.org/rec/conf/ecctd/EsmaeiliAC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZarrabiAS09,
  author       = {Houman Zarrabi and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  editor       = {Fabrizio Lombardi and
                  Sanjukta Bhanja and
                  Yehia Massoud and
                  R. Iris Bahar},
  title        = {An interconnect-aware delay model for dynamic voltage scaling in {NM}
                  technologies},
  booktitle    = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Boston Area, MA, USA, May 10-12 2009},
  pages        = {45--50},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1531542.1531557},
  doi          = {10.1145/1531542.1531557},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZarrabiAS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/ZarrabiAS09,
  author       = {Houman Zarrabi and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Estimation of energy performance in computing platforms},
  booktitle    = {16th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2009, Yasmine Hammamet, Tunisia, 13-19 December,
                  2009},
  pages        = {783--786},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICECS.2009.5410772},
  doi          = {10.1109/ICECS.2009.5410772},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/ZarrabiAS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/AthowA08,
  author       = {Jacques Laurent Athow and
                  Asim Jawad Al{-}Khalili},
  title        = {Implementation of large-integer hardware multiplier in Xilinx {FPGA}},
  booktitle    = {15th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2008, St. Julien's, Malta, August 31 2008-September
                  3, 2008},
  pages        = {1300--1303},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICECS.2008.4675098},
  doi          = {10.1109/ICECS.2008.4675098},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/AthowA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aiccsa/Al-Khalili06,
  author       = {A. J. Al{-}Khalili},
  title        = {A {CAD} Tool for Scalable, Variable Architecture Floating-Point Adder
                  Generator},
  booktitle    = {2006 {IEEE/ACS} International Conference on Computer Systems and Applications
                  {(AICCSA} 2006), March 8-11, Dubai/Sharjah, {UAE}},
  pages        = {74--79},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/AICCSA.2006.205070},
  doi          = {10.1109/AICCSA.2006.205070},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aiccsa/Al-Khalili06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icn/Al-Khalili06,
  author       = {Asim J. Al{-}Khalili},
  title        = {A {CAD} Tool for Generation of Synthesizable and Scalable Square of
                  Binary Numbers},
  booktitle    = {Fifth International Conference on Networking and the International
                  Conference on Systems {(ICN} / {ICONS} / {MCL} 2006), 23-29 April
                  2006, Mauritius},
  pages        = {183},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICNICONSMCL.2006.2},
  doi          = {10.1109/ICNICONSMCL.2006.2},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icn/Al-Khalili06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZarrabiSAS06,
  author       = {Houman Zarrabi and
                  Haydar Saaied and
                  Asim J. Al{-}Khalili and
                  Yvon Savaria},
  title        = {Zero skew differential clock distribution network},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693025},
  doi          = {10.1109/ISCAS.2006.1693025},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZarrabiSAS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KabbaniAA05,
  author       = {Adnan Kabbani and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  title        = {Delay analysis of {CMOS} gates using modified logical effort model},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {6},
  pages        = {937--947},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.847892},
  doi          = {10.1109/TCAD.2005.847892},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KabbaniAA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SaaiedAAN05,
  author       = {Haydar Saaied and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili and
                  Mohamed Nekili},
  title        = {Simultaneous adaptive wire adjustment and local topology modification
                  for tuning a bounded-skew clock tree},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {10},
  pages        = {1637--1643},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.852034},
  doi          = {10.1109/TCAD.2005.852034},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SaaiedAAN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwsoc/BiGWAS05,
  author       = {Shaoqiang Bi and
                  Warren J. Gross and
                  Wei Wang and
                  Asim J. Al{-}Khalili and
                  M. N. S. Swamy},
  title        = {An Area-Reduced Scheme for Modulo 2n-1 Addition/Subtraction},
  booktitle    = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip
                  for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff,
                  Alberta, Canada},
  pages        = {396--399},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/IWSOC.2005.38},
  doi          = {10.1109/IWSOC.2005.38},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iwsoc/BiGWAS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/Bi0A04,
  author       = {Shaoqiang Bi and
                  Wei Wang and
                  Asim J. Al{-}Khalili},
  title        = {New modulo decomposed residue-to-binary algorithm for general moduli
                  sets},
  booktitle    = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal
                  Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICASSP.2004.1327067},
  doi          = {10.1109/ICASSP.2004.1327067},
  timestamp    = {Mon, 22 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icassp/Bi0A04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiWA04,
  author       = {Shaoqiang Bi and
                  Wei Wang and
                  Asim J. Al{-}Khalili},
  title        = {Modulo deflation in (2\({}^{\mbox{n}}\)+1, 2\({}^{\mbox{n}}\), 2\({}^{\mbox{n}}\)-1)
                  converters},
  booktitle    = {Proceedings of the 2004 International Symposium on Circuits and Systems,
                  {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2004},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BiWA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SaaiedAA04,
  author       = {Haydar Saaied and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  title        = {Clock tree tuning using shortest paths polygon},
  booktitle    = {Proceedings 2004 {IEEE} International {SOC} Conference, September
                  12-15, 2004, Hilton Santa Clara, CA, {USA}},
  pages        = {59--62},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/SOCC.2004.1362350},
  doi          = {10.1109/SOCC.2004.1362350},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/SaaiedAA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KabbaniAA03,
  author       = {Adnan Kabbani and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  title        = {Technology-portable analytical model for {DSM} {CMOS} inverter transition-time
                  estimation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {9},
  pages        = {1177--1187},
  year         = {2003},
  url          = {https://doi.org/10.1109/TCAD.2003.816215},
  doi          = {10.1109/TCAD.2003.816215},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KabbaniAA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/PaiAL03,
  author       = {Cheng{-}Yu Pai and
                  Asim J. Al{-}Khalili and
                  William E. Lynch},
  title        = {Low-Power Constant-Coefficient Multiplier Generator},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {35},
  number       = {2},
  pages        = {187--194},
  year         = {2003},
  url          = {https://doi.org/10.1023/A:1023604700591},
  doi          = {10.1023/A:1023604700591},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/PaiAL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SaaiedAAN03,
  author       = {Haydar Saaied and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili and
                  Mohamed Nekili},
  editor       = {Hiroto Yasuura},
  title        = {Adaptive wire adjustment for bounded skew Clock Distribution Network},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {243--248},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119820},
  doi          = {10.1145/1119772.1119820},
  timestamp    = {Thu, 11 Mar 2021 17:04:51 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SaaiedAAN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-KhaliliH03,
  author       = {Asim J. Al{-}Khalili and
                  Aiping Hu},
  title        = {Design of a 32-bit squarer - exploiting addition redundancy},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206269},
  doi          = {10.1109/ISCAS.2003.1206269},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-KhaliliH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/tau/SaaiedAAN02,
  author       = {Haydar Saaied and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili and
                  Mohamed Nekili},
  editor       = {David P. LaPotin and
                  Charles J. Alpert and
                  John Lillis},
  title        = {Quadratic deferred-merge embedding algorithm for zero skew clock distribution
                  network},
  booktitle    = {Proceedings of the 8th {ACM/IEEE} International Workshop on Timing
                  Issues in the Specification and Synthesis of Digital Systems, Monterey,
                  California, USA, December 2-3, 2002},
  pages        = {119--125},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/589411.589438},
  doi          = {10.1145/589411.589438},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/tau/SaaiedAAN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/PillaiAAS01,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili and
                  S. Y. A. Shah},
  title        = {A Low Power Approach to Floating Point Adder Design for {DSP} Applications},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {27},
  number       = {3},
  pages        = {195--213},
  year         = {2001},
  url          = {https://doi.org/10.1023/A:1008140025773},
  doi          = {10.1023/A:1008140025773},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/PillaiAAS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isspa/PillaiSAA01,
  author       = {R. V. K. Pillai and
                  S. Y. A. Shah and
                  A. J. Al{-}Khalili and
                  Dhamin Al{-}Khalili},
  title        = {Low power floating point MAFs-a comparative study},
  booktitle    = {Proceedings of the Sixth International Symposium on Signal Processing
                  and its Applications, {ISSPA} 2001, August 13-16 2001, Shmgri-La Hotel,
                  Kuala Lumpur, Malaysia},
  pages        = {284--287},
  publisher    = {{IEEE}},
  year         = {2001},
  url          = {https://doi.org/10.1109/ISSPA.2001.949833},
  doi          = {10.1109/ISSPA.2001.949833},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isspa/PillaiSAA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SunAA00,
  author       = {P. Sun and
                  A. J. Al{-}Khalili and
                  Dhamin Al{-}Khalili},
  title        = {A {CAD} tool for first hand {CMOS} circuit selection},
  booktitle    = {Proceedings of the 2000 7th {IEEE} International Conference on Electronics,
                  Circuits and Systems, {ICECS} 2000, Jounieh, Lebanon, December 17-20,
                  2000},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICECS.2000.911509},
  doi          = {10.1109/ICECS.2000.911509},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SunAA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-5/PillaiAA99,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  editor       = {L. Miguel Silveira and
                  Srinivas Devadas and
                  Ricardo Augusto da Luz Reis},
  title        = {An {IEEE} Compliant Floating Point {MAF}},
  booktitle    = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International
                  Conference on Very Large Scale Integration {(VLSI} '99), December
                  1-4, 1999, Lisbon, Portugal},
  series       = {{IFIP} Conference Proceedings},
  volume       = {162},
  pages        = {149--160},
  publisher    = {Kluwer},
  year         = {1999},
  timestamp    = {Fri, 31 Mar 2006 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip10-5/PillaiAA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PillaiAA99,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  title        = {Power implications of precision limited arithmetic in floating point
                  {FIR} filters},
  booktitle    = {Proceedings of the 1999 International Symposium on Circuits and Systems,
                  {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISCAS.1999.777829},
  doi          = {10.1109/ISCAS.1999.777829},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PillaiAA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KabbaniA99,
  author       = {Adnan Kabbani and
                  A. J. Al{-}Khalili},
  title        = {Dynamic {CMOS} noise immunity estimation in submicron regime},
  booktitle    = {Proceedings of the 1999 International Symposium on Circuits and Systems,
                  {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999},
  pages        = {529--532},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISCAS.1999.777945},
  doi          = {10.1109/ISCAS.1999.777945},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KabbaniA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KabbaniA99a,
  author       = {Adnan Kabbani and
                  A. J. Al{-}Khalili},
  title        = {Estimation of ground bounce effects on {CMOS} circuits},
  booktitle    = {Proceedings of the 1999 International Symposium on Circuits and Systems,
                  {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999},
  pages        = {533--536},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISCAS.1999.777946},
  doi          = {10.1109/ISCAS.1999.777946},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KabbaniA99a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/AugustineLWA99,
  author       = {Jacob Augustine and
                  William E. Lynch and
                  Yuke Wang and
                  Asim J. Al{-}Khalili},
  title        = {Lossy Compression of Images Using Logic Minimization},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {538--543},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745210},
  doi          = {10.1109/ICVD.1999.745210},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/AugustineLWA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/PillaiAA98,
  author       = {R. V. K. Pillai and
                  Asim J. Al{-}Khalili and
                  Dhamin Al{-}Khalili},
  title        = {A Low Power Floating Point Accumulator},
  booktitle    = {11th International Conference on {VLSI} Design {(VLSI} Design 1991),
                  4-7 January 1998, Chennai, India},
  pages        = {330},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ICVD.1998.646628},
  doi          = {10.1109/ICVD.1998.646628},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/PillaiAA98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PillaiAA97,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  title        = {A Low Power Approach to Floating Point Adder Design},
  booktitle    = {Proceedings 1997 International Conference on Computer Design: {VLSI}
                  in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA,
                  October 12-15, 1997},
  pages        = {178--185},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICCD.1997.628866},
  doi          = {10.1109/ICCD.1997.628866},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PillaiAA97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PillaiAA97,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  editor       = {Brock Barton and
                  Massoud Pedram and
                  Anantha P. Chandrakasan and
                  Sayfe Kiaei},
  title        = {Energy delay measures of barrel switch architectures for pre-alignment
                  of floating point operands for addition},
  booktitle    = {Proceedings of the 1997 International Symposium on Low Power Electronics
                  and Design, 1997, Monterey, California, USA, August 18-20, 1997},
  pages        = {235--238},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/263272.263341},
  doi          = {10.1145/263272.263341},
  timestamp    = {Mon, 27 Sep 2021 11:47:11 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PillaiAA97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/AhmadALK96,
  author       = {H. Ahmad and
                  Asim J. Al{-}Khalili and
                  L. Landsberger and
                  M. Kahrizi},
  title        = {A 2D micromachined accelerometer},
  booktitle    = {Proceedings of Third International Conference on Electronics, Circuits,
                  and Systems, {ICECS} 1996, Rodos, Greece, October 13-16, 1996},
  pages        = {908--911},
  publisher    = {{IEEE}},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICECS.1996.584532},
  doi          = {10.1109/ICECS.1996.584532},
  timestamp    = {Wed, 19 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/AhmadALK96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PillaiAA96,
  author       = {R. V. K. Pillai and
                  Dhamin Al{-}Khalili and
                  Asim J. Al{-}Khalili},
  editor       = {Mark Horowitz and
                  Jan M. Rabaey and
                  Brock Barton and
                  Massoud Pedram},
  title        = {Energy delay analysis of partial product reduction methods for parallel
                  multiplier implementation},
  booktitle    = {Proceedings of the 1996 International Symposium on Low Power Electronics
                  and Design, 1996, Monterey, California, USA, August 12-14, 1996},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {1996},
  url          = {https://doi.org/10.1109/LPE.1996.547507},
  doi          = {10.1109/LPE.1996.547507},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PillaiAA96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/EsonuAH95,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri},
  title        = {Area efficient computing structures for concurrent error detection
                  in systolic arrays},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {10},
  number       = {3},
  pages        = {237--260},
  year         = {1995},
  url          = {https://doi.org/10.1007/BF02120031},
  doi          = {10.1007/BF02120031},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/EsonuAH95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/EsonuAHA95,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri and
                  Dhamin Al{-}Khalili},
  title        = {Design techniques for fault-tolerant systolic arrays},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {11},
  number       = {1-2},
  pages        = {151--168},
  year         = {1995},
  url          = {https://doi.org/10.1007/BF02106828},
  doi          = {10.1007/BF02106828},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/EsonuAHA95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RouatbiHA92,
  author       = {F. Rouatbi and
                  Baher Haroun and
                  Asim J. Al{-}Khalili},
  editor       = {Louise Trevillyan and
                  Michael R. Lightner},
  title        = {Power estimation tool for sub-micron {CMOS} {VLSI} circuits},
  booktitle    = {1992 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of
                  Technical Papers},
  pages        = {204--209},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1992},
  url          = {https://doi.org/10.1109/ICCAD.1992.279374},
  doi          = {10.1109/ICCAD.1992.279374},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RouatbiHA92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/EsonuAH92,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri},
  editor       = {Kang G. Shin},
  title        = {Design Methodology for Fault-Tolerant Systolic Array Architectures},
  booktitle    = {Proceedings of the 1992 International Conference on Parallel Processing,
                  University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992.
                  Volume {II:} Software},
  pages        = {267--274},
  publisher    = {{CRC} Press},
  year         = {1992},
  timestamp    = {Mon, 28 Jul 2014 17:06:02 +0200},
  biburl       = {https://dblp.org/rec/conf/icpp/EsonuAH92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mva/DantuDPA91,
  author       = {R. V. Dantu and
                  Nikitas J. Dimopoulos and
                  Rajni V. Patel and
                  A. J. Al{-}Khalili},
  title        = {Depth perception using blurring and its application in {VLSI} wafer
                  probing},
  journal      = {Mach. Vis. Appl.},
  volume       = {5},
  number       = {1},
  pages        = {35--45},
  year         = {1991},
  url          = {https://doi.org/10.1007/BF01213528},
  doi          = {10.1007/BF01213528},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mva/DantuDPA91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/EsonuAH91,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri},
  title        = {Area Efficient Computing Structures for Concurrent Error Detection
                  in Systolic Architectures},
  booktitle    = {Proceedings of the International Conference on Parallel Processing,
                  {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware},
  pages        = {484--491},
  publisher    = {{CRC} Press},
  year         = {1991},
  timestamp    = {Mon, 28 Jul 2014 17:06:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icpp/EsonuAH91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/EsonuAH91,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri},
  editor       = {V. K. Prasanna Kumar},
  title        = {On the Design of Optimal Fault-Tolerant Systolic Array Architecures},
  booktitle    = {The Fifth International Parallel Processing Symposium, Proceedings,
                  Anaheim, California, USA, April 30 - May 2, 1991},
  pages        = {352--357},
  publisher    = {{IEEE} Computer Society},
  year         = {1991},
  url          = {https://doi.org/10.1109/IPPS.1991.153802},
  doi          = {10.1109/IPPS.1991.153802},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/EsonuAH91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/Al-KhaliliZA90,
  author       = {Asim J. Al{-}Khalili and
                  Yong Zhu and
                  Dhamin Al{-}Khalili},
  title        = {A module generator for optimized {CMOS} buffers},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {9},
  number       = {10},
  pages        = {1028--1046},
  year         = {1990},
  url          = {https://doi.org/10.1109/43.62730},
  doi          = {10.1109/43.62730},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/Al-KhaliliZA90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spdp/EsonuAH90,
  author       = {Michael Ogbonna Esonu and
                  Asim J. Al{-}Khalili and
                  Salim Hariri},
  title        = {Design of optimal systolic arrays: a systematic approach},
  booktitle    = {Proceedings of the Second {IEEE} Symposium on Parallel and Distributed
                  Processing, {SPDP} 1990, Dallas, Texas, USA, December 9-13, 1990},
  pages        = {166--173},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/SPDP.1990.143528},
  doi          = {10.1109/SPDP.1990.143528},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/spdp/EsonuAH90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Al-KhaliliZA89,
  author       = {Asim J. Al{-}Khalili and
                  Yong Zhu and
                  Dhamin Al{-}Khalili},
  editor       = {Donald E. Thomas},
  title        = {A Module Generator for Optimized {CMOS} Buffers},
  booktitle    = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las
                  Vegas, Nevada, USA, June 25-29, 1989},
  pages        = {245--250},
  publisher    = {{ACM} Press},
  year         = {1989},
  url          = {https://doi.org/10.1145/74382.74424},
  doi          = {10.1145/74382.74424},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Al-KhaliliZA89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Al-KhaliliAA88,
  author       = {Asim J. Al{-}Khalili and
                  Dhamin Al{-}Khalili and
                  K. Ammar},
  title        = {An algorithm for polygon conversion to boxes for {VLSI} layouts},
  journal      = {Integr.},
  volume       = {6},
  number       = {3},
  pages        = {291--308},
  year         = {1988},
  url          = {https://doi.org/10.1016/0167-9260(88)90004-1},
  doi          = {10.1016/0167-9260(88)90004-1},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/Al-KhaliliAA88.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icra/DantuDPA88,
  author       = {Ram Dantu and
                  Nikitas J. Dimopoulos and
                  Rajni V. Patel and
                  Asim J. Al{-}Khalili},
  title        = {A micro-manipulator vision in {IC} Manufacturing},
  booktitle    = {Proceedings of the 1988 {IEEE} International Conference on Robotics
                  and Automation, Philadelphia, Pennsylvania, USA, April 24-29, 1988},
  pages        = {1455--1460},
  publisher    = {{IEEE} Computer Society},
  year         = {1988},
  url          = {https://doi.org/10.1109/ROBOT.1988.12271},
  doi          = {10.1109/ROBOT.1988.12271},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icra/DantuDPA88.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsmc/Al-Khalili85,
  author       = {Asim J. Al{-}Khalili},
  title        = {Urban traffic control - {A} general approach},
  journal      = {{IEEE} Trans. Syst. Man Cybern.},
  volume       = {15},
  number       = {2},
  pages        = {260--271},
  year         = {1985},
  url          = {https://doi.org/10.1109/TSMC.1985.6313356},
  doi          = {10.1109/TSMC.1985.6313356},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsmc/Al-Khalili85.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsmc/Al-Khalili85a,
  author       = {Asim J. Al{-}Khalili},
  title        = {A general approach to relative offset settings of traffic signals},
  journal      = {{IEEE} Trans. Syst. Man Cybern.},
  volume       = {15},
  number       = {4},
  pages        = {587--594},
  year         = {1985},
  url          = {https://doi.org/10.1109/TSMC.1985.6313428},
  doi          = {10.1109/TSMC.1985.6313428},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsmc/Al-Khalili85a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsmc/Al-Khalili85b,
  author       = {Asim J. Al{-}Khalili},
  title        = {The optimum green split of a cycle time},
  journal      = {{IEEE} Trans. Syst. Man Cybern.},
  volume       = {15},
  number       = {5},
  pages        = {675--681},
  year         = {1985},
  url          = {https://doi.org/10.1109/TSMC.1985.6313449},
  doi          = {10.1109/TSMC.1985.6313449},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsmc/Al-Khalili85b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijmms/Al-Khalili84,
  author       = {Asim J. Al{-}Khalili},
  title        = {An Algorithm for an Intelligent Arabic Computer Terminal},
  journal      = {Int. J. Man Mach. Stud.},
  volume       = {20},
  number       = {4},
  pages        = {331--341},
  year         = {1984},
  url          = {https://doi.org/10.1016/S0020-7373(84)80072-3},
  doi          = {10.1016/S0020-7373(84)80072-3},
  timestamp    = {Fri, 15 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijmms/Al-Khalili84.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip7/MacleodA73,
  author       = {C. J. Macleod and
                  Asim J. Al{-}Khalili},
  editor       = {R. Conti and
                  Antonio Ruberti},
  title        = {An On-Line Optimization Procedure for an Urban Traffic System},
  booktitle    = {5th Conference on Optimization Techniques, Part 2, Rome, Italy, May
                  7-11, 1973},
  series       = {Lecture Notes in Computer Science},
  volume       = {4},
  pages        = {31--41},
  publisher    = {Springer},
  year         = {1973},
  url          = {https://doi.org/10.1007/3-540-06600-4\_4},
  doi          = {10.1007/3-540-06600-4\_4},
  timestamp    = {Tue, 14 May 2019 10:00:40 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip7/MacleodA73.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}